FR2808224A1 - Reacteur a plasma hf - Google Patents

Reacteur a plasma hf Download PDF

Info

Publication number
FR2808224A1
FR2808224A1 FR0105619A FR0105619A FR2808224A1 FR 2808224 A1 FR2808224 A1 FR 2808224A1 FR 0105619 A FR0105619 A FR 0105619A FR 0105619 A FR0105619 A FR 0105619A FR 2808224 A1 FR2808224 A1 FR 2808224A1
Authority
FR
France
Prior art keywords
plate
wall
discharge space
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR0105619A
Other languages
English (en)
Other versions
FR2808224B1 (fr
Inventor
Emmanuel Turlot
Jean Baptiste Chevrier
Jacques Schmitt
Jean Barreiro
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
OC Oerlikon Balzers AG
Original Assignee
Unaxis Balzers AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis Balzers AG filed Critical Unaxis Balzers AG
Publication of FR2808224A1 publication Critical patent/FR2808224A1/fr
Application granted granted Critical
Publication of FR2808224B1 publication Critical patent/FR2808224B1/fr
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

L'invention concerne un réacteur à plasma comprenant deux électrodes constituées par des surfaces métalliques (38, 42) espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène (36). L'une (38) des surfaces métalliques est la surface d'une plaque métallique (40) transpercée par de multiples orifices d'alimentation en gaz (44) communiquant avec une chambre de distribution (46) qui s'étend le long de la plaque (40), du côté opposé à l'espace de décharge. La chambre (46) comporte une paroi (31) située en vis-à-vis et à distance de la plaque (40) et comprend de multiples orifices d'entrée de gaz (50) répartis le long de la paroi (31) et reliés à au moins un conduit d'alimentation en gaz (52) pour ainsi permettre de maîtriser de manière plus précise la distribution de gaz dans l'espace de décharge (36).

Description

La présente invention concerne, d'une manière générale, des
perfectionnements relatifs à une technique d'introduction de gaz dite du type en pomme d'arrosoir dans un espace de décharge plasmagène d'un réacteur à plasma activé par un plasma et alimenté électriquement par une énergie HF, HF plus courant continu ou HF pulsée. Elle concerne plus particulièrement des réacteurs à plaques parallèles dans lesquels une énergie HF est couplée à l'espace de décharge par l'intermédiaire de deux électrodes dans un dispositif capacitif du type à plaques, contrairement à d'autres réacteurs dans lesquels l'énergie de décharge est introduite par l'intermédiaire d'un couplage
hyperfréquence ou d'un champ d'induction.
Ces réacteurs à plasma du type à couplage capacitif sont couramment utilisés pour exposer au moins un substrat à la fois à l'action de traitement d'une décharge luminescente plasmagène. Une grande variété de ces traitements est connue et utilisée pour modifier la nature des surfaces de substrats. En fonction du traitement et en particulier de la nature du gaz injecté dans l'espace de décharge luminescente du réacteur, il est possible de modifier les propriétés de la surface du substrat, d'y appliquer des films minces ou d'en éliminer et, en particulier, d'y procéder à un
enlèvement de matière sélectif.
Les substrats peuvent être plans ou courbes comme,
par exemple, des pare-brise de véhicules automobiles.
Dans ce cas, la disposition des électrodes entre lesquelles l'espace de décharge plasmagène est défini peut ne pas être coplanaire mais courbée parallèlement en conséquence, afin que la distance entre la surface courbe du substrat et une électrode soit sensiblement constante sur toute l'étendue de la surface du
substrat.
Bien que la présente demande revendique des réacteurs à plasma, elle décrit en détail différentes méthodes inventives pour fabriquer des substrats à l'aide d'étapes de procédés exécutées au moyen du réacteur à plasma revendiqué. Ces procédés de fabrication concernent en particulier des plaquettes de semi-conducteurs, des disques pour dispositifs à mémoire, des panneaux d'affichage plans, des vitres et
des bandes ou feuilles.
Les procédés de traitement de surface de substrats mis en euvre dans un récipient sous vide dans lequel une décharge plasmagène est produite par une composante HF de champ électrique sont répandus et connus sous les noms de procédé de dépôt physique en phase vapeur (PVD), procédé de dépôt chimique en phase vapeur activé au plasma (PECVD), procédé d'attaque par ions réactifs
et procédé de plaquage ionique, entre autres.
La figure 1 représente schématiquement une configuration couramment utilisée de réacteur à plasma HF comportant une entrée de gaz du type "en pomme d'arrosoir". Un réacteur à plasma HF conventionnel comprend une cuve de réacteur 1 comportant une ouverture de pompage 3. Des surfaces métalliques espacées 4 et 6 disposées en vis-à-vis constituent les électrodes de décharge plasmagène et définissent en même temps l'espace de décharge plasmagène 8. Entre les deux surfaces formant électrodes 4 et 6 est appliqué le champ électrique E de fourniture de décharge
plasmagène, qui comprend au moins une composante HF.
L'une au moins des surfaces formant électrodes de décharge plasmagène 4, 6 comporte de multiples orifices d'alimentation en gaz 10, l'électrode correspondante étant la surface d'une plaque 11. Sur la face arrière de cette plaque 11, par rapport à l'espace de décharge plasmagène 8, il est prévu une chambre formant réservoir 12 munie d'une paroi arrière 14 et d'une paroi de bord latérale 16. Au centre, par rapport à l'étendue de la chambre formant réservoir 12, il est prévu un orifice d'entrée de gaz et un conduit d'alimentation en gaz 18. En dehors des orifices d'alimentation en gaz 10 et de l'orifice du conduit d'alimentation 18, la chambre formant réservoir 12 est
fermée hermétiquement.
Les parois métalliques et la plaque limitrophes qui entourent la chambre formant réservoir 12 sont alimentées par une énergie électrique de fourniture de décharge plasmagène, par exemple par une ligne d'alimentation électrique centrale 20. Etant donné que la cuve 1 du réacteur ne fonctionne habituellement pas au même potentiel électrique que la surface formant électrode 4, en particulier pas à pleine puissance HF, mais habituellement à un potentiel de référence, tel que le potentiel de masse, l'ensemble de la chambre formant réservoir 12 est monté à l'intérieur de la cuve 1 du réacteur de manière à être isolé électriquement, comme cela est représenté schématiquement par un dispositif de support et de traversée électriquement isolant 22. Le conduit d'alimentation en gaz 18 disposé centralement est raccordé d'une manière similaire à une conduite de fourniture de gaz 24 habituellement reliée à la masse, de la cuve 1 du réacteur, par l'intermédiaire d'un connecteur électriquement
isolant 26.
Les orifices d'alimentation en gaz 10 prévus dans la surface formant électrode 4 et la plaque 11 de la chambre formant réservoir 12 ont une faible conduction gazeuse et, par conséquent, un facteur élevé de résistance au passage du gaz, de sorte que le volume interne de la chambre formant réservoir 12 qui est alimentée centralement par le gaz d'entrée, joue le rôle d'une chambre de distribution et d'équilibrage de pression pour délivrer le gaz à travers les orifices d'alimentation en gaz 10 d'une manière souhaitée et bien contrôlée, avec le plus souvent une distribution aussi homogène que possible le long de la surface formant électrode 4 et dans l'espace de décharge plasmagène 8. Comme on peut le voir sur la figure 1, le gaz délivré à l'ensemble du réacteur est soumis à un changement important de potentiel électrique (de la conduite 24 au conduit d'alimentation 18). Ainsi, les conditions dans la zone o cette différence de potentiel importante se produit, c'est-à-dire au niveau du connecteur 26, sont tout à fait capitales pour éviter l'apparition d'une décharge plasmagène non
désirée dans cette zone.
Un autre inconvénient de ce dispositif connu réside principalement dans son temps de réponse peu satisfaisant. Comme le volume interne de la chambre formant réservoir 12 doit être assez important pour permettre une distribution uniforme du gaz et une pression constante le long de la plaque 11, une quantité relativement importante de gaz est accumulée dans cette chambre formant réservoir 12 à une pression relativement élevée. Par conséquent, si au cours du traitement, on souhaite changer la composition ou le débit de sortie du gaz, ce changement, considéré dans l'espace de décharge plasmagène, va se faire au cours d'une phase transitoire relativement incontrôlée avec des constantes de temps importantes jusqu'à ce que soit atteinte la stabilité souhaitée de la nouvelle composition et/ou du nouveau débit de sortie de gaz établis. En outre, le volume de la chambre formant réservoir 12 doit être évacué par pompage sous vide avant le démarrage d'un processus de traitement dans le réacteur, ce qui prend d'autant plus de temps que le volume correspondant est important. Ceci ajouté en particulier au fait que le volume 12 n'est relié à l'ouverture de pompage de la cuve que par l'intermédiaire des petits orifices faiblement conducteurs 10, fait que le conditionnement de prétraitement de l'ensemble du réacteur, y compris le dégazage des parois, prend beaucoup de temps. Néanmoins et grâce aux orifices d'alimentation en gaz 10 faiblement conducteurs et au volume important de la chambre formant réservoir 12, cette technique permet une maîtrise satisfaisante de la distribution de la sortie de gaz le long de la surface formant électrode 4
pour réaliser, par exemple, une distribution homogène.
En faisant varier la densité des orifices d'alimentation en gaz 10 le long de la surface formant électrode 4 qui borde l'espace de décharge plasmagène, il est possible d'adapter facilement la distribution du
gaz en fonction de besoins spécifiques.
La présente invention a pour but général d'améliorer un réacteur HF du type en pomme d'arrosoir, tel qu'il est représenté dans son principe sur la
figure 1, tout en en conservant les avantages.
L'expression réacteur HF signifie un réacteur dans lequel une décharge plasmagène est fournie électriquement par au moins une composante HF d'énergie électrique. Pour atteindre ce but et selon un premier aspect de la présente invention, il est proposé un réacteur à plasma HF comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution possédant une paroi arrière disposée en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz comportant de multiples orifices d'entrée de gaz répartis le long de la paroi arrière et reliés à au
moins un conduit d'alimentation en gaz du réacteur.
Ainsi et par opposition aux techniques bien connues selon la figure 1, l'entrée de gaz dans la chambre de distribution prévue selon l'invention ne s'effectue pas localement mais par l'intermédiaire de multiples orifices d'entrée de gaz. Ceci offre l'avantage de réduire considérablement les exigences relatives à la chambre de distribution proprement dite en ce qui concerne l'équilibrage de pression d'un volume important, comparativement aux enseignements selon la figure 1. Le volume de la chambre de distribution peut être réduit sensiblement, ce qui améliore considérablement le temps de réponse lors d'un changement de débit et/ou de composition du gaz fourni
à l'espace de décharge plasmagène.
Selon un deuxième aspect de la présente invention, il est proposé un réacteur à plasma HF comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant une surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution s'étendant le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution comportant une paroi arrière en vis-à-vis et à distance de la plaque; ainsi qu'un dispositif d'entrée de gaz et également un dispositif d'alimentation en énergie électrique pour les deux surfaces métalliques qui constituent les électrodes de
décharge plasmagène, et la paroi arrière et la plaque -
qui bordent sensiblement l'espace de décharge - étant isolées électriquement l'une de l'autre. Ainsi, une différence de potentiel électrique, en particulier la différence importante de potentiel de fourniture de décharge plasmagène, peut être appliquée entre la plaque et la paroi arrière de la chambre de distribution, de sorte que la paroi arrière peut faire directement partie de la paroi de la cuve qui fonctionne à un potentiel électrique souhaité indépendant du potentiel électrique appliqué à la surface formant électrode correspondante, par exemple à un potentiel de référence, habituellement le potentiel
de la masse.
De cette manière, d'une part, la différence de potentiel importante critique le long du conduit d'alimentation en gaz est évitée et beaucoup plus
facile à gérer à travers la chambre de distribution.
D'autre part, la structure globale du réacteur est considérablement simplifiée grâce à la suppression d'une suspension isolée électriquement de l'ensemble de la chambre formant réservoir dans le réacteur, comme cela est prévu en 22 dans la technique connue selon la
figure 1.
Selon un troisième aspect de la présente invention, il est proposé un réacteur à plasma HF comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, la chambre de distribution comportant une paroi arrière située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz, et au moins un élément formant grille étant disposé à l'intérieur de la chambre de distribution à distance et le long de la plaque, élément formant grille qui est isolé
électriquement de la paroi arrière et de la plaque.
Par le terme grille, on entend de manière générique une structure matérielle ayant une configuration en forme de plaque et comportant des perforations. Ainsi, une grille peut être réalisée sous une forme allant d'une structure ressemblant davantage à un maillage jusqu'à une plaque rigide comportant quelques perforations. La subdivision de l'espace de distribution à l'aide d'éléments formant grilles de ce type - à condition
qu'ils soient formés d'une matière électroconductrice -
en au moins deux sous-espaces, permet de subdiviser une différence de potentiel électrique entre la plaque et la paroi arrière en une fraction à travers chacun des sous-espaces. Ceci offre, du point de vue de la formation d'une décharge plasmagène parasite dans la chambre de distribution, la possibilité d'augmenter la hauteur des sous-espaces et, par conséquent, de la chambre de distribution considérée perpendiculairement à la plaque, sans courir le risque d'une inflammation spontanée de plasma. Ceci est particulièrement vrai si pratiquement la totalité de la différence de potentiel de décharge plasmagène est appliquée à travers la chambre de distribution. En fait, la capacité parasite entre la plaque et la paroi arrière bordant la chambre de distribution est réduite. En outre, le fait de prévoir l'élément formant grille mentionné améliore la distribution et l'homogénéisation de la pression du gaz le long de la chambre de distribution, que l'élément formant grille soit fait d'une matière
électroconductrice ou d'une matière diélectrique.
Selon un quatrième aspect de la présente invention, il est proposé un réacteur à plasma HF comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, la chambre de distribution comportant une paroi arrière située en vis-à-vis et à distance de la plaque, paroi qui comprend une partie de bord latérale qui s'étend en direction et au-delà de la périphérie de la plaque en étant distant de celle-ci, et la chambre de distribution communiquant par un dispositif d'orifice avec l'espace intermédiaire entre la partie de bord latérale de la paroi et la périphérie de la plaque, le dispositif d'orifice s'étendant sensiblement parallèlement à la plaque et sensiblement
perpendiculairement à la partie de bord de la paroi.
D'une part, une quantité supplémentaire de gaz est délivrée dans l'espace de décharge plasmagène au niveau de la zone de bordure périphérique de celui-ci. Etant donné qu'habituellement davantage de gaz, dans un processus réactif davantage de gaz réactif, est consommé au niveau de la périphérie de l'espace de décharge plasmagène, cette consommation supplémentaire est compensée. Ainsi, il est possible de ne pas augmenter indéfiniment la densité d'orifices d'entrée de gaz par unité de surface de la plaque et de la surface formant électrode métallique, compte tenu des efforts techniques et des coûts de fabrication que cela représente, de sorte que l'alimentation en gaz périphérique telle qu'indiquée ci- dessus constitue une technique extrêmement simple pour augmenter le flux de gaz périphérique en direction de l'espace de décharge plasmagéne. D'autre part, il faut considérer que grâce à la partie de bord de la paroi, prévue conformément à la présente invention à distance de la périphérie de la plaque, un canal d'entrée dans l'espace de décharge plasmagène est formé. Si une différence de potentiel électrique est établie entre la plaque et la paroi, ce potentiel électrique va également exister à travers ledit espace qui s'étend de la périphérie de la plaque au bord de la paroi. D'une manière surprenante, l'inflammation d'une décharge plasmagène parasite, entre le bord et la périphérie de la plaque, est beaucoup moins critique que dans les orifices d'alimentation en gaz de la plaque ou, de manière générale, dans un environnement d'électrode à
"potentiel unique", par exemple.
Dans des modes de réalisation préférés, les caractéristiques des quatre réacteurs à plasma selon les quatre aspects ci-dessus peuvent être combinées de manière inventive en d'autres réacteurs à plasma selon l'invention, comportant les caractéristiques d'au moins
deux desdits réacteurs.
1! Ce qui précède, ainsi que d'autres buts, caractéristiques et avantages de la présente invention, ressortira plus clairement pour l'homme de l'art à la
lecture de la description détaillée suivante de tous
les aspects de celle-ci donnée à titre d'exemple nullement limitatif en référence aux dessins annexés dans lesquels: la figure 1 est une représentation schématique d'une configuration traditionnelle d'un réacteur à plasma HF comportant une entrée de gaz du type "en pomme d'arrosoir"; la figure 2 est une représentation schématique d'un réacteur à plasma HF selon l'invention pour mettre en oeuvre un procédé de fabrication selon l'invention, combinant, dans un mode de réalisation préféré, tous les aspects de la présente invention; la figure 3 est une représentation schématique d'un dispositif de distribution de gaz préféré pour permettre l'admission de gaz dans une chambre de distribution d'une cuve du réacteur de l'invention; et les figures 4, 5 et 6 représentent trois modes préférés possibles de fabrication d'orifices d'entrée de gaz et de maîtrise d'une résistance à un écoulement
de ceux-ci dans le réacteur de l'invention.
Sur la figure 2, on peut voir représenté schématiquement un mode de réalisation préféré d'un réacteur à plasma HF. Les quatre jeux de caractéristiques permettant d'atteindre le but de la présente invention sont ici tous combinés et, comme cela a été indiqué précédemment, chacun de ces jeux de
caractéristiques en soi est considéré comme inventif.
Le réacteur HF 30 comprend une paroi supérieure 31, une paroi inférieure 32 et une paroi latérale 34. Une première surface formant électrode 38 est définie par la surface d'une plaque métallique 40 et est dirigée vers l'espace de décharge plasmagène 36. Dans ce mode de réalisation, la seconde électrode de décharge plasmagène est formée en particulier par la surface
métallique supérieure 42 de la paroi inférieure 32.
Dans la plaque 40, il est prévu de multiples orifices 44 débouchant dans l'espace de décharge 36
plasmagène à partir d'une chambre de distribution 46.
Un dispositif d'entrée de gaz 48 délivre un gaz dans la chambre de distribution 46 à partir de laquelle le gaz est distribué dans l'espace de décharge plasmagène 36 à
travers les orifices 44.
1. Configuration préférée du disDositif d'entrée de gaz 48 de l'extérieur du réacteur dans la chambre de distribution 46 Le dispositif d'entrée de gaz 48 comprend de multiples orifices d'entrée 50 répartis suivant une configuration souhaitée prédéterminée, la majorité d'entre eux étant de préférence répartis de manière uniforme le long de la surface de la paroi supérieure 31 définissant une paroi arrière pour la chambre de distribution 46. Dans une structure de type arborescent, les orifices 50 sont en communication d'écoulement avec un conduit d'entrée de gaz central 52, la résistance à l'écoulement dans chaque "branche" 54, 56, 58, par exemple, de l'arbre formé par la tuyauterie étant sélectionnée de façon que la résistance à l'écoulement entre chacun des orifices 50 et le conduit d'entrée de gaz 52 ait une valeur prédéterminée, valeur qui, dans un mode de réalisation préféré et au moins pour une majorité des orifices 50, est la même. En ce qui concerne la conception proprement dite d'un tel système de type arborescent de conduits de distribution de gaz à partir d'une entrée de gaz unique vers de multiples orifices de sortie de gaz, on se référera, par exemple, à US 5 622 606 au nom
du même déposant que la présente demande.
Grâce à cette alimentation en cascade ou de type arborescent vers de multiples orifices d'entrée de gaz, des changements de composition d'un gaz de traitement délivré par l'intermédiaire de ceux-ci peuvent être mis en euvre très rapidement. Le principe de l'alimentation en cascade vers les multiples orifices 50 repose sur une division de l'écoulement de gaz en un nombre donné
de sous-écoulements prédéterminés, de préférence égaux.
Le processus de division est répété plusieurs fois en fonction des niveaux de ramification 54 à 58 de la figure 2 afin de diviser l'écoulement initial en un grand nombre de sous-écoulements. La structure arborescente est conçue en fonction de la configuration souhaitée des orifices 50, ces derniers étant de préférence adaptés à la forme, par exemple rectangulaire ou circulaire, d'une pièce à usiner exposée à la décharge plasmagène. Sur la figure 3, on peut voir une représentation en perspective d'un exemple de cette structure arborescente de conduits de liaison entre, par exemple, le conduit d'entrée 52 et
les orifices 50.
Le fait que l'entrée de gaz dans la chambre de distribution 46 soit assurée par l'intermédiaire des multiples orifices d'entrée de gaz 50 répartis le long de la surface de la paroi ou paroi arrière 31 qui délimite la chambre 46, améliore considérablement la maîtrise de l'écoulement du gaz à travers la plaque 40 vers l'espace de décharge plasmagène 36, ce qui offre un degré de liberté considérablement plus grand quant au choix du volume et en particulier de la hauteur x de la chambre de distribution 46. Comme on peut le voir sur la figure 2 et conformément à un mode de réalisation préféré, la cascade de conduits d'alimentation est intégrée dans la paroi supérieure 31 du réacteur de l'invention, qui, dans ce mode de réalisation, fait office de paroi arrière de la chambre 46. 2. Alimentation électrique Comme on peut le voir sur la figure 2, la plaque 40 est montée de manière à être isolée électriquement de la paroi arrière 31 de la chambre de distribution 46, paroi arrière qui, de préférence, constitue directement la paroi supérieure de la cuve du réacteur 30. Ce montage est réalisé, par exemple, au moyen d'éléments intercalaires isolants ou d'un anneau intercalaire isolant 60. Ainsi, et comme cela est représenté schématiquement en 62, une énergie électrique est fournie à la plaque métallique 40 et à la surface formant électrode 38 par une alimentation en énergie distincte qui peut se faire à travers les éléments intercalaires 60 ou, comme cela est représenté, à travers la paroi latérale 34 de la cuve du réacteur 30, par exemple, ce qui laisse un degré de liberté important quant au mode d'alimentation en énergie
électrique de la décharge plasmagène.
Sur la figure 2, l'alimentation HF représentée est disposée latéralement. Pour des installations plus importantes, en particulier, une alimentation centrale est préférable. Dans ce cas, une alimentation HF centrale par une ou plusieurs lignes d'alimentation s'effectue à travers la paroi supérieure 31 et la chambre de distribution 46 jusqu'à la plaque
métallique 40.
La paroi arrière 31 de la chambre de distribution 46 peut ainsi fonctionner à un potentiel électrique voulu, électriquement indépendant du potentiel appliqué à la surface formant électrode 38. Ceci permet par conséquent, dans un mode de réalisation préféré, de faire en sorte que la paroi arrière 31 de la chambre de distribution 46 fonctionne à un potentiel de référence et en particulier un potentiel de masse, et qu'ainsi cette paroi arrière 31 soit formée directement par une paroi de la cuve du réacteur. Ceci est extrêmement avantageux compte tenu du fait que cette paroi comporte la structure d'alimentation en gaz en cascade vers les orifices d'entrée 50, l'ensemble du système de division d'écoulement étant alors au potentiel de la masse et, par conséquent, à un potentiel égal à celui du conduit d'alimentation 52 qui délivre du gaz de l'extérieur à la cuve du réacteur 30. Les parois qui limitent la chambre de distribution 46 ne sont pas à un potentiel électrique unique, mais à des potentiels différents, c'est-à-dire à une différence totale de potentiel de fourniture de décharge plasmagène. Grâce en particulier à la répartition des orifices d'entrée de gaz 50, il est possible de réduire considérablement la pression de gaz régnant dans la chambre de distribution, ce qui permet d'éviter l'apparition d'une inflammation spontanée de plasma dans ladite chambre de distribution, même lorsque celle-ci atteint la
hauteur x.
3. Grilles Comme cela est visible sur la figure 2 et selon un mode de réalisation préféré de la cuve du réacteur de la présente invention, un ou deux éléments formant grilles 64 (ou plus) sont montés à l'intérieur et le long de la chambre de distribution 46, sensiblement parallèlement à la plaque 40. Ces éléments formant grilles sont montés de manière à être isolés électriquement tant de la paroi arrière 31 que de la plaque 40. Ils sont faits d'une matière électroconductrice ou diélectrique. S'ils sont conçus pour être conducteurs d'électricité, ils fonctionnent à un potentiel électrique flottant. Ceci s'obtient en utilisant des dispositifs de montage isolants appropriés (non représentés) pour les éléments formant
grilles électroconducteurs 64.
S Ces grilles ont deux effets avantageux.
D'une part et indépendamment de leur montage électriquement flottant ou isolé et de leur électroconductivité, ils peuvent améliorer considérablement l'homogénéisation de la pression du gaz le long de la chambre de distribution, du côté de la plaque 40 et, par conséquent, l'homogénéité de la distribution de l'alimentation en gaz de l'espace de
décharge plasmagène 36.
Plus précisément, la présence de grilles électriquement flottantes permet d'augmenter la distance ou la hauteur totale x de la chambre 46 sans risque d'inflammation d'un plasma dans cet espace. Ceci augmente la conduction latérale totale du gaz et, par conséquent, la diffusion latérale du gaz.
En outre, la réalisation des grilles électriquement flottantes plutôt sous la forme de plaques électriquement flottantes ne comportant qu'un nombre limité de perforations permet en fait la continuation dans et à travers la chambre 46 du système de distribution en cascade général représenté sur la figure 2 au sein de la plaque 31, ce qui contribue à
une répartition systématique et bien maîtrisée du gaz.
D'autre part et du point de vue électrique -lorsque
les grilles sont faites d'une matière conductrice -
elles adoptent des potentiels électriques intermédiaires des potentiels de la plaque 40 et de la paroi arrière 31. Par conséquent, si, en particulier dans le mode de réalisation dans lequel la plaque 40 et la paroi arrière 31 sont isolées électriquement l'une de l'autre, la différence de potentiel génératrice de plasma est appliquée à travers la chambre de distribution 46, on obtiendra dans les sous-chambres 46a, 46b et 46c résultantes des fractions de cette
différence de potentiel.
Etant donné qu'à une pression donnée du gaz et à une différence de potentiel électrique donnée entre les parois électroconductrices délimitant cet espace, la tendance à une inflammation spontanée de plasma est d'autant plus grande que la distance entre les parois électroconductrices agissant à la manière d'électrodes parasites augmente, chaque sous-chambre 46a, 46b, 46c fonctionnant à une différence de potentiel réduite, c'est-à-dire à une fraction de la différence de potentiel totale, peut être adaptée pour avoir une plus grande hauteur et il est donc possible d'augmenter la hauteur x de l'ensemble de la chambre de distribution 46 sans courir le risque d'une inflammation spontanée
de plasma.
Pour résumer, il faut savoir qu'en ce qui concerne l'espacement des parties conductrices d'électricité au niveau de la chambre de distribution, qu'il s'agisse du ou des éléments formant grilles et/ou de la plaque ou de la paroi arrière, deux considérations contradictoires prévalent. En ce qui concerne la prévention de la création d'une décharge plasmagène parasite, l'espacement x doit être, à une pression donnée et à une différence de potentiel électrique donnée appliquée entre ces parties conductrices, aussi faible que possible, tandis que du point de vue de l'homogénéisation de la pression le long des orifices d'alimentation en gaz 50, cet espacement x doit être adapté pour être aussi grand que possible. Les caractéristiques proposées selon l'invention, à savoir * une entrée répartie du gaz dans la chambre de distribution grâce aux orifices 50, et un montage isolé électriquement des surfaces électroconductrices tournées directement les unes vers les autres, telles que les grilles, la paroi arrière et la plaque, permettent une grande souplesse de construction en ce qui concerne l'adaptation de l'étendue de la chambre de distribution, pour ainsi augmenter en particulier l'effet d'homogénéisation de cette chambre sans risquer simultanément un accroissement de la tendance à la
génération d'un plasma parasite.
4. Injection de gaz périphérique dans l'espace de décharge plasmagène Comme cela ressortira de la figure 2 et étant précisé que deux mesures inventives sont proposées à cet égard, en ce qui concerne la répartition des orifices d'alimentation en gaz 44 de la plaque 40 vers l'espace de décharge plasmagène 36, la densité de ces orifices 44 prévue par unité de surface va en augmentant le long de la plaque 40 en direction de la périphérie P de celle-ci. Une technique particulièrement avantageuse quant à la manière de réaliser ces orifices et de faire varier de manière égale leur densité par unité de surface va être
expliquée plus loin à l'aide des figures 4 à 6.
A la place ou en plus d'une augmentation de la densité des orifices d'alimentation en gaz 44 par unité de surface en direction de la périphérie P de la plaque , il est prévu un dispositif d'orifice supplémentaire 66 entre la chambre de distribution 46 et l'espace de
décharge plasmagène 36, de la manière suivante.
La paroi arrière 31 qui borde la chambre de distribution 46, d'un côté de celle-ci, est munie d'une partie de bord 68 - qui peut être clairement une partie séparée - et qui, dans un mode de réalisation préféré, peut être formée par la paroi latérale 34 de la cuve du réacteur. Cette partie de bord s'étend en direction et au-delà de la périphérie P de la plaque 40, en étant distant de celle-ci. Ainsi, un canal d'écoulement 70
est formé tout autour de la plaque 40.
Le dispositif d'orifice 66 s'étend sensiblement parallèlement à la plaque 40 et sensiblement perpendiculairement à la partie de bord 68 et établit une communication d'écoulement entre la chambre de distribution 46 et l'espace de décharge plasmagène 36 par l'intermédiaire du canal 70. Ainsi et en raison de l'espace étroit du canal 70, aucune inflammation d'une décharge plasmagène parasite ne peut avoir lieu dans celui-ci, même s'il existe une différence de potentiel électrique importante entre la plaque 40 et la partie
de bord 68.
Grâce à l'une et/ou l'autre de ces mesures (plus grande densité des orifices 44 vers la périphérie de la plaque et/ou injection latérale de gaz autour de la périphérie de la plaque), la distribution de la consommation du gaz dans l'espace de décharge plasmagène 36, qui est supérieure au niveau de la périphérie de celui-ci, est compensée, d'o un effet d'homogénéisation de la décharge plasmagène sur la surface d'un substrat disposé, conformément à la figure 2, le long de la surface formant électrode 42, par exemple. Ceci offre par conséquent l'avantage de permettre d'exploiter l'espace de décharge plasmagène 36 jusqu'à la partie extrême de sa périphérie en vue d'un traitement homogène de surfaces de pièces à usiner, ce qui se traduit en fait par un meilleur
rendement du réacteur.
5. Mode de réalisation avantageux de la plaque 40 et de la répartition des orifices prévus à travers celle-ci Comme on peut le voir sur la figure 4, un mode de réalisation très avantageux des orifices d'alimentation en gaz 44 à travers la plaque 40 s'obtient par un usinage de trous à fond plat 72 dans la face de la plaque 40, située à l'opposé de l'espace de décharge plasmagène 36. Ces trous à fond plat 72 peuvent, vus de dessus, être circulaires ou rectangulaires, par exemple, ou avoir la forme d'une gorge continue ou non limitée. Les orifices 44 de faible diamètre débouchant dans l'espace de décharge plasmagène 36 sont usinés dans le fond 74 de ces trous 72. De cette manière, la réalisation des orifices 44 de petit diamètre n'exige l'usinage que d'une faible fraction de l'épaisseur
totale de la plaque 40.
Ainsi, il a été considéré que la plaque 40 devait normalement être très épaisse pour des raisons de stabilité mécanique, en ce sens que cette plaque doit rester parfaitement plane et ce, en dépit du fait qu'elle est suspendue à quelques points de fixation seulement et est soumise à de nombreux cycles thermiques différents. En outre, la conduction de la chaleur le long de cette plaque doit être de nature à permettre d'atteindre rapidement une distribution de températures uniforme lors de changements de températures. Ainsi et conformément au concept de ces cavités, c'est-à-dire des gorges ou des perçages de grand diamètre, tels qu'en 72, la résistance à l'écoulement du gaz de la chambre de distribution 46 jusqu'à l'espace de décharge plasmagène 36 peut être modifiée et ajustée avec précision au niveau des orifices 44 grâce à des pièces d'insertion 78 placées dans ces cavités, comme cela est visible sur la figure 5. Grâce à ce concept de cavités 72 de la figure 4 et comme cela est visible sur la figure 6, une augmentation de la densité des orifices le long de la plaque 40 jusqu'à une densité très élevée d'orifices 44a de diamètre éventuellement encore plus réduit, en particulier vers la périphérie P de la plaque 40, ne pose aucun problème
de fabrication.
De plus, grâce aux pièces d'insertion 78, le risque d'inflammation du plasma à l'arrière des orifices 44 qui sont exposés d'un seul côté à la décharge
plasmagène de traitement, est réduit.
Il va sans dire que grâce aux pièces d'insertion représentées sur la figure 5 et à leurs formes respectives, éventuellement asymétriques, il est même possible d'ajuster avec précision la résistance à l'écoulement d'orifices 44 sélectionnés, situés au niveau d'une cavité 72 pour, par exemple, compenser un manque d'homogénéité au cours d'un traitement au
plasma.
Il convient enfin de préciser que si le réacteur selon la présente invention qui a été décrit a pour but principal de permettre d'atteindre une distribution homogène du gaz tout le long de l'espace de décharge plasmagène, on comprendra qu'il n'est pas nécessaire d'atteindre cette homogénéité mais, d'une manière plus générale, une distribution bien maîtrisée et
prédéterminée du gaz.
En outre, l'homme de l'art comprendra que la
présente description révèle clairement des procédés de
fabrication concernant des pièces à usiner respectives, dans lesquels un flux de gaz en direction d'un espace de décharge plasmagène et/ou des conditions électriques sont fixés et sélectionnés conformément à l'invention
comme cela a été décrit en relation avec la description
matérielle du réacteur.
Outre l'invention telle qu'elle est définie dans
les revendications annexées, les enseignements
proprement dits suivants sont respectivement considérés
comme inventifs.
I. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque; ainsi qu'un dispositif d'entrée de gaz, et un dispositif d'alimentation en énergie électrique pour les deux surfaces métalliques, la paroi et la plaque
étant isolées électriquement l'une de l'autre.
II. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz, et au moins un élément formant grille étant disposé à l'intérieur de la chambre de distribution à distance et le long de la plaque et de la paroi, élément formant grille qui est isolé électriquement vis-à-vis de la paroi et de la plaque. III. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz, la paroi comprenant une partie de bord latérale qui s'étend en direction et au-delà de la plaque le long de la périphérie en étant distant de celle-ci, la chambre communiquant par un dispositif d'orifice avec l'espace intermédiaire entre la partie de bord latérale et la périphérie de la plaque, et le dispositif d'orifice s'étendant sensiblement parallèlement à la plaque et
sensiblement perpendiculairement à la partie de bord.
IV. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz comportant de multiples orifices d'entrée de gaz répartis le long de la paroi et reliés à au moins un conduit d'alimentation en gaz du réacteur; ainsi qu'un dispositif d'alimentation en énergie électrique pour les deux surfaces métalliques, la paroi et la plaque
étant isolées électriquement l'une de l'autre.
V. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz comportant de multiples orifices d'entrée de gaz répartis le long de la paroi et reliés à au moins un conduit d'alimentation en gaz du réacteur, et au moins un élément formant grille étant disposé à l'intérieur de la chambre de distribution le long et à distance de la plaque et de la paroi, élément formant grille qui est isolé
électriquement vis-à-vis de la paroi et de la plaque.
VI. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz comportant de multiples orifices d'entrée de gaz répartis le long de la paroi et reliés à au moins un conduit d'alimentation en gaz du réacteur, la paroi comprenant également une
partie de bord latérale qui s'étend en direction et au-
delà de la périphérie de la plaque en étant distant de celle-ci, la chambre de distribution communiquant par un dispositif d'orifice avec l'espace intermédiaire entre la partie de bord latérale et la périphérie de la plaque, et le dispositif d'orifice s'étendant sensiblement parallèlement à la plaque et
perpendiculairement à la partie de bord latérale.
VII. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque; ainsi qu'un dispositif d'entrée de gaz, et un dispositif d'alimentation en énergie électrique pour les deux surfaces métalliques, la paroi et la plaque étant isolées électriquement l'une de l'autre et au moins un élément formant grille étant disposé à l'intérieur de la chambre de distribution le long et à distance de la plaque et de la paroi, élément formant grille qui est isolé électriquement vis-à-vis de la paroi et de la plaque. VIII. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque; ainsi qu'un dispositif d'entrée de gaz, et un dispositif d'alimentation en énergie électrique pour les deux surfaces métalliques, la paroi et la plaque étant isolées électriquement l'une de l'autre, la paroi comprenant une partie de bord latérale qui s'étend en direction et au-delà de la périphérie de la plaque en étant distant de celle-ci, la chambre de distribution communiquant par un dispositif d'orifice avec l'espace intermédiaire entre la partie de bord latérale et la périphérie de la plaque, et le dispositif d'orifice s'étendant sensiblement parallèlement à la plaque et sensiblement perpendiculairement à la partie de bord
latérale.
IX. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz, au moins un élément formant grille étant disposé à l'intérieur de la chambre de distribution le long et à distance de la plaque et de la paroi, élément formant grille qui est isolé électriquement vis-à-vis de la paroi et de la plaque, la paroi comprenant une partie de bord latérale qui s'étend en direction et audelà de la périphérie de la plaque en étant distant de celle-ci, la chambre communiquant par un dispositif d'orifice avec l'espace intermédiaire entre la partie de bord latérale et la périphérie de la plaque, et le dispositif d'orifice s'étendant sensiblement parallèlement à la plaque et
perpendiculairement à la partie de bord latérale.
X. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz comportant de multiples orifices d'entrée de gaz répartis le long de la paroi et reliés à au moins un conduit d'alimentation en gaz du réacteur; ainsi qu'un dispositif d'alimentation en énergie électrique pour les deux surfaces métalliques, la paroi et la plaque étant isolées électriquement l'une de l'autre; et également au moins un élément formant grille disposé à l'intérieur de la chambre de distribution le long et à distance de la plaque et de la paroi, l'élément formant grille étant isolé électriquement vis-à-vis de la paroi
et de la plaque.
XI. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz comportant de multiples orifices d'entrée de gaz répartis le long de la paroi et reliés à au moins un conduit d'alimentation en gaz du réacteur; ainsi qu'un dispositif d'alimentation en énergie électrique pour les deux surfaces métalliques, la paroi et la plaque étant isolées électriquement l'une de l'autre, la paroi comprenant une partie de bord latérale qui s'étend en direction et au-delà de la périphérie de la plaque en étant distant de celle-ci, la chambre de distribution communiquant par un dispositif d'orifice avec l'espace intermédiaire entre la partie de bord latérale et la périphérie de la plaque, et le dispositif d'orifice s'étendant sensiblement parallèlement à la plaque et sensiblement perpendiculairement à la partie de bord latérale. XII. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz comportant de multiples orifices d'entrée de gaz répartis le long de la paroi et reliés à au moins un conduit d'alimentation en gaz du réacteur, au moins un élément formant grille étant disposé à l'intérieur de la chambre de distribution le long et à distance de la plaque et de la paroi, élément formant grille qui est isolé électriquement de la paroi et de la plaque, la paroi comprenant également une partie de bord latérale qui s'étend en direction et au-delà de la périphérie de la plaque en étant distant de celle-ci, la chambre de distribution communiquant par un dispositif d'orifice avec l'espace intermédiaire entre la partie de bord latérale et la périphérie de la plaque, et le dispositif d'orifice s'étendant sensiblement parallèlement à la plaque et sensiblement
perpendiculairement à la partie de bord.
XIII. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz; ainsi qu'un dispositif d'alimentation en énergie électrique pour les deux surfaces métalliques, la paroi et la plaque étant isolées électriquement l'une de l'autre; et également au moins un élément formant grille disposé à l'intérieur de la chambre de distribution le long et à distance de la plaque et de la paroi, élément formant grille qui est isolé électriquement vis-à-vis de la paroi et de la plaque, la paroi comprenant une partie de bord latérale qui s'étend en direction et au-delà de la périphérie de la plaque en étant distant de celle-ci, la chambre de distribution communiquant par un dispositif d'orifice avec l'espace intermédiaire entre la partie de bord latérale et la périphérie de la plaque, et le dispositif d'orifice s'étendant sensiblement parallèlement à la plaque et sensiblement
perpendiculairement à la partie de bord.
XIV. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène, l'une au moins des surfaces métalliques étant la surface d'une plaque métallique transpercée par de multiples orifices d'alimentation en gaz débouchant dans l'espace de décharge à partir d'une chambre de distribution qui s'étend le long de la plaque, du côté opposé à l'espace de décharge, et la chambre de distribution comportant une paroi située en vis-à-vis et à distance de la plaque et comprenant un dispositif d'entrée de gaz comportant de multiples orifices d'entrée de gaz répartis le long de la paroi et reliés à au moins un conduit d'alimentation en gaz du réacteur; ainsi qu'un dispositif d'alimentation en énergie électrique pour les deux surfaces métalliques, la paroi et la plaque étant isolées électriquement l'une de l'autre; et au moins un élément formant grille disposé à l'intérieur de la chambre de distribution le long et à distance de la plaque et de la paroi, élément formant grille qui est isolé électriquement de la paroi et de la plaque, la paroi comprenant une partie de bord latérale qui s'étend en direction et au-delà de la périphérie de la plaque en étant distant de celle-ci, la chambre communiquant par un dispositif d'orifice avec l'espace intermédiaire entre la partie de bord latérale et la périphérie de la plaque, et le dispositif d'orifice s'étendant sensiblement parallèlement à la plaque et
sensiblement perpendiculairement à la partie de bord.
XV. Réacteur à plasma selon l'un quelconque des enseignements I à XIV, dans lequel le dispositif d'entrée de gaz comprend de multiples orifices d'entrée de gaz répartis le long de la paroi et dirigés vers la plaque, un certain nombre au moins des orifices d'entrée de gaz étant reliés à un conduit d'alimentation en gaz commun, et le coefficient de résistance à unécoulement de gaz entre le conduit d'alimentation en gaz et une majorité au moins des orifices d'entrée reliés à celui-ci étant au moins
sensiblement égal.
XVI. Réacteur selon l'un quelconque des enseignements I à XV, dans lequel certains au moins des orifices d'alimentation en gaz de la plaque qui sont disposés plus près de la périphérie de celle-ci ont des diamètres inférieurs à ceux des orifices d'alimentation en gaz de la plaque qui sont situés plus loin de la périphérie de celle-ci. XVII. Réacteur selon l'un quelconque des enseignements I à XVI, dans lequel une partie au moins des orifices d'alimentation en gaz de la plaque coopère avec des pièces d'insertion amovibles destinées à augmenter un
coefficient de résistance à un écoulement.
Bien que la description précédente ait porté sur de
nombreux modes de réalisation préférés de la présente invention, celle-ci n'est bien entendu pas limitée aux exemples particuliers décrits et illustrés ici et l'homme de l'art comprendra aisément qu'il est possible d'y apporter des variantes et modifications sans pour
autant sortir du cadre de l'invention.

Claims (4)

REVENDICATIONS
1. Réacteur à plasma comprenant une cuve de réacteur et, à l'intérieur de celle-ci, deux électrodes constituées par des surfaces métalliques (38, 42) espacées l'une de l'autre et disposées en vis-à-vis qui définissent entre elles un espace de décharge plasmagène (36), caractérisé en ce que l'une (38) au moins des surfaces métalliques est la surface d'une plaque métallique (40) transpercée par de multiples orifices d'alimentation en gaz (44) débouchant dans l'espace de décharge (36) à partir d'une chambre de distribution (46) qui s'étend le long de la plaque (40), du côté opposé à l'espace de décharge, et en ce que la chambre de distribution (46) comporte une paroi (31) située en vis-à-vis et à distance de la plaque (40) et comprend un dispositif d'entrée de gaz (48) comportant de multiples orifices d'entrée de gaz (50) répartis le long de la paroi (31) et reliés à au moins
un conduit d'alimentation en gaz (52) du réacteur.
2. Réacteur selon la revendication 1, caractérisé en ce que le dispositif d'entrée de gaz (48) comprend de multiples orifices d'entrée de gaz (50) répartis le long de la paroi (31) et dirigés vers la plaque (40), un certain nombre au moins des orifices d'entrée de gaz (50) étant reliés à un conduit d'alimentation en gaz commun (52); et en ce que le coefficient de résistance à un écoulement de gaz entre le conduit d'alimentation en gaz (52) et une majorité au moins des orifices d'entrée (50) reliés à celui-ci est au moins
sensiblement égal.
3. Réacteur selon la revendication 1 ou 2, caractérisé en ce que certains au moins des orifices d'alimentation en gaz (44) de la plaque (40) qui sont disposés plus près de la périphérie (P) de celle-ci ont des diamètres inférieurs à ceux des orifices d'alimentation en gaz de la plaque qui sont situés plus
loin de la périphérie de celle-ci.
4. Réacteur selon l'une quelconque des
revendications 1 à 3, caractérisé en ce qu'une partie
(72) au moins des orifices d'alimentation en gaz (44) de la plaque coopère avec des pièces d'insertion amovibles (78) destinées à augmenter un coefficient de
résistance à un écoulement.
FR0105619A 2000-04-26 2001-04-26 Reacteur a plasma hf Expired - Fee Related FR2808224B1 (fr)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/559,408 US6502530B1 (en) 2000-04-26 2000-04-26 Design of gas injection for the electrode in a capacitively coupled RF plasma reactor

Publications (2)

Publication Number Publication Date
FR2808224A1 true FR2808224A1 (fr) 2001-11-02
FR2808224B1 FR2808224B1 (fr) 2005-01-07

Family

ID=24233499

Family Applications (1)

Application Number Title Priority Date Filing Date
FR0105619A Expired - Fee Related FR2808224B1 (fr) 2000-04-26 2001-04-26 Reacteur a plasma hf

Country Status (7)

Country Link
US (3) US6502530B1 (fr)
JP (2) JP4786818B2 (fr)
KR (1) KR100746439B1 (fr)
CN (2) CN1330219C (fr)
DE (2) DE10119766B4 (fr)
FR (1) FR2808224B1 (fr)
TW (1) TW506232B (fr)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007045110A2 (fr) * 2005-10-17 2007-04-26 Oc Oerlikon Balzers Ag Moyens de nettoyage pour dispositifs pecvd a grande surface utilisant une source de plasma a distance
CN1322557C (zh) * 2001-11-30 2007-06-20 东京毅力科创株式会社 处理装置、气体放电抑制部件
EP2067877A1 (fr) * 2007-11-23 2009-06-10 Applied Materials, Inc. Dispositif de revêtement et procédé de production d'un ensemble d'électrode

Families Citing this family (430)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6986814B2 (en) * 2001-12-20 2006-01-17 General Electric Company Gas distributor for vapor coating method and container
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
JP4239520B2 (ja) * 2002-08-21 2009-03-18 ソニー株式会社 成膜装置およびその製造方法、並びにインジェクタ
JP4087674B2 (ja) * 2002-09-27 2008-05-21 株式会社ユーテック 半導体製造装置
WO2004032214A1 (fr) 2002-10-07 2004-04-15 Sekisui Chemical Co., Ltd. Systeme de formation de film par plasma
JP3723794B2 (ja) * 2002-10-07 2005-12-07 積水化学工業株式会社 プラズマ表面処理装置の電極構造
US20040072056A1 (en) * 2002-10-10 2004-04-15 Whiton John H. Cascade fuel inlet manifold for fuel cells
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
CN1791467B (zh) * 2003-05-15 2010-11-03 夏普株式会社 离子发生元件、离子发生装置、电气设备
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
KR100973899B1 (ko) * 2003-07-01 2010-08-03 주식회사 포스코 크레인 주행중의 비상 급제동장치
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
CH706979B1 (en) * 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
DE102004039969A1 (de) * 2004-08-18 2006-02-23 Leybold Optics Gmbh Plasmaquellenvorrichtung, Anordnung mit einer Plasmaquellenvorrichtung sowie Abstrahleinheit für eine Plasmaquellenvorrichtung
KR100702831B1 (ko) * 2004-08-20 2007-04-03 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100667676B1 (ko) 2004-10-15 2007-01-12 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
WO2007016701A2 (fr) * 2005-07-29 2007-02-08 Aviza Technology, Inc. Appareil de depot destine au traitement de semiconducteurs
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
KR100734775B1 (ko) 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
CN100355325C (zh) * 2005-09-30 2007-12-12 友达光电股份有限公司 用于等离子体蚀刻机器的气体分布电极
JP4683334B2 (ja) * 2006-03-31 2011-05-18 株式会社島津製作所 表面波励起プラズマ処理装置
DE102006020291A1 (de) * 2006-04-27 2007-10-31 Ipt Ionen- Und Plasmatechnik Gmbh Plasmaquelle
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5063969B2 (ja) * 2006-09-29 2012-10-31 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
EP1970467B1 (fr) * 2007-03-14 2012-05-16 Applied Materials, Inc. Chambre de refoulement pour installations de revêtement
US20080223294A1 (en) * 2007-03-14 2008-09-18 Applied Materials, Inc. Flooding Chamber For Coating Installations
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
TW200923125A (en) * 2007-11-23 2009-06-01 Applied Materials Inc Coating device and method of producing an electrode assembly
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US8097082B2 (en) 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
CN102017056B (zh) * 2008-05-02 2013-11-20 东电电子太阳能股份公司 用于衬底的等离子体处理的等离子体处理设备和方法
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
CN101307437B (zh) * 2008-06-19 2010-12-01 东莞宏威数码机械有限公司 射频电极及薄膜制备装置
US20100024729A1 (en) * 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
JP4540731B2 (ja) * 2008-09-29 2010-09-08 積水化学工業株式会社 表面処理用ノズル装置
TWI498053B (zh) * 2008-12-23 2015-08-21 Ind Tech Res Inst 電漿激發模組
KR101508314B1 (ko) * 2008-12-29 2015-04-06 주식회사 케이씨텍 플라즈마 처리장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101559470B1 (ko) * 2009-06-04 2015-10-12 주성엔지니어링(주) 화학 기상 증착 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (fr) * 2009-10-09 2011-04-14 Applied Materials, Inc. Conception d'une pomme de douche multi-gaz à refroidissement centralisé
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
CN101899652B (zh) * 2009-12-01 2012-05-02 东莞宏威数码机械有限公司 气体供应系统及方法
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US20130052369A1 (en) 2010-05-06 2013-02-28 Oerlikon Solar Ag, Truebbach Plasma reactor
JP5413305B2 (ja) * 2010-05-25 2014-02-12 信越半導体株式会社 エピタキシャル成長装置
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
DE102010027224A1 (de) 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
JP5771372B2 (ja) * 2010-08-02 2015-08-26 株式会社アルバック プラズマ処理装置及び前処理方法
JP2012082445A (ja) * 2010-10-06 2012-04-26 Ulvac Japan Ltd 真空処理装置、蒸着装置、プラズマcvd装置及び有機蒸着方法
WO2012090715A1 (fr) * 2010-12-28 2012-07-05 三洋電機株式会社 Dispositif de traitement de plasma
TWI419351B (zh) * 2011-02-14 2013-12-11 Sunshine Pv Corp 化學浴沉積機台及分配支流結構
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102534570B (zh) * 2012-01-04 2014-02-19 北京印刷学院 一种等离子体增强化学气相沉积微晶硅薄膜的方法
CN103205719B (zh) * 2012-01-17 2015-09-09 上海北玻镀膜技术工业有限公司 气体通道模块及应用其的气体分配装置
JP2014057047A (ja) * 2012-08-10 2014-03-27 Tokyo Electron Ltd 基板処理装置及びガス供給装置
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5862529B2 (ja) * 2012-09-25 2016-02-16 東京エレクトロン株式会社 基板処理装置及びガス供給装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101541154B1 (ko) * 2012-12-13 2015-08-03 엘아이지인베니아 주식회사 원자층 증착장치
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
GB2510615A (en) * 2013-02-08 2014-08-13 Glyndwr University Gas blade injection system
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR101467195B1 (ko) * 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
JP6170340B2 (ja) * 2013-05-21 2017-07-26 東京エレクトロン株式会社 ガス供給ヘッド、ガス供給機構及び基板処理装置
KR101446632B1 (ko) * 2013-06-24 2014-10-06 피에스케이 주식회사 기판 처리 장치 및 방법
CN103712229A (zh) * 2013-12-19 2014-04-09 常熟市联诚光源电器配件有限公司 新型芯柱生产设备集中送风系统
CN103697557A (zh) * 2013-12-19 2014-04-02 常熟市联诚光源电器配件有限公司 芯柱生产设备集中送风系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6584786B2 (ja) * 2015-02-13 2019-10-02 株式会社日立ハイテクノロジーズ プラズマイオン源および荷電粒子ビーム装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10378108B2 (en) 2015-10-08 2019-08-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN105555002A (zh) * 2016-02-01 2016-05-04 江苏微导纳米装备科技有限公司 一种动态平行板等离子体发生器
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) * 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6462613B2 (ja) * 2016-03-15 2019-01-30 株式会社東芝 分流構造
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR102525361B1 (ko) * 2016-04-27 2023-04-25 삼성디스플레이 주식회사 감압 건조 장치 및 이를 이용한 막 제조 방법
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6403106B2 (ja) * 2016-09-05 2018-10-10 信越半導体株式会社 気相成長装置
JP6628065B2 (ja) * 2016-09-05 2020-01-08 信越半導体株式会社 気相成長装置、エピタキシャルウェーハの製造方法及び気相成長装置用のアタッチメント
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6988083B2 (ja) * 2016-12-21 2022-01-05 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
JP6597732B2 (ja) * 2017-07-24 2019-10-30 東京エレクトロン株式会社 ガス処理装置
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019083645A2 (fr) * 2017-09-20 2019-05-02 Ih Ip Holdings Limited Système de réacteur modulaire pour réactions exothermiques
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019203975A1 (fr) * 2018-04-17 2019-10-24 Applied Materials, Inc Dalle en céramique chauffée
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
CN109585032B (zh) * 2018-10-29 2021-02-02 大连民族大学 一种耐高温全钨面向等离子体反应器
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US20220199379A1 (en) * 2019-04-26 2022-06-23 Lam Research Corporation High temperature heating of a substrate in a processing chamber
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
KR102500928B1 (ko) * 2019-10-14 2023-02-16 램 리써치 코포레이션 듀얼 플레넘 프랙탈 샤워헤드
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11932941B1 (en) * 2021-12-29 2024-03-19 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
US12000046B1 (en) 2021-12-29 2024-06-04 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
CN115156195A (zh) * 2022-06-10 2022-10-11 深圳泰德半导体装备有限公司 等离子清洗装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0261078A (ja) * 1988-08-24 1990-03-01 Nec Kyushu Ltd 平行平板型プラズマエッチング装置
US5622606A (en) * 1993-04-22 1997-04-22 Balzers Aktiengesellschaft Gas inlet arrangement
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur
JPH1154296A (ja) * 1997-08-05 1999-02-26 Sony Corp プラズマ発生装置およびプラズマ装置
JPH11181572A (ja) * 1997-12-22 1999-07-06 Kokusai Electric Co Ltd プラズマcvd装置
WO1999048138A1 (fr) * 1998-03-18 1999-09-23 Applied Materials, Inc. Distributeur de flux gazeux laminaire et uniforme sur une grande surface
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
WO2001011658A1 (fr) * 1999-08-10 2001-02-15 Unaxis Trading Ag Reacteur a plasma permettant de traiter des substrats a grande surface

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5946088B2 (ja) * 1980-08-20 1984-11-10 株式会社日立国際電気 気相反応装置
US4381965A (en) * 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
JPS5955343A (ja) * 1982-09-21 1984-03-30 Seiko Epson Corp プラズマcvd装置
US4550681A (en) * 1982-10-07 1985-11-05 Johannes Zimmer Applicator for uniformly distributing a flowable material over a receiving surface
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPH01154296A (ja) * 1987-12-10 1989-06-16 Fujitsu Ltd 文字切出方法
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01168023A (ja) * 1987-12-23 1989-07-03 Sharp Corp 半導体製造装置
JPH02234419A (ja) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
DE4029270C1 (fr) * 1990-09-14 1992-04-09 Balzers Ag, Balzers, Li
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JP3029494B2 (ja) * 1991-10-31 2000-04-04 東京エレクトロン株式会社 プラズマ装置
DE69301502T2 (de) * 1992-06-09 1996-09-12 Philips Electronics Nv Verfahren zur Herstellung eines Halbleiterbauelementes durch CVD
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH0661157A (ja) * 1992-08-12 1994-03-04 Sharp Corp 半導体製造装置
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3086362B2 (ja) * 1993-05-19 2000-09-11 東京エレクトロン株式会社 プラズマ処理装置
US5414324A (en) * 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
JP3342118B2 (ja) * 1993-06-29 2002-11-05 東京エレクトロン株式会社 処理装置
US5415753A (en) * 1993-07-22 1995-05-16 Materials Research Corporation Stationary aperture plate for reactive sputter deposition
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
JPH0766138A (ja) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd プラズマcvd装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
JP2702430B2 (ja) * 1995-01-27 1998-01-21 日本電気株式会社 半導体装置の製造方法
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP3113796B2 (ja) 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
JPH09129612A (ja) * 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
EP0854210B1 (fr) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Appareillage pour le dépôt de films minces à partir de la phase vapeur
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
WO1998033362A1 (fr) * 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
US5893985A (en) * 1997-03-14 1999-04-13 The Lincoln Electric Company Plasma arc torch
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH118225A (ja) * 1997-06-17 1999-01-12 Nkk Corp 平行平板電極型プラズマ処理装置
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JPH11152568A (ja) * 1997-11-20 1999-06-08 Citizen Watch Co Ltd 円筒状部材の内周面への硬質カーボン膜形成方法
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
JPH11274087A (ja) * 1998-03-25 1999-10-08 Toshiba Corp シャワープレート
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3375302B2 (ja) * 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6132805A (en) * 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6250250B1 (en) * 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
WO2000070117A1 (fr) * 1999-05-14 2000-11-23 The Regents Of The University Of California Dispositif de flux de plasma a grande plage de pressions compatible a basse temperature
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US7632379B2 (en) * 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0261078A (ja) * 1988-08-24 1990-03-01 Nec Kyushu Ltd 平行平板型プラズマエッチング装置
US5622606A (en) * 1993-04-22 1997-04-22 Balzers Aktiengesellschaft Gas inlet arrangement
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur
JPH1154296A (ja) * 1997-08-05 1999-02-26 Sony Corp プラズマ発生装置およびプラズマ装置
JPH11181572A (ja) * 1997-12-22 1999-07-06 Kokusai Electric Co Ltd プラズマcvd装置
WO1999048138A1 (fr) * 1998-03-18 1999-09-23 Applied Materials, Inc. Distributeur de flux gazeux laminaire et uniforme sur une grande surface
WO2001011658A1 (fr) * 1999-08-10 2001-02-15 Unaxis Trading Ag Reacteur a plasma permettant de traiter des substrats a grande surface

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 014, no. 242 (C - 0721) 23 May 1990 (1990-05-23) *
PATENT ABSTRACTS OF JAPAN vol. 1999, no. 05 31 May 1999 (1999-05-31) *
PATENT ABSTRACTS OF JAPAN vol. 1999, no. 12 29 October 1999 (1999-10-29) *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1322557C (zh) * 2001-11-30 2007-06-20 东京毅力科创株式会社 处理装置、气体放电抑制部件
WO2007045110A2 (fr) * 2005-10-17 2007-04-26 Oc Oerlikon Balzers Ag Moyens de nettoyage pour dispositifs pecvd a grande surface utilisant une source de plasma a distance
WO2007045110A3 (fr) * 2005-10-17 2007-07-12 Oc Oerlikon Balzers Ag Moyens de nettoyage pour dispositifs pecvd a grande surface utilisant une source de plasma a distance
EP2067877A1 (fr) * 2007-11-23 2009-06-10 Applied Materials, Inc. Dispositif de revêtement et procédé de production d'un ensemble d'électrode

Also Published As

Publication number Publication date
US9045828B2 (en) 2015-06-02
JP4786818B2 (ja) 2011-10-05
JP2002075692A (ja) 2002-03-15
CN1181709C (zh) 2004-12-22
DE10119766B4 (de) 2009-02-26
DE20122901U1 (de) 2009-08-27
CN1330507A (zh) 2002-01-09
US6502530B1 (en) 2003-01-07
TW506232B (en) 2002-10-11
JP5271874B2 (ja) 2013-08-21
US20080093341A1 (en) 2008-04-24
CN1330219C (zh) 2007-08-01
KR100746439B1 (ko) 2007-08-03
US20030070761A1 (en) 2003-04-17
KR20010098908A (ko) 2001-11-08
CN1607889A (zh) 2005-04-20
US7306829B2 (en) 2007-12-11
FR2808224B1 (fr) 2005-01-07
JP2010067615A (ja) 2010-03-25
DE10119766A1 (de) 2001-11-08

Similar Documents

Publication Publication Date Title
FR2808224A1 (fr) Reacteur a plasma hf
FR2500852A1 (fr)
CA2899229C (fr) Source de plasma
FR2616030A1 (fr) Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
FR2491501A1 (fr) Procede et appareil pour realiser le depot reactif d'un revetement d'oxyde sur un substrat
FR2484463A1 (fr) Procede et appareil de revetement par pulverisation ionique sans gaz
FR2490246A1 (fr) Dispositif de deposition chimique activee sous plasma
FR2505881A1 (fr) Procede et dispositif pour doper un materiau semi-conducteur
EP0263788B1 (fr) Procédé et installation de dépôt de silicium amorphe hydrogène sur un substrat dans une enceinte à plasma
EP3507838B1 (fr) Dispositif de traitement de pièces
CH687464A5 (fr) Dépôt de différents matériaux sur un substrat.
CA2700575A1 (fr) Procede de traitement de surface d'au moins une piece au moyen de sources elementaires de plasma par resonance cyclotronique electronique
FR2600269A1 (fr) Procede et agencement pour pulveriser une matiere par decharge a haute frequence
FR2576918A1 (fr) Source de vapeur pour installations de revetement sous vide
FR2585371A1 (fr) Procede et dispositif de revetement de micro-depressions
FR2880632A1 (fr) Systeme de depot par pulverisation cathodique aide par plasma
EP0815284B1 (fr) Procede et appareil pour le depot assiste par plasma sur un substrat a deux faces
KR101318240B1 (ko) 피막 표면 처리 방법 및 피막 표면 처리 장치
FR2584099A1 (fr) Agencement pour traiter des pieces dans une chambre a vide
EP0780486A1 (fr) Procédé et dispositif pour la formation d'un revêtement sur un substrat
JP3639453B2 (ja) 化合物半導体薄膜の製造装置およびこれを用いた化合物半導体薄膜の製造方法
JP3507889B2 (ja) アモルファスシリコン薄膜の成膜方法
FR2762928A1 (fr) Structure composite comportant un substrat de croissance comportant plusieurs composants micro-electroniques et une couche de diamant, et procede pour sa fabrication
FR3096058A1 (fr) Dispositif de depôt chimique en phase vapeur presentant des zones de depôt reconfigurables
FR2607830A1 (fr) Procede et dispositif pour le depot par vaporisation a l'interieur d'un tube

Legal Events

Date Code Title Description
ST Notification of lapse

Effective date: 20141231