DE10060002A1 - Vorrichtung zur Oberflächenbehandlung - Google Patents

Vorrichtung zur Oberflächenbehandlung

Info

Publication number
DE10060002A1
DE10060002A1 DE10060002A DE10060002A DE10060002A1 DE 10060002 A1 DE10060002 A1 DE 10060002A1 DE 10060002 A DE10060002 A DE 10060002A DE 10060002 A DE10060002 A DE 10060002A DE 10060002 A1 DE10060002 A1 DE 10060002A1
Authority
DE
Germany
Prior art keywords
plasma
hollow
substrate
surface treatment
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10060002A
Other languages
English (en)
Other versions
DE10060002B4 (de
Inventor
Toshihiro Tabuchi
Kouchi Ishida
Hiroyuki Mizukami
Masayuki Takashiri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Komatsu Ltd
Original Assignee
Komatsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000037482A external-priority patent/JP2001230208A/ja
Priority claimed from JP2000066106A external-priority patent/JP4212210B2/ja
Application filed by Komatsu Ltd filed Critical Komatsu Ltd
Publication of DE10060002A1 publication Critical patent/DE10060002A1/de
Application granted granted Critical
Publication of DE10060002B4 publication Critical patent/DE10060002B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Abstract

Die vorliegende Erfindung liefert eine Oberflächenbehandlungsvorrichtung, die eine Oberfläche mit hoher Geschwindigkeit und hoher Qualität behandeln kann. Ein Gehäuse (2) einer Oberflächenbehandlungsvorrichtung (1) ist in zwei Kammern definiert, eine Plasmaerzeugungskammer (3), die mit einer Plasmaerzeugungselektrode (5, 6) versehen ist, und eine Substratbehandlungskammer (4), die mit einem Substratträgertisch (9) versehen ist. Eine Plasmadüse (7) ist an der Anodenelektrode (6) ausgebildet, die eine Trennwand für die beiden Kammern (3, 4) bildet. Eine Aussparung (5a) ist an einer oberen Kathodenelektrode (5) ausgebildet. Ferner wird die Plasmadüse (7) als ein Erzeugungsbereich für eine Hohlanodenentladung und die Aussparung (5a) als ein Erzeugungsbereich für eine Hohlkathodenentladung eingesetzt.

Description

Hintergrund der Erfindung 1. Bereich der Erfindung
Die vorliegende Erfindung betrifft verschiedene Oberflächenbehandlungen eines Substrats und insbesondere eine Vorrichtung zur Oberflächenbehandlung, die dafür geeignet ist, einen Film auf einem Substrat zu bilden, und noch genauer eine Vorrichtung zur Oberflächenbehandlung zur Bildung eines kristallinen dünnen Films von sehr hoher Qualität mit einer hohen Geschwindigkeit.
2. Beschreibung der betreffenden Technik
Konventionell ist eine Vorrichtung zur Oberflächenbehandlung zur Anätzung, Filmbildung oder Durchführung anderer Oberflächenbehandlungen durch Überführen eines reaktiven Gases in einen Plasmazustand, indem elektrische Hochfrequenzenergie auf eine parallele Plattenelektrode geleitet und es in ein chemisch aktives Ion oder Radikal gespalten wird, weithin bekannt.
Beispielsweise werden in einer konventionellen, flachen plattenartigen Plasma- CVD (Chemical Vapor Deposition)-Vorrichtung zur Filmbildung ein Paar flache plattenartige Elektroden zur Erzeugung von Plasma einander parallel gegenüberliegend in einem Gehäuse angeordnet. Eine der Plasmaerzeugungselektroden dient auch als Trägertisch für das Substrat und außerdem ist die Vorrichtung mit einer Heizvorrichtung versehen, um die Substrattemperatur auf eine für Dampfbildung geeignete Temperatur einzustellen. Wenn zwischen den beiden Elektroden zur Plasmaerzeugung elektrische Energie durch eine Hochfrequenzstromquelle (Stromquelle mit 13,56 MHz) erzeugt wird, während ein Substrat auf der einen Elektrode angeordnet ist, wird zwischen diesen Elektroden Plasma erzeugt und das Rohgas, beispielsweise Silikomethangas, wird aktiviert zur Bildung eines Silikonfilms auf der Substratoberfläche.
Solch eine konventionelle, parallel arbeitende, flache plattenartige Plasma-CVD- Vorrichtung bietet den Vorteil, in der Lage zu sein, in einem einzigen Filmbildungsverfahren einen Film auf einem Substrat mit großer Fläche zu bilden, indem die Fläche der flachen plattenartigen Plasmaerzeugungselektrode, worauf das Substrat angeordnet ist, vergrößert wird. Jedoch wird in der konventionellen, parallel arbeitenden, flachen plattenartigen Plasma-CVD-Vorrichtung das Rohgas, das von den beiden Plasmaerzeugungselektroden in Plasma überführt wird, gleichmäßig in einer Gasverarbeitungskammer zur Filmbildung verteilt, und nur ein Teil davon trägt zur Bildung des Films auf dem auf der Elektrode angeordneten Substrat bei. Daher ist die Nutzeffizienz des Rohgases gering, und wenn beispielsweise ein amorpher dünner Silikonfilm oder ein feinkristalliner dünner Silikonfilm auf dem Substrat erzeugt werden soll, ist die Filmherstellungsgeschwindigkeit trotz einer starken zugeführten elektrischen Energie mit ungefähr 1 bis 2 Å/Sek. zu langsam. Als Folge wird sehr viel Zeit benötigt zur Herstellung einer Halbleitervorrichtung, die eine relativ große Dicke aufweist, wie eine Solarzelle, was zu einem geringen Durchsatz und hohen Kosten führt.
Zur Erhöhung der Filmherstellungsgeschwindigkeit wird daher vorgeschlagen, die von der Hochfrequenzstromquelle zugeführte elektrische Energie zu erhöhen. Die Erhöhung der zugeführten elektrischen Energie impliziert jedoch den Anstieg geladener Teilchen in dem Plasma. Die Filmqualität auf dem Substrat wird durch Schädigungen aufgrund der Kollision stark stromführender geladener Teilchen mit dem Substrat verschlechtert. Außerdem wird aufgrund der Erhöhung der Hochfrequenzenergie durch die Hochfrequenzstromquelle eine Menge feiner Partikel in der Dampfphase erzeugt und die Filmqualität wird durch die feinen Partikel erheblich verschlechtert.
Folglich sollte die zugeführte elektrische Energie bei den konventionellen, parallel arbeitenden, flachen plattenartigen Plasma-CVD-Vorrichtungen begrenzt werden, um die Verschlechterung der Filmqualität aufgrund von Schädigungen durch stark stromgeladene Teilchen oder feine Partikel zu vermeiden. Mit anderen Worten gibt es eine im wesentlichen obere Grenze für die zugeführte elektrische Energie und es ist unmöglich, die Filmherstellungsgeschwindigkeit über einen bestimmten Grad hinaus zu erhöhen.
Bei den parallel arbeitenden, flachen plattenartigen Plasma-CVD-Vorrichtungen zur Anätzung ist es auch möglich, die Behandlungsgeschwindigkeit bis zu einem gewissen Grad durch Erhöhung der zugeführten elektrischen Energie zu erhöhen, da die Verschlechterung der Behandlungsqualität durch die Erhöhung der zugeführten elektrischen Energie im Vergleich zu dem Filmherstellungsverfahren relativ gering ist. Dennoch wird derzeit noch eine weitere Geschwindigkeitserhöhung der Behandlung im Hinblick auf eine Qualitätsverbesserung der Anätzungsbehandlung, eine Verbesserung der Herstellungseffizienz oder Verringerung der Herstellungskosten gewünscht.
Auf der anderen Seite wird bei der in der japanischen Patentveröffentlichung Nr. 11-145492 dargelegten Vorrichtung zur Herstellung von photochemischen Vorrichtungen auf einem bandförmigen Element, welches ein zu behandelndes laufendes Element ist, das Elektrodenpotential der Kathode während der Erzeugung der Glimmentladung bei +30 V oder mehr bezüglich der geerdeten Anode einschließlich des bandförmigen Elementes positiv gehalten, indem der Oberflächenbereich in dem Entladungsraum der mit Hochfrequenzstrom gespeisten Elektrode (Kathode) größer als der Oberflächenbereich in dem Entladungsraum der gesamten Anode einschließlich des bandförmigen Elementes gestaltet wird. Außerdem wird eine Vielzahl von als Teiler ausgebildeten Elektroden rechtwinklig zu der Laufrichtung des bandförmigen Elementes auf der Kathode angeordnet, um auch eine Entladung zwischen angrenzenden als Teiler ausgebildeten Elektroden zu erzeugen. Somit werden die Gaserregungs- und - spaltungsreaktion auf der Anodenseite einschließlich des bandförmigen Elementes beschleunigt, indem die Kathode bei +30 V oder mehr bezüglich des bandförmigen Elementes und der Anode positiv gehalten wird, und indem zugleich eine solche Kathodenstruktur einschließlich der als Teiler ausgebildeten Elektroden, wie oben erwähnt, geschaffen wird.
Es ist festzustellen, daß die in der oben stehenden Veröffentlichung dargelegten Vorrichtung zur Herstellung der photochemischen Vorrichtung dafür bestimmt ist, die Filmherstellungsgeschwindigkeit zu verbessern, indem die Gaserregungs- und -spaltungsreaktion auf der Anodenseite einschließlich des bandförmigen Elementes beschleunigt wird. Dennoch bleibt die Schädigung aufgrund der Kollision mit den geladenen Teilchen bestehen, da die Glimmentladung weiterhin in dem Raum zwischen dem bandförmigen Element und der Kathode erzeugt wird.
Daher ist bei der beispielsweise in der japanischen Patentveröffentlichung Nr. 61- 32417 dargelegten Vorrichtung zur Herstellung eines dünnen Films ein Generator für aktiviertes Gas, der eine Trennkammer mit einem Paar einander gegenüberliegend angeordneter Plasmaerzeugungselektroden aufweist, in einer Vakuumkammer angeordnet, um einen dünnen Film auf dem Substrat zu bilden. Eine einzige schmale Öffnung ist in einem Wandbereich des Generators für aktiviertes Gas ausgebildet, um aktiviertes Gas in die Vakuumkammer zu sprühen. Zusätzlich wird das Substrat in der Vakuumkammer an einer der schmalen Öffnung gegenüberliegenden Stelle getragen.
Bei der Vorrichtung zur Herstellung eines dünnen Films wird Plasma erzeugt, indem Hochfrequenzenergie auf das Paar Plasmaerzeugungselektroden geleitet und eine Glimmentladung zwischen beiden Elektroden erzeugt wird. Rohgas, das in den Generator für aktiviertes Gas eingeführt wird, wird von diesem Plasma gespalten. In diesem Moment wird das aktivierte Rohgas aus der schmalen Öffnung auf das Substrat gesprüht, indem der Vakuumgrad der Vakuumkammer durch Einstellung der in der Vakuumkammer angeordneten Vakuumpumpe und der Konduktanz der schmalen Öffnung um zwei bis drei Stellen nach rechts geringer als der Generator für das aktivierte Gas eingestellt wird.
So kann die Filmherstellungsgeschwindigkeit erhöht werden, ohne die zugeführte elektrische Energie in der Vorrichtung zur Herstellung eines dünnen Films zu erhöhen, wobei Plasmaerzeugungselektroden zur Herstellung dieses dünnen Films in dem in der Vakuumkammer definierten Generator für aktiviertes Gas angeordnet sind und Rohgas, das in dem Generator für aktiviertes Gas aktiviert wurde, aktiv auf das Substrat gesprüht wird. Selbst wenn außerdem ein stärkeres Plasma durch Erhöhung der zugeführten elektrischen Energie erzeugt wird, besteht, da die Plasmaerzeugungselektroden in dem definierten Generator für aktiviertes Gas angeordnet sind, kein Risiko, daß die Glimmentladung zwischen den beiden Elektroden das Substrat beschädigt. Daher ist es möglich, die Filmherstellungsgeschwindigkeit durch Erhöhung der zugeführten elektrischen Energie weiter zu erhöhen. Zusätzlich kann der dünne Hochqualitätsfilm schneller als zuvor hergestellt werden, da die Kristallisation des dünnen Films trotz der Beschleunigung der Filmherstellung beschleunigt wird.
So ist die Filmherstellungsgeschwindigkeit sicherlich durch Teilung der Plasmaerzeugungskammer und der Filmherstellungskammer erhöht worden; dennoch besteht ein Bedarf an einer weiteren Erhöhung der Filmherstellungsgeschwindigkeit, und insbesondere wird eine hohe Herstellungsgeschwindigkeit für einen dünnen kristallinen Film zum Einsatz bei Solarzellen oder dergleichen erwartet.
Zusammenfassung der Erfindung
Zur Erfüllung solcher Erwartungen ist es ein Gegenstand der vorliegenden Erfindung, eine Vorrichtung zur Oberflächenbehandlung bereitzustellen, welche eine Oberfläche mit hoher Geschwindigkeit und hoher Qualität behandeln kann.
Zur Lösung eines solchen Problems liefert ein erster Aspekt der vorliegenden Erfindung eine Vorrichtung zur Oberflächenbehandlung zur Herstellung von Rohgasplasma in einem Gehäuse, das mit Plasmaerzeugungsvorrichtungen, einem Rohgaseinlaß und einem Substratträgertisch versehen ist, indem Plasma durch die Plasmaerzeugungsvorrichtungen erzeugt und die Oberfläche eines auf dem Substratträgertisch angeordneten Substrats mit Plasma behandelt wird, wobei das Gehäuse in zwei Kammern unterteilt ist, nämlich die Plasmaerzeugungskammer, die mit den Plasmaerzeugungsvorrichtungen versehen ist, und eine Substratbehandlungskammer, die mit dem Substratträgertisch versehen ist, wobei die Substratbehandlungskammer und die Plasmaerzeugungskammer durch eine oder mehrere Plasmadüsen verbunden sind, und wobei ein Bereich zur Erzeugung einer Hohlentladung in wenigstens einem Bereich des hohlen Innenraumes ausgebildet ist.
Ferner liefert ein zweiter Aspekt der vorliegenden Erfindung eine Vorrichtung zur Oberflächenbehandlung zur Herstellung von Rohgasplasma in einem Gehäuse, das mit Plasmaerzeugungsvorrichtungen, einem Rohgaseinlaß und einem Substratträgertisch versehen ist, indem Plasma durch die Plasmaerzeugungsvorrichtungen erzeugt und die Oberfläche eines auf dem Substratträgertisch angeordneten Substrats mit Plasma behandelt wird, wobei das Gehäuse in zwei Kammern unterteilt ist, nämlich die Plasmaerzeugungskammer, die mit den Plasmaerzeugungsvorrichtungen versehen ist, und die Substratbehandlungskammer, die mit dem Substratträgertisch versehen ist, wobei die Substratbehandlungskammer und die Plasmaerzeugungskammer durch eine oder mehrere Plasmadüsen verbunden sind, und wobei eine Elektrode zur Hohlplasmaerzeugung einschließlich eines oder mehrerer Bereiche zur Erzeugung einer Hohlentladung in der Plasmaerzeugungskammer angeordnet ist.
Zusätzlich liefert ein dritter Aspekt der vorliegenden Erfindung eine Vorrichtung zur Oberflächenbehandlung zur Herstellung von Rohgasplasma in einem Gehäuse, das mit Plasmaerzeugungsvorrichtungen, einem Rohgaseinlaß und einem Substratträgertisch versehen ist, indem Plasma durch die Plasmaerzeugungsvorrichtungen erzeugt und die Oberfläche eines auf dem Substratträgertisch angeordneten Substrats mit Plasma behandelt wird, wobei das Gehäuse in zwei Kammern unterteilt ist, nämlich die Plasmaerzeugungskammer, die mit den Plasmaerzeugungsvorrichtungen versehen ist, und eine Substratbehandlungskammer, die mit dem Substratträgertisch versehen ist, wobei die Substratbehandlungskammer und die Plasmaerzeugungskammer durch eine oder mehrere Plasmadüsen verbunden sind, wobei wenigstens eine der Plasmadüsen als ein Bereich zur Erzeugung einer Hohlentladung ausgebildet ist, und wobei eine Elektrode zur Hohlplasmaerzeugung einschließlich eines oder mehrerer Bereiche zur Erzeugung einer Hohlentladung in der Plasmaerzeugungskammer angeordnet ist.
Es bleibt festzuhalten, daß in der vorliegenden Erfindung mit Hohlentladung das Phänomen der erhöhten Plasmadichte bezeichnet wird, das aufgrund der verbesserten Plasmaerzeugung auftritt, die insbesondere in Durchgangslöchern, Vertiefungs- oder Hohlraumbereichen zu beobachten ist.
Als Plasmaerzeugungsvorrichtungen können Vorrichtungen zur Entladung durch ein Paar Plasmaerzeugungselektroden mit eine Kathode und einer Anode, zur Entladung durch Elektroden mit drei Polen oder mehr, zur Mikrowellenentladung, zur kapazitiven Kopplungsentladung, zur induktiven Kopplungsentladung, zur Helikonwellenentladung, zur Magnetronentladung, zur Entladung durch Elektronenstrahlerregung oder andere eingesetzt werden.
Die Plasmadüse ist in der Trennwand zwischen der Substratbehandlungskammer und einer Plasmaerzeugungskammer ausgebildet. Gemäß dem ersten und dritten Aspekt der Erfindung wird die an dieser Plasmadüse erzeugte Hohlentladung durch das Potential der Plasmadüse entweder zu einer Kathodenhohlentladung oder einer Anodenhohlentladung.
Wenn beispielsweise ein Paar Plasmaerzeugungselektroden mit einer Kathode und einer Anode als Plasmaerzeugungsvorrichtungen eingesetzt werden, kann jeweils eine dieser Elektroden als Trennwand benutzt werden. Wenn die Anodenelektrode als Trennwand benutzt wird und die Plasmadüse an der Anodenelektrode ausgebildet ist, wird die Hohlentladung zu einer anodischen Hohlglimmentladung. Wenn die Kathodenelektrode als Trennwand benutzt wird und die Plasmadüse an der Kathodenelektrode ausgebildet ist, wird die Hohlentladung zu einer kathodischen Hohlglimmentladung. Es ist festzuhalten, daß in der vorliegenden Erfindung die Elektrode auf der Seite der Entladung zum Anlegen der elektrischen Hauptenergie als "Kathodenelektrode" und die der Kathodenelektrode gegenüberliegende Elektrode als "Anodenelektrode" dient. Stattdessen kann eine Trennwand, welche zwei Kammern definiert, unabhängig von einem Paar Plasmaerzeugungselektroden, die Plasmaerzeugungsvorrichtungen bilden, angeordnet werden, um eine Plasmadüse in dieser Trennwand auszubilden.
Gemäß dem zweiten und dritten Aspekt der Erfindung kann, wenn ein Paar Plasmaerzeugungselektroden mit einer Kathode und einer Anode als Plasmaerzeugungsvorrichtungen eingesetzt werden, wenigstens eine der Plasmaerzeugungselektroden auch als die Elektrode für die Hohlplasmaerzeugung benutzt werden. Stattdessen kann die Elektrode für die Hohlplasmaerzeugung als dritte Elektrode, unabhängig von den Plasmaerzeugungselektroden angeordnet werden.
Zur Durchführung der Oberflächenbehandlung mit der zuvor erwähnten Vorrichtung zur Oberflächenbehandlung werden zuerst ein Rohgas und ein Trägergas durch eine Gasversorgungsleitung in das Gehäuse eingespritzt und Plasma wird in der Plasmaerzeugungskammer von den Plasmaerzeugungsvorrichtungen erzeugt. In diesem Moment können, da die Oberflächenbehandlungsvorrichtung der vorliegenden Erfindung in die Plasmaerzeugungskammer und die Substratbehandlungskammer unterteilt ist, das Trägergas und das Rohgas effizient genutzt und die Überführung des Trägergases und des Rohgases in Plasma beschleunigt werden.
Das in der Plasmaerzeugungskammer erzeugte Plasma strömt aus der Plasmadüse in die Substratbehandlungskammer aufgrund des inneren Gasstromes, der aus der Substratbehandlungskammer austritt, oder des Druckgefälles zwischen den beiden Kammern oder aufgrund der Dispersion. Zu diesem Zeitpunkt wird das Plasma in der Plasmaerzeugungskammer gleichmäßig von der Plasmadüse in die Substratbehandlungskammer transportiert, wobei eine geeignete Gasströmungsgeschwindigkeit, ein geeigneter Gasdruck und geeignete Plasmaparameter geschaffen werden.
Das Rohgas kann auch während der Phase eingeleitet werden, in welcher in der Plasmaerzeugungskammer erzeugtes Plasma aus der Plasmadüse ausströmt und die Substratoberfläche erreicht. Das aktivierte Rohgas in Plasmaform erreicht die Substratoberfläche in der Behandlungskammer durch den Plasmastrom, und an dem Substrat werden eine Anätzung, eine Filmbildung oder eine andere Oberflächenbehandlung durchgeführt.
Gemäß dem ersten Aspekt der Erfindung ist es wichtig, eine Hohlentladung an wenigstens einer der Plasmadüsen zu erzeugen. Da durch diese Hohlentladung neues Plasma an der Plasmadüse erzeugt wird, wird die in die Substratbehandlungskammer gelenkte Plasmadichte erhöht. Wie bei in der Plasmaerzeugungskammer erzeugtem Plasma nimmt die Energie geladener Teilchen (Elektron oder Ion) ferner durch Wechselwirkungen, wie eine Kollision, ab, wenn es durch die Plasmadüse strömt, wo die Hohlentladung stattfindet. Durch den Abfall der Elektronenenergie weisen die Elektronen eine geeignete Energiestärke auf, die ausreicht für die Erzeugung neutraler aktiver Teilchen, welche zu der Oberflächenbehandlung durch das Rohgas beitragen, und die gemäßigt genug ist, um nicht zu oft Ionen zu erzeugen, welche die Substratoberfläche durch Kollision schädigen, was zu einem Anstieg der neutralen aktiven Teilchen ohne Anstieg der Ionen führt. Außerdem kann die Auswirkung der Substratschädigung durch diese Ionen durch Verringerung der stark energiegeladenen Ionen in dem Plasma begrenzt werden.
Somit kann die Oberflächenbehandlung beschleunigt werden, da die zu der Oberflächenbehandlung beitragenden neutralen aktiven Teilchen durch die Erhöhung der Plasmadichte, die aufgrund der Hohlentladung stattfindet, an Zahl zunehmen. Außerdem kann die Verschlechterung der Substratoberfläche kontrolliert und eine Oberflächenbehandlung von hoher Qualität mit großer Geschwindigkeit durchgeführt werden, indem die Energie der in dem Plasma vorhandenen und das Substrat durch Kollision schädigenden Ionen verringert wird.
Gemäß dem zweiten Aspekt der Erfindung ist es wichtig, Elektroden zur Hohlplasmaerzeugung in der Plasmaerzeugungskammer anzuordnen. Wenn beispielsweise ein Paar Plasmaerzeugungselektroden mit einer Kathode und einer Anode als Plasmaerzeugungsvorrichtungen eingesetzt werden, kann wenigstens eine dieser Elektroden als Elektrode zur Hohlplasmaerzeugung verwendet werden. Es ist nämlich erforderlich, daß die anodische Hohlentladung an der Anodenelektrode oder die kathodische Hohlentladung an der Kathodenelektrode stattfindet, oder daß die Hohlentladung jeweils an beiden Elektroden stattfindet. Die Erzeugung der Hohlentladung schafft neues Plasma in diesem Erzeugungsbereich der Hohlentladung, wodurch Plasma, das in die Substratbehandlungskammer gelenkt wird, verdichtet wird, wodurch die neutralen aktiven Teilchen ansteigen, die zu der Oberflächenbehandlung beitragen, und die Geschwindigkeit der Oberflächenbehandlung weiter gesteigert wird.
Gemäß dem dritten Aspekt der Erfindung werden sowohl die Hohlentladung an der Plasmadüse als auch die oben erwähnte Hohlentladung an der Elektrode zur Hohlplasmaerzeugung durchgeführt. Folglich ergeben sich die zuvor erwähnten jeweiligen funktionalen Wirkungen sowohl der Hohlentladung an der Plasmadüse als auch der Hohlentladung an der Elektrode zur Hohlplasmaerzeugung, wodurch die Geschwindigkeit und die Qualität der Oberflächenbehandlung weiter gesteigert werden.
Wenn außerdem nicht nur die Hohlentladung an der Plasmadüse, sondern auch die Hohlentladung an der Elektrode zur Hohlplasmaerzeugung durchgeführt wird, können zusätzlich zu den zuvor erwähnten jeweiligen funktionalen Wirkungen die folgenden funktionalen Synergieeffekte erreicht werden. Wenn nämlich nicht nur die Hohlentladung an der Plasmadüse, sondern auch die Hohlentladung an der Elektrode zur Hohlplasmaerzeugung durchgeführt wird, sinkt die Elektronentemperatur in dem Bereich der Hohlentladung der Elektrode ab und zugleich steigt die Elektronendichte an, was zu einer Verbesserung der Leistung als Behandlungsplasma führt. Und wenn ferner die Kathodenelektrode die Elektrode zur Hohlplasmaerzeugung ist und die Hohlentladung an der Kathodenelektrode stattfindet, steigt das Raumpotential des in der Plasmaerzeugungskammer erzeugten Plasmas an, da die Hochfrequenzspannung an der Kathodenelektrode abnimmt, und zugleich steigt die vollautomatische Gitterspannung an. Als eine Folge findet die Hohlentladung leicht an der Plasmadüse statt, wodurch ermöglicht wird, Plasma mit hoher Dichte an der Plasmadüse zu erzeugen. Außerdem findet aus demselben Grund die elektrische Feldkonzentration in der Plasmaerzeugungskammer leichter statt, und eine ungleichmäßige Entladung von Plasma mit örtlich hoher Dichte kann erzeugt werden.
Als Elektrodenmaterial der Elektrode zur Hohlplasmaerzeugung und als Elektrodenmaterial, wenn ein Paar Plasmaerzeugungselektroden als Plasmaerzeugungsvorrichtungen verwendet wird, kann neben SUS oder Al, Ni, Si, Mo, W oder dergleichen eingesetzt werden. Wenn ein Elektrodenmaterial verwendet wird, das einen hohen sekundären Ionenentladungskoeffizienten aufgrund des Ioneneinflusses aus dem Plasma aufweist, wird die Behandlungsgeschwindigkeit gesteigert, da die Plasmadichte weiter ansteigt. Insbesondere im Fall einer Vorrichtung zur Oberflächenbehandlung, welche die Herstellung eines Silikonfilms durchführt, steigert die Verwendung von Si als Elektrodenmaterial außerdem die Herstellungsgeschwindigkeit des Films und dessen Stabilität, da diese Elektrode selbst als Versorgungsquelle für, das Filmmaterial dient. Wenn außerdem eine aus Si hergestellte Elektrode zuvor mit Bor oder Phosphor dotiert wird, kann der dünne Film vollautomatisch gedopt werden, und dies ist insbesondere vorteilhaft zum Dotieren einer Spur.
Als Substrat können Glas, organische Folie, SUS oder andere Metalle verwendet werden. Ferner kann die Oberflächenbehandlungsvorrichtung der vorliegenden Erfindung für verschiedene Oberflächenbehandlungen, wie die Herstellung eines Films, Schwabbeln, Beizen, Ionendotieren, eingesetzt werden, und außerdem kann sie besonders vorzugsweise zur Herstellung eines dünnen Silikonfilms, wie eines kristallinen Silikon- oder Oxidfilms benutzt werden.
Wenn eine Anzahl von Plasmadüsen angeordnet werden soll, wird vorzugsweise eine Hohlentladung an allen diesen Düsen erzeugt, da dies gestattet, einen gleichmäßigen dünnen Film mit einer hohen Geschwindigkeit, selbst auf einem großflächigen Substrat auszubilden.
Der Rohgaseinlaß kann in die Plasmaerzeugungskammer münden, oder es kann nur Trägergas in die Plasmaerzeugungskammer eingeleitet werden, und der Rohgaseinlaß kann auf der Seitenfläche der Plasmadüse vorgesehen sein. Außerdem kann der Rohgaseinlaß unter Verwendung von beispielsweise einem Rohgaseinleitrohr ausgebildet sein, um das Rohgas zwischen die Plasmadüse und das Substrat in der Substratbehandlungskammer zu leiten. Wenn der Rohgaseinlaß an der Plasmadüse oder in der Substratbehandlungskammer mündet, wird das Rohgas durch in Plasma überführtes Trägergas, das durch die Düse strömt, in Plasma überführt. In diesem Fall wird die Innenwandfläche der Plasmaerzeugungskammer nicht mit dem Rohgas kontaminiert.
Die Elektrode zur Plasmaerzeugung kann mit Gleichstrom oder mit Hochfrequenzstrom gespeist werden, indem sie an eine Gleichstromquelle oder eine Hochfrequenzquelle angeschlossen wird, jedoch ist vorzuziehen, sie mit Hochfrequenzstrom zu versorgen. Ferner kann eine Vorspannung durch eine Gleichstromquelle, Wechselstromquelle oder Impulsgeberquelle jeweils auf die Kathodenelektrode und die Anodenelektrode geleitet werden.
Zur Erzeugung einer Hohlentladung an der Plasmadüse wird die Öffnungsbreite W(1) des schmalsten Abschnitts an wenigstens einer der Plasmadüsen in einem Bereich eingestellt, der entweder W(1) ≦ 5L(e) oder W(1) ≦ 20X genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden. Und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird. Zusätzlich ist vorzuziehen, die Öffnungsbreite W(1) des schmalsten Abschnitts an wenigstens einer der Plasmadüsen in einem Bereich einzustellen, der entweder X/20 ≦ W(1) oder X/5 ≦ W(1) genügt.
Der mittlere freie Elektronenweg bezüglich des Atoms in der Dispersion mit Elektron- und Gasmolekularteilchen (einschließlich Atome) hängt von dem Gasdruck, der atomaren und molekularen Dispersionsquerschnittsfläche und der Temperatur ab, und die Plasmaerzeugungsbedingungen umfassen diesen Gasdruck, die atomare und molekulare Dispersionsquerschnittsfläche, die Temperatur und dergleichen.
Eine Hohlglimmentladung kann wirksam an der Plasmadüse erzeugt werden und zugleich kann Plasma wirksam aus der Düse herausgesprüht werden, indem die Öffnungsbreite W(1) der Plasmadüse in dem oben erwähnten Bereich eingestellt wird.
In der vorliegenden Erfindung entspricht die Öffnungsbreite W(1) der Plasmadüse ihrem Durchmesser, wenn die Öffnungsform der Plasmadüse kreisförmig ist, und sie entspricht ihrer kurzen Seitenlänge, wenn sie rechteckig oder schlitzförmig ausgebildet ist. Dies bedeutet, daß die kürzeste Abmessung dieser Öffnungsform als Öffnungsbreite W(1) genommen wird.
Eine Form, die leicht Plasma aus der Plasmaerzeugungskammer in die Düse aufnehmen und das Plasma in einem gewünschten Winkel in die Substratbehandlungskammer verteilen und sprühen kann, sollte als die Form der Plasmadüse gewählt werden. Solch eine Form umfaßt zylindrische Formen mit einem kreisförmigen Querschnitt, Kegelstumpfformen, deren Durchmesser von der Plasmaerzeugungskammer zu der Substratbehandlungskammer hin größer wird, und Kombinationen daraus, und ferner eine Form, deren Durchmesser von der unteren Seitenhälfte an nach unten hin zunimmt. Außerdem kann es sich um ein Prisma mit einem rechteckigen Querschnitt oder eine Schlitzform, wie oben erwähnt, handeln.
Einer Vielzahl von Plasmadüsen mit kreisförmiger Form kann auch die erforderliche Form gegeben werden, wenn eine große Oberfläche des Substrats der Oberflächenbehandlung unterzogen werden soll.
Ferner bildet die Plasmadüse vorzugsweise eine im wesentlichen durchgehende und längliche Schlitzform, die mit einem einzigen Pinselstrich gezeichnet werden kann.
Hierbei bedeutet eine im wesentlichen durchgehende Schlitzform eine Schlitzform, die, wenn Plasma durch Hohlentladung, wie unten dargelegt, an der Plasmadüse erzeugt wird, diesem Plasma ermöglichen würde durchzuströmen, ohne an der einen Plasmadüse getrennt zu werden. Wenn beispielsweise eine Rippe querliegend zu dem Schlitz der Plasmadüse ausgebildet ist, wird die Plasmadüse als im wesentlichen durchgehend betrachtet, wenn die Schlitzabmessung in der Tiefe oder in der Breite dieser Rippe derart klein ist, daß Plasma über diese Rippe strömen und weiterlaufen kann, ohne an der schlitzförmigen Plasmadüse getrennt zu werden.
Somit wird durch Ausbildung der Plasmadüse als eine im wesentlichen durchgehende und längliche Schlitzform, die mit einem einzigen Pinselstrich gezeichnet werden kann, Plasma durch Hohlentladung an der Plasmadüse erzeugt. Diese Hohlentladung wird abhängig von dem Potential der Plasmadüse zur kathodischen Hohlglimmentladung oder zur anodischen Hohlglimmentladung.
Ferner wird es möglich, die Oberfläche über einen großen Bereich des Substrats mit einer einzigen Behandlung zu behandeln, da die Plasmadüse als länglicher Schlitz ausgebildet ist, d. h. mit anderen Worten, die Plasmadüse öffnet sich über einen größeren Bereich als in dem konventionellen Fall, wo eine einzige Düse an dem Mittelpunkt der Trennwand angeordnet ist.
Vorzugsweise ist die Plasmadüse, gemäß dem sechsten bis achten Aspekt der Erfindung, wirtelförmig, meanderförmig, in Form einer geraden Verbindungslinie oder dergleichen ausgebildet.
Ferner ist die Plasmadüse vorzugsweise symmetrisch bezüglich ihres Mittelpunktes ausgebildet, wodurch die Substratoberfläche gleichmäßiger behandelt werden kann.
Um ferner eine Hohlentladung wirksamer an der Plasmadüse zu erzeugen und zugleich das Plasma wirksam aus der Plasmadüse herauszusprühen, liegt die Schlitzbreite W der Plasmadüse vorzugsweise in einem Bereich, der entweder W ≦ 5L(e) oder W ≦ 20X genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird.
Vorzugsweise variiert bei der Plasmadüse ihre Schlitzbreite vom Mittelpunkt zu ihrem äußeren Umfang hin.
Ebenfalls vorzugsweise variiert bei der Plasmadüse ihre Schlitztiefe vom Mittelpunkt zu ihrem äußeren Umfang hin.
Wenn in der zuvor dargelegten Vorrichtung ein Paar Plasmaerzeugungselektroden als Plasmaerzeugungsvorrichtungen eingesetzt wird, kann die Plasmadichte der an der Plasmadüse erzeugten Hohlentladung durch den an die Elektroden angelegten Hochfrequenzstrom gemäß dem Abstand von dem Düsenmittelpunkt variieren. In solch einem Fall kann sie derart gesteuert werden, daß Plasma mit einer gleichmäßigen Dichte über die gesamte Länge der Plasmadüse erzeugt wird, und zwar beispielsweise, indem die Abmessung der Schlitzbreite oder der Dicke der Trennplatte von dem Mittelpunkt zum Umfang der Trennplatte derart verändert wird, daß die Schlitzbreite kleiner wird oder die Dicke der Trennplatte ansteigt, um die Schlitztiefe zu erhöhen, wo eine Hohlentladung leicht stattfindet, oder umgekehrt, daß die Schlitzbreite größer wird oder die Dicke der Trennplatte abnimmt, wo eine Hohlentladung nur schwer stattfindet. Dies ermöglicht, die Substratoberfläche in ihrer Gesamtheit gleichmäßig zu behandeln.
Vorzugsweise umfaßt die Elektrode zur Hohlplasmaerzeugung eine oder mehrere Aussparungen auf einer Fläche, die dem von den Plasmaerzeugungsvorrichtungen erzeugten Plasma gegenüberliegt, und wenigstens eine der Aussparungen ist als Bereich für die Erzeugung einer Hohlentladung ausgebildet.
Ebenfalls ist die Elektrode zur Hohlplasmaerzeugung vorzugsweise ein Hohlkörper, umfaßt die Elektrode ein oder mehrere Durchgangslöcher, die mit einem inneren Hohlraum in einem dem durch die Plasmaerzeugungsvorrichtungen erzeugten Plasma gegenüberliegenden Bereich in Verbindung stehen, und ist wenigstens eines der Durchgangslöcher als Bereich für die Erzeugung einer Hohlentladung ausgebildet.
Somit wird die Oberfläche der Elektrode zur Hohlplasmaerzeugung, die sich im wesentlichen in Kontakt mit Plasma befindet, größer, indem Aussparungen in der Elektrode zur Hohlplasmaerzeugung ausgebildet werden, oder indem die Elektrode zur Hohlplasmaerzeugung als Hohlkörper ausgebildet wird und Durchgangslöcher gebildet werden, die mit diesem inneren Hohlkörper in Verbindung stehen. Wenn beispielsweise die Kathodenelektrode als Elektrode zur Hohlplasmaerzeugung verwendet wird und der kathodische Entladungsbereich auf der Kathodenelektrode ausgebildet ist, kann das Potential der Kathodenelektrode (vollautomatische Gitterspannung) während der Erzeugung der Glimmentladung in eine Plusrichtung gebracht werden, und der Verbrauch der zugeführten elektrischen Energie in der Nähe der geerdeten Anodenelektrode, nämlich die Rohgaserregungs- und - spaltungsreaktion werden beschleunigt, was zu einer Verbesserung der Geschwindigkeit der Oberflächenbehandlung führt.
Solche eine vollautomatische Gitterspannungssteuerung führt zu der Steuerung des Plasmaraumpotentials und kann das Schadensausmaß aufgrund der Kollision von Ionen mit dem Substrat in beabsichtigter Weise regeln. Folglich kann, wenn beispielsweise die Filmherstellungsbehandlung durchzuführen ist, die Kristallinität des dünnen kristallinen Films gesteuert werden.
Zur wirksamen Erzeugung einer Hohlentladung an der Aussparung oder dem Durchgangsloch wird vorzugsweise eine Öffnungsbreite W(2) des schmalsten Bereiches der Aussparung oder des Durchgangsloches in einem Bereich eingestellt, der entweder W(2) ≦ 5L(e) oder W(2) ≦ 20X genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird.
Für die Aussparung oder das Durchgangsloch kann ein kreisförmiger oder polygonaler Querschnitt genommen werden, und die kürzeste Abmessung dieser Öffnungsform wird als Öffnungsbreite W(2) genommen. Zusätzlich ist es vorzuziehen, die Öffnungsbreite W(2) des schmalsten Abschnitts an wenigstens einer der Plasmadüsen in einem Bereich einzustellen, der auch X/20 ≦ W(2) genügt, und ferner in einem Bereich, der auch X/5 ≦ W(2) genügt.
Vorzugsweise ist die Elektrode zur Hohlplasmaerzeugung ein Hohlkörper, umfaßt die Elektrode ein oder mehrere Durchgangslöcher, die mit einem inneren Hohlraum in einem dem durch die Plasmaerzeugungsvorrichtungen erzeugten Plasma gegenüberliegenden Bereich in Verbindung stehen, und ist ein Bereich für die Erzeugung einer Hohlentladung wenigstens in einem Bereich des inneren Hohlkörpers ausgebildet.
Da die Plasmadichte durch Erzeugen einer Hohlentladung in wenigstens einem Bereich des inneren Hohlkörpers weiter gesteigert werden kann, werden die Rohgserregungs- und -spaltungsreaktion erheblich beschleunigt, um die Geschwindigkeit der Oberflächenbehandlung zu erhöhen. Wenn außerdem die Kathodenelektrode als Elektrode zur Hohlplasmaerzeugung verwendet wird, werden, da die vollautomatische Gitterspannung weiter durch Vergrößerung der mit Plasma in Kontakt stehenden Oberfläche der Kathodenelektrode in die positive Potentialrichtung gebracht werden kann, die Rohgaserregungs- und - spaltungsreaktion noch mehr beschleunigt, was zu einer bemerkenswerten Verbesserung der Geschwindigkeit der Oberflächenbehandlung führt.
Bezüglich einer Vorrichtung für Oberflächenbehandlungen ohne negative Auswirkungen durch Kollision von Ionen mit dem Substrat, wie Beizen, Schwabbeln, Ionendotieren oder dergleichen, kann die Elektrode zur Hohlplasmaerzeugung aus einer Anodenelektrode bestehen, die Innenwandseite dieser Anodenelektrode kann als Trägertisch für das Substrat benutzt werden und das Innere der Anodenelektrode als Substratbehandlungskammer. In diesem Fall wird das Substrat direkt der Erhöhung der Behandlungsgeschwindigkeit der anodischen Hohlentladung, und dem Beizen, Schwabbeln, Ionendotieren oder dergleichen ausgesetzt. Solch eine Vorrichtung zur Oberflächenbehandlung, bei welcher der Innenraum der Anodenelektrode als Substratbehandlungskammer benutzt wird, ist jedoch nicht geeignet für die Behandlung zur Filmbildung, da die Auswirkungen der Ionenschädigung auf das Substrat erheblich sind.
Außerdem weist die Elektrode zur Hohlplasmaerzeugung, die aus einem Hohlkörper besteht, vorzugsweise eine oder mehrere Trennwände auf, die sich in die Höhe des hohlen Innenraumes erstrecken, um ihren Oberflächenbereich zu vergrößern. Es ist nämlich vorzuziehen, daß der hohle Innenraum der Elektrode zur Hohlplasmaerzeugung durch die Trennwand in mehrere Räume unterteilt ist. In diesem Fall ist es erforderlich, wenigstens ein Durchgangsloch für jeden der abgeteilten Bereiche zu bilden.
Zur wirksamen Erzeugung einer Hohlentladung in dem hohlen Innenraum der Elektrode zur Hohlplasmaerzeugung wird der Abstand H einer gegenüberliegenden Fläche in dem hohlen Innenraum entlang der Ausbildungsrichtung des Durchgangsloches der Elektrode zur Hohlplasmaerzeugung in einem Bereich gewählt, der entweder H ≦ 5L(e) oder H ≦ 20X genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird. Zusätzlich ist vorzuziehen, den Abstand der gegenüberliegenden Fläche H in dem hohlen Innenraum entlang der Ausbildungsrichtung des Durchgangsloches der Elektrode zur Hohlplasmaerzeugung in einem Bereich einzustellen, der auch X/20 ≦ H genügt, und ferner in einem Bereich, der auch X/5 ≦ H genügt.
Außerdem ist vorzugsweise ein Magnetfeld in der Nähe der Plasmadüse und/oder der Nähe der Aussparung, des Durchgangsloches und/oder in dem hohlen Innenraum gebildet. Die "Nähe" umfaßt das Innere der Plasmadüse, der Aussparung und des Durchgangsloches, oder den Umfang und den nahen Bereich der Öffnung der Plasmadüse, der Aussparung und des Durchgangsloches. Außerdem ist der Magnet vorzugsweise derart angeordnet, daß der Magnetlinienfluß seines Magnetfeldes parallel zu der axialen Richtung der Plasmadüse, der Aussparung und des Durchgangsloches und parallel zu der Elektrodenfläche in dem hohlen Innenraum liegt.
Die Magnetfeldstärke beträgt vorzugsweise 1 bis 2000 mT im mittleren Bereich der Plasmadüse, der Aussparung und des Durchgangsloches oder in dem hohlen Innenraum, und noch bevorzugter 5 bis 500 mT. Die Magnetfeldstärke beträgt vorzugsweise 2 bis 2000 mT, und bevorzugter 5 bis 1000 mT an der Innenwandfläche und in der Nähe der Plasmadüse und/oder der Aussparung und des Durchgangsloches, oder in der Nähe des hohlen Innenraumes.
Solche eine Magnetfeldanordnung ermöglicht, daß Elektronen lange in der Plasmadüse, wo eine Hohlentladung stattfindet, und in deren Nähe verbleiben, oder innerhalb der Aussparung oder des Durchgangsloches, wo eine kathodische Hohlentladung oder anodische Hohlentladung stattfindet, oder in deren Nähe oder in dem hohlen Innenraum verbleiben, wobei die Elektronenbahn eingestellt wird, und die Erzeugung aktiver Teilchen, die zu der Oberflächenbehandlung beitragen, wird beschleunigt. Folglich steigt die Behandlungsgeschwindigkeit weiter an. Die Elektronenenergie ändert sich durch dieses Magnetfeld nicht, und daher werden keine negativ beeinflussenden Ionen durch den Anstieg der Elektronenenergie erzeugt, was ermöglicht, eine hohe Qualität der Oberflächenbehandlung beizubehalten.
Außerdem umfaßt die Vorrichtung vorzugsweise eine Potentialanlegevorrichtung zum Anlegen eines gewünschten Potentials an das Substrat. Diese Potentialanlegevorrichtung ermöglicht auch, ein gewünschtes Potential an das Substrat anzulegen, indem die Spannung an den Substratträgertisch, auf welchem das Substrat angeordnet ist, angelegt wird. Außerdem umfaßt die Potentialanlegevorrichtung gegebenenfalls eine Vorrichtung zur Überwachung eines Potentials Vs des Prozeßplasmas, das an dem Substrat oder dem Substratpotential ankommt. Das Prozeßplasmapotential Vs wird von dem Potential der Elektrode, die in Kontakt mit dem größten Teil des Plasmas ist, bestimmt.
Folglich kann das Prozeßplasmapotential Vs überwacht werden, indem beispielsweise die Hochfrequenzspannung, die vollautomatische Gitterspannung der Elektrode zur Plasmaerzeugung und dergleichen überwacht wird.
Wenn beispielsweise eine Behandlung zur Filmherstellung an einem Substrat durchgeführt wird, ist es vorzuziehen, das Spannungsgefälle zwischen dem Substrat- und dem Porzeßplasmapotential Vs zu verringern, und noch bevorzugter wird ungefähr dasselbe Potential wie das Plasmapotential Vs angelegt, um die Ionenschädigung aus dem Plasma zu steuern. Das an das Substrat angelegte Potential im Falle der Filmherstellungsbehandlung liegt vorzugsweise in dem Bereich von ½ bis 1 mal das Prozeßplasmapotential Vs. Wenn zusätzlich ein Beizen durchgeführt werden soll, kann die Anisotropie verbessert werden, indem ein geringeres Potential als das Plasmapotential Vs, und insbesondere ein Minuspotential angelegt wird.
So kann durch eine beabsichtigte Steuerung des Spannungsgefälles zwischen dem Substrat und dem Prozeßplasma durch Anlegen eines gewünschten Potentials an das Substrat die Steuerung der Filmqualität, wie eine Verringerung der Plasmaschädigung, erreicht werden, ohne die Behandlungsgeschwindigkeit bei der Filmherstellungsbehandlung zu verringern, und die Anisotropie oder eine andere Beizform kann bei der Beizbehandlung gesteuert werden.
Zusätzlich ist es vorzuziehen, ein Düsenelement auf wenigstens einer Öffnungsseitenkante der Plasmadüse und/oder der Aussparung und des Durchgangsloches vorspringen zu lassen. Die Mittellinie des Düsenelementes kann mit der Axialrichtung der Plasmadüse und/oder der Aussparung und des Durchgangsloches ausgerichtet sein, oder die Mittellinie des Düsenelementes kann derart angeordnet sein, daß sie einen Winkel bezüglich der Axialrichtung der Plasmadüse und/oder der Aussparung und des Durchgangsloches bildet. Außerdem kann die Form des Düsenelementes ein Zylinder mit einer konstanten Querschnittsform oder ein Zylinder, der schrittweise in seinen Querschnittsabmessungen kleiner oder größer wird, sein. Außerdem kann ein röhrenförmiges Düsenelement spiralförmig angeordnet sein.
Durch Anordnung des vorspringenden Düsenelementes an der Plasmadüse und/oder der Aussparung und dem Durchgangsloch kann die Längenabmessung der Plasmadüse und/oder der Aussparung und des Durchgangsloches wie gewünscht eingestellt werden, ohne unnötig die Dicke der Bauelemente der Plasmadüse oder der Elektrode zur Hohlplasmaerzeugung zu vergrößern, und die Plasmadichte steigt an und die Oberflächenbehandlungsgeschwindigkeit wird verbessert, da der Erzeugungsbereich der Hohlentladung dieser Plasmadüse und/oder dieser Aussparung und dieses Durchgangsloches durch Vergrößerung dieser Länge größer wird.
Außerdem ist die Düsenlänge des Düsenelementes vorzugsweise nicht konstant. Mit anderen Worten ist an der Plasmadüse und/oder der Aussparung oder der Plasmadüse und/oder dem Durchgangsloch die Länge aller Düsenelemente nicht notwendigerweise gleichmäßig, sondern kann in passender Weise variieren. So kann durch Veränderung der Länge des Düsenelementes die Plasmaintensität, die an dem Substrat ankommt, über die gesamte Oberfläche dieses . Substrats gleichmäßig gestaltet werden.
Kurze Beschreibung der Zeichnungen
Abb. 1 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer ersten Ausführung der vorliegenden Erfindung.
Abb. 2 ist eine schematische Ansicht, die ein Anordnungsbeispiel für einen Gaseinlaß gemäß einer Modifizierung der Vorrichtung zeigt.
Abb. 3 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer zweiten Ausführung der vorliegenden Erfindung.
Die Abb. 4A und 4B sind schematische Ansichten, die ein anderes Anordnungsbeispiel für einen Magneten bezüglich einer Kathodenelektrode zeigen.
Abb. 5 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer dritten Ausführung der vorliegenden Erfindung.
Abb. 6 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer vierten Ausführung der vorliegenden Erfindung.
Die Abb. 7A und 7B sind schematische Ansichten, die ein anderes Anordnungsbeispiel für einen Magneten bezüglich einer Hohlkathodenelektrode zeigen.
Die Abb. 8A bis 8C sind schematische Ansichten, die noch ein anderes Anordnungsbeispiel für den Magneten bezüglich einer Hohlkathodenelektrode zeigen.
Abb. 9 ist eine schematische Ansicht einer Kathodenelektrode gemäß einer Modifizierung der Vorrichtung der dritten und vierten Ausführung.
Abb. 10 ist eine schematische Ansicht, die ein Anordnungsbeispiel für einen Gaseinlaß in der modifizierten Ausführung zeigt.
Abb. 11 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer fünften Ausführung der vorliegenden Erfindung.
Abb. 12 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer sechsten Ausführung der vorliegenden Erfindung.
Die Abb. 13A bis 13C sind schematische Ansichten, die eine andere Ausführung der Hohlkathodenelektrode zeigen.
Abb. 14 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer siebten Ausführung der vorliegenden Erfindung.
Abb. 15 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer achten Ausführung der vorliegenden Erfindung.
Die Abb. 16A und 16B sind schematische Ansichten eines Kathodenelektrodenteils, das bei einer Vorrichtung zur Oberflächenbehandlung gemäß den Ausführungen der vorliegenden Erfindung eingesetzt werden kann.
Die Abb. 17A und 17B sind schematische Ansichten eines anderen Kathodenelektrodenteils, das bei einer Vorrichtung zur Oberflächenbehandlung gemäß den Ausführungen der vorliegenden Erfindung eingesetzt werden kann.
Abb. 18 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer neunten Ausführung der vorliegenden Erfindung.
Abb. 19 ist eine schematische Ansicht einer Modifizierung der Anodenelektrode der neunten Ausführung.
Abb. 20A und 20B sind schematische Ansichten einer anderen Modifizierung der Anodenelektrode der neunten Ausführung.
Abb. 21 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer ersten Modifizierung der neunten Ausführung.
Abb. 22 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer zweiten Modifizierung der neunten Ausführung.
Abb. 23 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer dritten Modifizierung der neunten Ausführung.
Abb. 24 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer zehnten Ausführung der vorliegenden Erfindung.
Die Abb. 25A und 25B sind schematische Ansichten einer Modifizierung der Anodenelektrode gemäß der zehnten Ausführung.
Abb. 26A bis Abb. 26D sind schematische Ansichten einer bevorzugten Modifizierung verschiedener Durchgangslöcher der vorliegenden Erfindung.
Abb. 27 ist eine schematische horizontale Querschnittsansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer elften Ausführung der vorliegenden Erfindung.
Abb. 28 ist eine schematische horizontale Querschnittsansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer zwölften Ausführung der vorliegenden Erfindung.
Abb. 29 eine schematische horizontale Querschnittsansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer dreizehnten Ausführung der vorliegenden Erfindung.
Abb. 30 ist eine schematische horizontale Querschnittsansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer vierzehnten Ausführung der vorliegenden Erfindung.
Abb. 31 ist eine schematische horizontale Querschnittsansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer fünfzehnten Ausführung der vorliegenden Erfindung.
Abb. 32 ist eine schematische horizontale Querschnittsansicht einer Vorrichtung zur Oberflächenbehandlung gemäß einer sechzehnten Ausführung der vorliegenden Erfindung.
Die Abb. 33A bis 33C sind Ansichten, die jeweils ein Anordnungsbeispiel für eine Anzahl von Durchgangslöchern oder Aussparungen zeigen.
Die Abb. 34A bis 34C sind Ansichten, die jeweils ein anderes Anordnungsbeispiel für eine Anzahl von Durchgangslöchern oder Aussparungen zeigen.
Die Abb. 35A und 35B sind Ansichten, die jeweils noch ein anderes Anordnungsbeispiel für eine Anzahl von Durchgangslöchern oder Aussparungen zeigen.
Die Abb. 36A und 36B sind Ansichten, die jeweils noch ein anderes Anordnungsbeispiel für eine Anzahl von Durchgangslöchern oder Aussparungen zeigen.
Abb. 37 ist eine Querschnittsansicht, die schematisch eine Vorrichtung zur Oberflächenbehandlung gemäß einer siebzehnten Ausführung der vorliegenden Erfindung zeigt.
Abb. 38 ist eine Draufsicht auf eine Anodenelektrode in der Vorrichtung.
Die Abb. 39A und 39B sind Draufsichten einer Anodenelektrode gemäß einer Modifizierung der siebzehnten Ausführung.
Abb. 40 ist eine Draufsicht auf eine Anodenelektrode gemäß einer anderen Modifizierung der siebzehnten Ausführung.
Abb. 41 ist eine Draufsicht auf eine Anodenelektrode gemäß noch einer anderen Modifizierung der siebzehnten Ausführung.
Abb. 42 ist eine Draufsicht auf eine Anodenelektrode gemäß noch einer anderen Modifizierung der siebzehnten Ausführung.
Abb. 43 ist eine Draufsicht auf eine Anodenelektrode gemäß noch einer anderen Modifizierung der siebzehnten Ausführung.
Die Abb. 44A und 44B sind eine Draufsicht und eine Querschnittsansicht einer Anodenelektrode, jeweils gemäß noch einer anderen Modifizierung der siebzehnten Ausführung.
Detaillierte Beschreibung der bevorzugten Ausführungen
Jetzt wird die Ausführung der vorliegenden Erfindung konkret unter Bezugnahme auf die Zeichnungen und bevorzugten Ausführungen beschrieben.
Abb. 1 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung 1 gemäß einer ersten Ausführung der vorliegenden Erfindung. Die Vorrichtung 1 ist durch eine Abdeckung vor der Umgebung geschützt, und ein geerdetes Gehäuse 2 ist in zwei Kammern unterteilt, nämlich eine Plasmaerzeugungskammer 3 und eine Substratbehandlungskammer 4.
Ein Paar Elektroden zur Plasmaerzeugung 5 und 6 ist parallel liegend vertikal in der Plasmaerzeugungskammer 3 angeordnet. Die obere Elektrode (Kathodenelektrode) 5, die an eine Hochfrequenzstromversorgung P für das Paar Elektroden 5 und 6 angeschlossen ist, ist an einer von einer Isolierung des Gehäuses 2 gebildeten oberen Wand 2a befestigt, während die geerdete untere Elektrode (Anodenelektrode) 6 die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4 definiert. Hierbei ist die Anodenelektrode 6 an einer Umfangswand 2b des geerdeten Gehäuses 2 befestigt, wobei sie nicht darauf beschränkt ist, sondern auch an irgendeiner anderen Stelle des Gehäuses 2 befestigt werden kann.
Ein rundes Verbindungsloch 7 ist an dem Mittelpunkt der Anodenelektrode 6 ausgebildet, und das Verbindungsloch 7 bildet eine Plasmadüse 7 der vorliegenden Erfindung. Die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4 sind miteinander durch diese Plasmadüse 7 verbunden. Hierbei kann eine Trennplatte zur Definition der Plasmaerzeugungskammer 3 und Substratbehandlungskammer 4 separat von der Anodenelektrode 6 angeordnet werden, und eine Plasmadüse kann in der Trennwand ausgebildet sein.
Obwohl die Querschnittsform der Plasmadüse 7 in dieser Ausführung kreisförmig ist, kann sie auch beispielsweise rechteckig, in Form eines Kegelstumpfes, dessen Durchmesser von der Plasmaerzeugungskammer 3 zu der Substratbehandlungskammer 4 hin zunimmt, in Form eines abgestumpften Prismas, und ferner in einer Form, deren Durchmesser von der ungefähr oberen Seitenhälfte nach unten hin abnimmt, und deren Durchmesser von der unteren Seitenhälfte nach unten hin zunimmt, ausgebildet sein. Und außerdem kann die Plasmadüse 7 auch in einer Schlitzform ausgebildet sein.
Eine Öffnungsbreite W, d. h. deren Durchmesser W der Plasmadüse 7 wird in einem Bereich eingestellt, der entweder W ≦ 5L(e) oder W ≦ 20X genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird. Solch eine Bereichseinstellung kann die Plasmadüse 7 zu dem Erzeugungsbereich für die Hohlanodenentladung machen. Es ist vorzuziehen, die Öffnungsbreite W in einem Bereich einzustellen, der X/20 ≦ W genügt, und es ist vorzuziehen, die Öffnungsbreite W ferner in einem Bereich einzustellen, der auch X/5 ≦ W genügt.
Die obere Kathodenelektrode 5 bildet eine Elektrode zur Hohlplasmaerzeugung der vorliegenden Erfindung, wobei eine Vielzahl von Aussparungen 5a mit kreisförmigem Querschnitt auf der Seite der Kathodenelektrode 5 angeordnet ist, die der Anodenelektrode 6 gegenüberliegt. Die Öffnungsbreite W dieser Aussparung 5a, nämlich der Durchmesser W, ist in einem Bereich eingestellt, der entweder W ≦ 5L(e) oder W ≦ 20X genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird. Es ist vorzuziehen, die Öffnungsbreite W in einem Bereich einzustellen, der X/20 ≦ W genügt, und es ist ferner vorzuziehen, die Öffnungsbreite W ferner in einem Bereich einzustellen, der auch X/5 ≦ W genügt. Wenn sich der Gasdruck innerhalb der Plasmaerzeugungsbedingungen in einem Bereich von 10 bis 1400 Pa befindet, wird der Durchmesser der Aussparung 5a in einem Bereich von 1 bis 100 mm eingestellt, und bevorzugter liegt er zwischen 1 und 20 mm. Durch das Einstellen des Durchmessers der Aussparung 5a in solch einem Bereich kann die Aussparung 5a zu dem Erzeugungsbereich für die Hohlkathodenentladung gemacht werden.
Es ist vorzuziehen, die Vielzahl von Aussparungen in einer Anordnung zu bilden, wie sie in Abb. 33A bis Abb. 36B gezeigt ist. Eine in Abb. 33A gezeigte Anordnung, die auf einem gleichseitigen Sechseck basiert, eine in Abb. 33B gezeigte Anordnung, die auf einem Rechteck basiert, oder eine in Abb. 33C gezeigte Anordnung, die auf einem Dreieck basiert, sind vorzuziehen. Eine Anordnung, bei welcher die Aussparung 5a nicht in dem mittleren Bereich dieser Anordnungen ausgebildet ist, nämlich rechts oberhalb der Plasmadüse 7, wie in den Abb. 34A bis 34C gezeigt, ist noch eher vorzuziehen. Außerdem sind auch eine radiale Anordnung, wie in den Abb. 35A und 35B gezeigt, oder eine Anordnung, welche den Mittelbereich ausnimmt, wie in den Abb. 36A und 36B gezeigt, vorzuziehen.
Die ungefähre untere Grenze einer Abmessung T in der Längsrichtung (Richtung der Dicke) der Plasmadüse 7 und eine Tiefe D der Aussparung 5a beträgt X/50. Die obere Grenze wird von der Abmessungsbegrenzung der Vorrichtung bestimmt, nämlich der Dicke der Anodenelektrode 6 oder der Dicke der Kathodenelektrode 5. Die Länge T dieser Plasmadüse 7 und die Tiefe D der Aussparung 5a beträgt vorzugsweise 0,1 mm bis 100 mm bei dem zuvor erwähnten Gasdruck und Durchmesser. Hierbei sind aus der Sicht der Erzeugung einer wirksamen Hohlentladung größere Abmessungen der Länge T der Plasmadüse 7 und der Tiefe D der Aussparung 5a vorteilhaft und sie ermöglichen, ein stärkeres Plasma zu erzeugen. Daher können die wesentliche Länge T der Plasmadüse 7 und die wesentliche Tiefe D der Aussparung 5a erhöht werden, indem ein Düsenelement an einer Öffnungskante der Plasmadüse 7 oder der Aussparung 5a befestigt wird.
Obwohl die Aussparung 5a einen kreisförmigen Querschnitt in dieser Ausführung aufweist, kann er auch polygonal ausgebildet sein. Die Querschnittsfläche ist nicht unbedingt konstant, und der Querschnitt kann in axialer Richtung variieren, und beispielsweise kann es sich um eine Aussparung handeln, die eine größere oder kleinere Bodenfläche aufweist als die Öffnung.
Ferner kann die Aussparung 5a als Rillenstruktur mit einer rechteckigen Form, einer Spiralform oder Meanderform ausgebildet sein. In dem Fall, daß sie als Rillenstruktur mit einer rechteckigen Form, einer Spiralform oder Meanderform ausgebildet ist, entspricht die Öffnungsbreite W dieser Aussparung 5a einer Rillenbreite (Abmessung zwischen den Rillenwänden), und diese Rillenbreite wird innerhalb des zuvor erwähnten Bereiches eingestellt. Diese Rillenbreite ist nicht unbedingt konstant, und kann schrittweise vom Mittelpunkt zu dem äußeren Umfang der Kathodenelektrode 5 hin abnehmen oder ansteigen. Es kann auch eine Teilerhöhung auf der Innenwandfläche der Aussparung 5a ausgebildet sein. Es ist nicht erforderlich, eine Vielzahl von Aussparungen 5a mit identischem Durchmesser und identischer Form herzustellen, sondern es kann eine Vielzahl von Aussparungen 5a mit unterschiedlichen Abmessungen und Formen gebildet werden.
In dieser Ausführung ist ein Gaseinlaß 8 derart ausgebildet, daß er durch die obere Wand 2a des Gehäuses 2 und die Kathodenelektrode 5 läuft, und im Falle der Behandlung zur Filmherstellung wird ein Gasgemisch aus Rohgas, wie Silikomethan, und Trägergas zur Beschleunigung der Plasmaerzeugung, zur Stabilisierung des Plasmas und zum Transport des Rohgases zu einem Substrat S von diesem Gaseinlaß 8 in die Plasmaerzeugungskammer 3 eingeleitet. Die Form dieses Gaseinlasses 8 ist nicht auf eine zylindrische Form begrenzt, sondern kann auch ein rechteckiges Rohr sein.
Die Position der Ausbildung des Gaseinlasses 8 ist auch nicht auf die zuvor erwähnte Position beschränkt. Wie in Abb. 2 gezeigt, kann er beispielsweise an der Stelle der Öffnung in dem Bodenbereich der Aussparung 5a oder an der Stelle der Öffnung in der Anodenelektrode 6 in dem Bereich der Umfangswand ausgebildet sein. Zusätzlich kann eine Vielzahl von Gaseinlässen 8 ausgebildet sein.
Der Gaseinlaß 8 kann nur Trägergas in die Plasmaerzeugungskammer 3 einleiten, und Rohgas kann auch über einen anderen, getrennt angeordneten Einlaß in die Plasmaerzeugungskammer 3, in die Filmherstellungskammer 4 oder in die Mitte der Plasmadüse 7 eingeleitet werden.
Ein Substratträgertisch 9 ist in der Filmherstellungskammer 4 an der Stelle angeordnet, die der Plasmadüse 7 gegenüberliegt. In dieser Ausführung ist, da der Substratträgertisch 9 geerdet ist, auch das auf dem Trägertisch 9 angeordnete Substrat S geerdet. An den Substratträgertisch 9, bzw. an das Substrat S kann durch Gleichstrom oder Wechselstrom Vorspannung angelegt werden, oder Vorspannung kann impulsweise ohne Erdung angelegt werden. Andernfalls kann das Substrat S elektrisch von dem Substratträgertisch 9 isoliert sein. Außerdem weist der Substratträgertisch 9 eine eingebaute Heizvorrichtung auf zur Einstellung der Temperatur des Substrats S, das auf einer Oberfläche des Substratträgertisches 9 angeordnet ist, auf eine für die Dampferzeugung geeignete Temperatur.
Die Filmherstellungs-Behandlungskammer 4 wird durch ein nicht gezeigtes Ventil, Druckregelventil und eine Vakuumpumpe derart eingestellt, daß sie einen niedrigeren Kammerdruck aufweist als die Plasmaerzeugungskammer 3.
Im Falle der Behandlung zur Filmherstellung durch die Oberflächenbehandlungsvorrichtung 1 findet, wenn Hochfrequenzstrom von der Hochfrequenzquelle P auf die Kathodenelektrode 5 geleitet wird, eine Entladung zwischen den Elektroden 5 und 6 statt und Plasma wird in der Plasmaerzeugungskammer 3 erzeugt. Dieses Plasma aktiviert in die Plasmaerzeugungskammer 3 eingeleitetes Rohgas und Trägergas, und es werden Teilchen, die zu der Filmherstellung beitragen, erzeugt. Da der Kammerdruck der Substratbehandlungskammer 4 geringer als der Druck in der Plasmaerzeugungskammer 3 eingestellt ist, strömt in diesem Moment das Plasma in der Plasmaerzeugungskammer 3 aus der Plasmadüse 7 in die Filmherstellungs- Behandlungskammer 4 aufgrund dieses Druckgefälles und außerdem der Diffusion. Dieser Plasmastrom behandelt die Oberfläche des Substrats S in der Behandlungskammer 4 und bildet einen dünnen Film auf der Oberfläche des Substrats S. Da die Vielzahl der Aussparungen 5a auf der Kathodenelektrode 5 ausgebildet ist und die Öffnungsbreite W der Aussparung 5a in dem zuvor erwähnten Bereich eingestellt ist, wechselt die Entladung von einer normalen Glimmentladung zu derjenigen, die eine Hohlkathodenentladung gemäß der angelegten Hochfrequenzenergie umfaßt. An der Aussparung 5a wird eine Hohlkathodenentladung erzeugt und es wird neues Plasma an der Aussparung 5a erzeugt. Daher steigt die Dichte des in der Plasmaerzeugungskammer 3 erzeugten Plasmas an, und aktive Teilchen, die zur Filmherstellung beitragen, nehmen an Zahl zu, um die Oberflächenbehandlung zu beschleunigen. Außerdem erhöht die Ausbildung der Aussparungen 5a auf der Kathodenelektrode 5 den Oberflächenbereich der Kathode 5, der in Kontakt mit Plasma kommt, erheblich. Dies ermöglicht, die vollautomatische Gitterspannung während der Entladungserzeugung weiter in Plusrichtung zu bringen, die Rohgaserregungs- und -spaltungsreaktion in der Nähe der geerdeten Anodenelektrode 6 zu beschleunigen und die Oberflächenbehandlung zu beschleunigen.
Ferner wird an der Plasmadüse 7 eine Hohlanodenentladung erzeugt, indem die Öffnungsbreite W der Plasmadüse 7 innerhalb des zuvor erwähnten Bereiches eingestellt wird. Da an der Plasmadüse 7 durch diese Hohlanodenentladung neues Plasma erzeugt wird, vergrößert sich die Dichte des in die Substratbehandlungskammer 4 eingeleiteten Plasmas. Außerdem wird die Elektronenenergie in dem in der Plasmaerzeugungskammer 3 erzeugten Plasma passend auf eine Stärke reduziert, die ausreicht, um aktive Teilchen zu erzeugen, die aber unzureichend für die Erzeugung von Ionen ist, wenn das in der Plasmaerzeugungskammer 3 erzeugte Plasma durch die Plasmadüse 7 strömt, welche der Erzeugungsbereich für die Hohlanodenentladung ist. Daher nehmen in dem Plasma, das in die Substratbehandlungskammer 4 eingeleitet wird, die zu der Filmherstellung beitragenden Teilchen, seine Dichte und die Filmherstellungsgeschwindigkeit erheblich zu. Da ferner die Ionenenergie in dem Plasma abfällt, wenn es durch die Plasmadüse 7 strömt, wo die Hohlanodenentladung erzeugt wird, enthält das in die Substratbehandlungskammer 4 eingeleitete Plasma wenige Ionen, die das Substrat durch Kollision mit ihm beschädigen können, wodurch die Herstellung eines Films von hoher Qualität ermöglicht wird.
Zusätzlich wird die Leistung als Prozeßplasma verbessert, da die Elektronentemperatur in dem Plasma abnimmt und die Elektronendichte zwischen den beiden Elektroden 5 und 6 durch die Erzeugung der Hohlkathodenentladung zusätzlich zu der Hohlanodenentladung an der Plasmadüse 7 ansteigt. Das Raumpotential des zwischen den beiden Elektroden 5 und 6 erzeugten Plasmas nimmt ebenfalls zu, da die Hochfrequenzspannung an der Kathodenelektrode 5 abnimmt und die vollautomatische Gitterspannung durch die Hohlkathodenentladung zunimmt. Als eine Folge findet an der Plasmadüse 7 leicht eine Hohlanodenentladung statt und Plasma mit hoher Dichte wird an der Plasmadüse 7 durch den Synergieeffekt erzeugt. Aus demselben Grund konzentriert sich das elektrische Feld leicht in der Plasmaerzeugungskammer 3 und eine örtlich mit hoher Dichte plasmatisierte ungleichmäßige Entladung kann erzeugt werden.
Obwohl der Substratträgertisch 9, d. h. das Substrat S, in dieser Ausführung, wie oben erwähnt, geerdet ist, ist es auch möglich, ein gewünschtes Potential anzulegen, ohne das Substrat S zu erden. Bei der Behandlung zur Filmherstellung ist es möglich, einen dünnen Film von hoher Qualität durch die Verringerung der Plasmaionenschädigung herzustellen, indem ein Potential der ½- bis 1- fachen Stärke eines Potentials Vs des Porzeßplasmas, das an dem Substrat S ankommt, an das Substrat S angelegt wird, und indem das Spannungsgefälle zwischen dem Substrat und dem Prozeßplasma verringert wird.
Zu diesem Zeitpunkt wird das Potential Vs des Prozeßplasmas durch das Potential der Elektroden, die sich in Kontakt mit dem größten Teil des Plasmas befinden, bestimmt. Folglich kann das Potential Vs des Prozeßplasmas überwacht werden, indem beispielsweise die Hochfrequenzspannung, die vollautomatische Gitterspannung der Kathodenelektrode oder dergleichen überwacht wird.
Obwohl eine Plasmadüse 7 mit einem kreisförmigen Querschnitt in dieser Ausführung ausgebildet ist, kann eine Vielzahl der Plasmadüsen 7 in solch einer Anordnung, wie in Abb. 33A bis 36B gezeigt, ausgebildet werden, beispielsweise wenn die Oberflächenbehandlung an einer großen Fläche des Substrats S durchgeführt wird. Ferner ermöglicht eine im wesentlichen durchgehende Schlitzform, die mit einem einzigen Pinselstrich gezeichnet werden kann, wie eine Spiralform oder eine Meanderform, eine große Fläche gleichmäßig zu behandeln.
Wenn eine Vielzahl von Löchern in Schlitzform vorgesehen oder ausgebildet ist, wird ihr Lochdurchmesser oder ihre Schlitzbreite W vorzugsweise innerhalb des Bereiches der vorliegenden Erfindung eingestellt. Es ist jedoch nicht erforderlich, daß eine Vielzahl von Löchern einen konstanten Durchmesser aufweist, oder daß die Schlitzbreite in ihrer Längsrichtung konstant ist. Zur gleichmäßigen Erzeugung einer Hohlanodenentladung ist es wünschenswert, den Lochdurchmesser oder die Schlitzbreite in ihrer Abmessung von dem Mittelbereich der Anodenelektrode zu deren äußerem Umfangsbereich hin gemäß verschiedener Bedingungen schrittweise zu verringern oder zu vergrößern.
Obwohl die Anodenelektrode 6 in der zuvor dargelegten Ausführung geerdet ist, kann an die Elektroden 5 und 6 jeweils durch eine Gleichstrom- oder Wechselstromquelle oder durch eine Impulsstromversorgung eine Vorspannung angelegt werden. Obwohl in der oben dargelegten Ausführung die Anodenelektrode 6 außerdem die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4 definiert, kann eine Trennplatte mit einer Plasmadüse separat von der Anodenelektrode 6 angeordnet werden, um die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4 zu definieren.
In dieser Ausführung wird inneres Gas aus der Substratbehandlungskammer 4 abgeleitet, und der Kammerdruck der Substratbehandlungskammer 4 wird derart eingestellt, daß er niedriger als derjenige der Plasmaerzeugungskammer 3 ist. Folglich strömt inneres Gas von der Plasmaerzeugungskammer 3 in die Substratbehandlungskammer 4 in der Vorrichtung zur Behandlung für die Filmherstellung, aber sie ist nicht darauf begrenzt. Ein Ableitungsauslaß für inneres Gas kann in der Plasmaerzeugungskammer angeordnet sein, um den inneren Gasstrom umzukehren. In diesem Fall wird das Plasma jedoch nur durch Diffusion von der Plasmaerzeugungskammer 3 in die Substratbehandlungskammer 4 transportiert, und eine Plasmaförderung durch inneren Gasstrom ist nicht zu erwarten, so daß die Oberflächenbehandlungsgeschwindigkeit etwas abfällt, aber eine schnellere Behandlung als im Stand der Technik ist dennoch gewährleistet.
Wenn die zuvor dargelegte Vorrichtung für andere Oberflächenbehandlungen, wie Schwabbeln, Beizen oder Ionendotieren, eingesetzt wird, kann die Oberflächenbehandlung bei einer niedrigeren Temperatur und schneller als zuvor durchgeführt werden. Im Falle der Beizbehandlung kann beispielsweise die Anisotropie verbessert werden, indem ein geringeres Potential als das Prozeßplasmapotential Vs, insbesondere ein negatives Potential, an das Substrat S angelegt wird.
Jetzt werden die anderen Ausführungen der vorliegenden Erfindung konkret unter Bezugnahme auf die Zeichnungen beschrieben. In der nachfolgenden Beschreibung werden die Bezugszahlen für dieselben Elemente wie in der zuvor dargelegten ersten Ausführung verwendet und eine detaillierte Beschreibung von ihnen wird ausgelassen.
Abb. 3 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung 20 gemäß einer zweiten Ausführung. Die Vorrichtung 20 unterscheidet sich von der zuvor dargelegten ersten Ausführung dadurch, daß ein Magnet 10 auf der Innenwandfläche der in der Kathodenelektrode 5 ausgebildeten Aussparung 5a und auf der Innenwandfläche der Plasmadüse 7 angeordnet ist, aber ansonsten ist der Aufbau ähnlich der Oberflächenbehandlungsvorrichtung 1 der zuvor dargelegten ersten Ausführung. Es genügt, daß der Magnet 10 derart angeordnet ist, daß er ein magnetisches Feld auf die Aussparung 5a oder die Plasmadüse 7 ausstrahlt. Daher kann der Magnet 10 in der Innenwandfläche eingebettet sein, wie in Abb. 3 gezeigt, und er kann auch über der Aussparung 5a in der Kathodenelektrode 5 eingebettet sein, wie in Abb. 4A gezeigt, und er kann außerhalb der Kathodenelektrode 5 angeordnet sein, wie in Abb. 4B gezeigt, oder ferner ist die Kombination dieser Anordnungen möglich. Was die Anordnung dieses Magneten 10 anbetrifft, ist es vorzuziehen, den Magneten 10 derart zu befestigen, daß der Magnet 10 nicht direkt dem Plasma ausgesetzt ist.
Das Magnetfeld des Magneten 10 wird vorzugsweise derart eingesetzt, daß der Fluß der Magnetlinien parallel zu der jeweiligen axialen Richtung der Aussparung 5a und der Plasmadüse 7 liegt. Die Stärke des Magneten beträgt 1 bis 2000 mT an dem jeweiligen axialen Mittelpunkt der Aussparung 5a und der Plasmadüse 7, 2 bis 2000 mT an der Innenwandfläche und in deren Nähe, und bevorzugter 5 bis 500 mT an dem axialen Mittelpunkt und 5 bis 1000 mT an der Innenwandfläche und in deren Nähe.
Solch eins Magnetfeldbildung an der Aussparung 5a und der Plasmadüse 7 ermöglicht den Elektronen über einen langen Zeitraum in der Aussparung und der Plasmadüse 7 zu verbleiben, dadurch, daß die Elektronenbahn in dem dort erzeugten Plasma eingestellt wird. Solch eine Elektronenbahneinstellung verlängert die Einwirkzeit der Elektronen auf das Rohgas, ohne die Elektronenenergie (Elektronentemperatur) zu erhöhen, und die Erzeugung aktiver Teilchen wird beschleunigt, wodurch die Filmherstellungsgeschwindigkeit verbessert wird.
Außerdem vergrößert die Bildung des Magnetfeldes durch Anordnung von Magneten 10 die Abmessungstoleranz der Öffnungsbreite W oder Tiefe D der Aussparung 5a und der Öffnungsbreite W der Plasmadüse 7 um ungefähr 30% im Vergleich zu dem Fall ohne Magnetanordnung.
Obwohl die Magneten 10 in dieser Ausführung an allen Aussparungen 5a und Plasmadüsen 7 angeordnet sind, können die Magneten 10 auch nur an ausgewählten Aussparungen und Plasmadüsen angeordnet werden, anstatt alle von ihnen mit dem Magneten 10 zu versehen. Ferner kann das Magnetfeld auch durch Elektromagneten oder andere Vorrichtungen gebildet werden. Die Magnetfeldanordnung einschließlich der Magnetpolarität und deren Stärke werden willkürlich bestimmt, um die Plasmadichte zu erhöhen.
Abb. 5 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung 21 gemäß einer dritten Ausführung. Die Vorrichtung 21 unterscheidet sich von der zuvor dargelegten ersten Ausführung dadurch, daß die Kathodenelektrode 11, welche die Elektrode zur Hohlplasmaerzeugung der vorliegenden Erfindung bildet, ein hohles Element von hohler zylindrischer Form ist, aber ansonsten ist der Aufbau ähnlich der Oberflächenbehandlungsvorrichtung 1 der zuvor dargelegten ersten Ausführung.
In der Kathodenelektrode 11, die ein hohles Element ist, ist eine Vielzahl von Durchgangslöchern 11b mit kreisförmigem Querschnitt, die mit dem hohlen Innenraum in Verbindung stehen, in dem der Anodenelektrode 6 gegenüberliegenden Bereich, nämlich in einem unteren Wandabschnitt 11a der Kathodenelektrode 11 ausgebildet. Diese Durchgangslöcher 11b sind vorzugsweise in der in Abb. 33A bis 36B gezeigten Anordnung ausgebildet. Bevorzugter sind diese Durchgangslöcher 11b an der Stelle ausgebildet, welche die Stelle genau oberhalb der in der Anodenelektrode 6 ausgebildeten Plasmadüse vermeidet, nämlich in der in den Abb. 34A bis 34C oder den Abb. 36A und 36B gezeigten Anordnung.
Um dieses Durchgangsloch 11b als Erzeugungsbereich für die Hohlkathodenentladung auszubilden, wird dessen Öffnungsbreite W, nämlich der Durchmesser W in einem Bereich eingestellt, der entweder W ≦ 5L(e) oder W ≦ 20X genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird. Es ist vorzuziehen, die Öffnungsbreite W in einem Bereich einzustellen, der X/20 ≦ W genügt, und es ist ferner vorzuziehen, die Öffnungsbreite W ferner in einem Bereich einzustellen, der auch X/5 ≦ W genügt.
Die Öffnungsbreite W aller Durchgangslöcher aus der Vielzahl der Durchgangslöcher 11b ist nicht unbedingt identisch, sondern sie kann auf eine passende unterschiedliche Öffnungsbreite W eingestellt werden, um eine Hohlkathodenentladung gleichmäßig über die Vielzahl der Durchgangslöcher 11b erzeugen. Es ist insbesondere vorzuziehen, die Öffnungsbreite W des Durchgangsloches 11b gemäß der angelegten Elektrizitätsfrequenz oder anderen Bedingungen in der Nähe des Mittelpunktes zu verringern und die Öffnungsbreite W schrittweise zu dem äußeren Umfang hin zu vergrößern, oder die Öffnungsbreite W in der Nähe des Mittelpunktes zu vergrößern und die Öffnungsbreite W schrittweise zu dem äußeren Umfang hin zu verkleinern.
Wenn sich der Gasdruck innerhalb der Plasmaerzeugungsbedingungen in einem Bereich von 10 bis 1400 Pa befindet, wird der Durchmesser des Durchgangsloches 11b in einem Bereich von 1 bis 100 mm eingestellt, und bevorzugter liegt er zwischen 1 und 20 mm. Durch das Einstellen des Durchmessers des Durchgangsloches 11b in solch einem Bereich findet die Hohlkathodenentladung in dem Durchgangsloch 11b statt.
Die ungefähre untere Grenze der Länge T des Durchgangsloches 11b, nämlich die Dicke T des unteren Wandabschnittes 11a beträgt für diese Ausführung X/50. Die obere Grenze wird von der Abmessungsbegrenzung der Vorrichtung bestimmt. Die Länge T dieses Durchgangsloches 11b beträgt vorzugsweise 0,3 bis 70 mm bei dem zuvor erwähnten Gasdruck und Durchmesser.
Obwohl das Durchgangsloch 11b einen kreisförmigen Querschnitt in dieser Ausführung aufweist, kann es auch eine ovale, rechteckige, polygonale, undefinierte oder andere willkürliche Form aufweisen. Der Querschnitt ist nicht unbedingt konstant, und der Querschnitt kann sich in axialer Richtung verändern. Außerdem kann das Durchgangsloch 11b eine Schlitzstruktur mit einem rechteckigen Querschnitt oder eine Schlitzstruktur mit einer zweidimensionalen Abmessung, wie eine Spiral- oder Meanderform, aufweisen. Wenn solch eine Schlitzform benutzt wird, entspricht die Öffnungsbreite W dieses Durchgangsloches 11b der Schlitzbreite und diese Schlitzbreite wird innerhalb des zuvor dargelegten Bereiches eingestellt. Diese Schlitzbreite ist nicht unbedingt konstant und kann schrittweise vom Mittelpunkt zum äußeren Umfang hin größer oder kleiner werden. Es kann auch eine Teilerhöhung auf der Innenwandfläche des Durchgangsloches 11b ausgebildet sein. Es ist nicht erforderlich, eine Vielzahl von miteinander in den Abmessungen oder der Form identischen Durchgangslöchern 11b auszubilden, sondern es kann eine Vielzahl von Durchgangslöchern 11b mit unterschiedlichen Abmessungen und unterschiedlicher Form ausgebildet werden.
Um den hohlen Innenraum der Kathodenelektrode 11 zu dem Erzeugungsbereich für die Hohlkathodenentladung zu machen, ist in dieser Ausführung der Abstand der gegenüberliegenden Fläche in dem hohlen Innenraum entlang der Ausbildungsrichtung des Durchgangsloches 11b der Kathodenelektrode 11, nämlich eine in den Zeichnungen vertikale Höhe H, in einem Bereich eingestellt, der entweder H ≦ 5L(e) oder H ≦ 20X genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden, und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird. Es ist vorzuziehen, die Höhe H des hohlen Innenraumes in einem Bereich einzustellen, der X/20 ≦ H genügt, und es ist ferner vorzuziehen, die Höhe H in einem Bereich einzustellen, der auch X/5 ≦ H genügt. Wenn sich der Gasdruck innerhalb der Plasmaerzeugungsbedingungen in einem Bereich von 10 bis 1400 Pa befindet und die Abmessungen des Durchgangsloches 11b in dem oben dargelegten Bereich liegen, wird die Höhe H innerhalb des Hohlraumes vorzugsweise auf 1 bis 100 mm eingestellt, und bevorzugter wird die Höhe H innerhalb des Hohlraumes auf 1 bis 20 mm eingestellt.
Obwohl die Höhe H des hohlen Innenraumes in der Zeichnung konstant ist, muß die Höhe H nicht unbedingt konstant sein. Es ist vorzuziehen, die Höhe H des hohlen Innenraumes gemäß der angelegten Stromfrequenz oder anderen Bedingungen in der Nähe des Mittelpunktes zu verringern und die Höhe H schrittweise zu dem äußeren Umfang hin zu vergrößern, oder die Höhe H des hohlen Elementes in der Nähe des Mittelpunktes zu vergrößern und die Höhe H schrittweise zu dem äußeren Umfang hin zu verkleinern, um die Hohlkathodenentladung in dem im wesentlichen gesamten Bereich des hohlen Innenraumes gleichmäßig zu erzeugen.
Obwohl die Kathodenelektrode 11 ein hohles Element mit einer ungefähr gleichmäßigen Dicke in dem Wandbereich ist und sie insgesamt in der illustrierten Ausführung hohl ausgebildet ist, kann der Umfangswandbereich massiv und nur der Mittelbereich hohl ausgebildet sein, oder es kann ein örtlich hohler Bereich ausgebildet sein. Außerdem kann eine Aussparung in diesem hohlen Bereich ausgebildet sein.
Ein zylindrischer Gaseinlaß 11d ist an dem Mittelpunkt des oberen Wandabschnittes 11c der Kathodenelektrode 11 ausgebildet, und es wird ein Gasgemisch aus Rohgas, wie Silikomethan, und Trägergas zur Beschleunigung der Plasmaerzeugung, zur Stabilisierung des Plasmas und zum Transport des Rohgases zu dem Substrat S von diesem Gaseinlaß 11d in den hohlen Innenraum der Kathodenelektrode 11 eingeleitet. Die Form dieses Gaseinlasses 11d ist nicht auf eine zylindrische Form begrenzt, sondern kann auch ein rechteckiges Rohr sein. Zusätzlich ist die Position der Ausbildung des Gaseinlasses 11d nicht auf den Mittelpunkt des oberen Wandabschnittes 11c beschränkt, sondern er kann an irgendeiner Stelle ausgebildet werden.
Das durch solch einen Gaseinlaß 11d in die Kathodenelektrode 11 eingeleitete Gasgemisch wird in gesprühter Form durch die Durchgangslöcher 11b in die Plasmaerzeugungskammer 3 eingeleitet. So kann das Gasgemisch in die Plasmaerzeugungskammer 3 mit einer gleichmäßigen Dichte und gleichmäßigem Druck eingeleitet werden, indem das einmal gemischte Gas in der Kathodenelektrode 11 zurückgehalten und dann in gesprühter Form durch die Durchgangslöcher 11b in die Plasmaerzeugungskammer 3 eingeleitet wird.
Es kann nur Trägergas in den hohlen Innenraum der Kathodenelektrode 11 eingeleitet werden, und Rohgas kann auch über einen anderen, getrennt angeordneten Einlaß in die Plasmaerzeugungskammer 3, in die Filmherstellungskammer 4 oder in die Mitte der Plasmadüse 7 eingeleitet werden.
Wenn ein Hochfrequenzstrom von der Hochfrequenzstromquelle P auf die Kathodenelektrode 11 geleitet wird, findet eine Entladung zwischen den Elektroden 11 und 6 statt, und Plasma wird in der Plasmaerzeugungskammer 3 erzeugt. Die Entladung wechselt von einer normalen Glimmentladung zu derjenigen, die eine Hohlkathodenentladung gemäß der angelegten Hochfrequenzenergie umfaßt. Bezüglich der Kathodenelektrode 11 wird eine Hohlkathodenentladung an dem Durchgangsloch 11b erzeugt, und neues Plasma wird an dem Durchgangsloch 11b erzeugt, und eine Hohlkathodenentladung wird auch in dem hohlen Innenraum der Kathodenelektrode 11 erzeugt und neues Plasma wird erzeugt. Daher steigt die Dichte des in der Plasmaerzeugungskammer 3 erzeugten Plasmas an, und die zu der Filmherstellung beitragenden aktiven Teilchen nehmen an Zahl zu, so daß die Oberflächenbehandlung beschleunigt wird.
Da ferner die Kathodenelektrode 11 ein hohles Element ist und die Durchgangslöcher 11b derart angeordnet sind, daß Plasma in den Durchgangslöchern 11b und dem hohlen Innenraum erzeugt wird, vergrößert sich der Oberflächenbereich der Kathodenelektrode 11, der sich im wesentlichen in Kontakt mit Plasma befindet, mehr als in dem Fall der oben dargelegten ersten Ausführung. Dies ermöglicht, die vollautomatische Gitterspannung während der Entladungserzeugung noch weiter in die Plusrichtung zu bringen, die Rohgaserregungs- und -spaltungsreaktion in der Nähe der geerdeten Anodenelektrode 6 weiter zu beschleunigen, und die Oberflächenbehandlung zu beschleunigen.
Versuch 1
In einer Vorrichtung zur Oberflächenbehandlung 21 gemäß der dritten Ausführung wurde der Durchmesser des Durchgangsloches 11b der Kathodenelektrode 11 auf 2 bis 20 mm, die Längenabmessung T des Durchgangsloches 11b auf 2 bis 8 mm, die Höhe H des hohlen Innenraumes auf 2 bis 20 mm eingestellt, der Wasserstoffgasdruck wurde auf 133 Pa eingestellt und es wurde eine RF-Energie mit einer Frequenz von 3,56 MHz mit 0,02 W/cm2 angelegt. Als Folge wurde an der Plasmadüse 7 eine Hohlanodenentladung erzeugt, und eine Hohlkathodenentladung wurde in den Durchgangslöchern 11b der Kathodenelektrode 11 und in deren hohlem Innenraum erzeugt.
Zu diesem Zeitpunkt betrug selbst der niedrigste Wert der vollautomatischen Gitterspannung der Kathodenelektrode 11 -9 V. Bei der gewöhnlichen Entladungsart, bei welcher der Durchmesser des Durchgangsloches 11b der Kathodenelektrode 11 1 mm beträgt und eine Hohlkathodenentladung nicht in dem Durchgangsloch 11b und in dem hohlen Innenraum erzeugt wird, liegt die vollautomatische Gitterspannung der Kathodenelektrode dahingegen bei -30 V bei demselben Gasdruck und derselben RF-Energie, und die vollautomatische G 81644 00070 552 001000280000000200012000285918153300040 0002010060002 00004 81525itterspannung beträgt -74 V bei der gewöhnlichen, parallelen, flachen Plattenart. Dies lehrt, daß die vollautomatische Gitterspannung der Kathodenelektrode 11 bei der Oberflächenbehandlungsvorrichtung 21 der zuvor dargelegten Ausführung extrem zu der Plusseite hin verschoben wird. Es ist auch möglich, die Polarität zu verändern, um die vollautomatische Gitterspannung, abhängig von den Bedingungen zu dem positiven Potential hin zu verschieben.
Wenn die Längenabmessung T des Durchgangsloches 11b der Kathodenelektrode 11 auf 9 mm eingestellt wurde, wurde außerdem unter den zuvor dargelegten Bedingungen weder eine Hohlkathodenentladung in dem Durchgangsloch 11b noch in dem hohlen Innenraum der Kathodenelektrode 11 erzeugt. Wenn die RF- Energie erhöht wurde unter Beibehaltung der Längenabmessung T des Durchgangsloches 11b bei 9 mm, wurde in dem Durchgangsloch 11b der Kathodenelektrode 11 und in deren innerem Hohlraum eine Hohlkathodenentladung bei 0,05 W/cm2 erzeugt.
Wenn dann der Durchmesser des Durchgangsloches 11b der Kathodenelektrode 11 auf 5 mm eingestellt wurde, und die Höhe H des hohlen Innenraumes der Kathodenelektrode 11 auf 2 mm, wurde in dem hohlen Innenraum keine Hohlkathodenentladung erzeugt, wenn die RF-Energie gleich oder weniger als 0,02 W/cm2 betrug, aber die vollautomatische Gitterspannung der Kathodenelektrode 11 lag bei -6 V, was eine extreme Verschiebung zur Plusseite hin bedeutet. Wenn die Höhe H auf 9 mm eingestellt wurde, wurde in dem hohlen Innenraum keine Hohlkathodenentladung erzeugt, wenn die RF-Energie gleich oder weniger als 0,05 W/cm2 betrug, aber auch in diesem Fall betrug die vollautomatische Gitterspannung der Kathodenelektrode 11 -9 V, was einer höheren Spannung im Vergleich zu der zuvor erwähnten gewöhnlichen Entladungsart oder der normalen parallelen, flachen Art entspricht.
Versuch 2
Unter Verwendung der Oberflächenbehandlungsvorrichtung 21 und Silikomethangas (SiH4) als Rohgas mit einer Strömungsgeschwindigkeit von 7 cm3/min und unter Einleiten von Wasserstoffgas als Trägergas mit einer Strömungsgeschwindigkeit von 105 cm3/min, unter Einstellen des Druckes der Filmherstellungskammer auf 29 Pa und der Substrattemperatur auf 150 bis 260°C und unter Anlegen eines Hochfrequenzstromes von 13,56 MHz, d. h. 0,1 W/cm2, wurde die Behandlung zur Filmherstellung auf einem aus einer weißen Glasplatte bestehenden Substrat durchgeführt. Als Folge wurde ein feinkristalliner dünner Film auf der Substratoberfläche selbst dann gebildet, wenn die Substrattemperatur unter 150°C lag. In diesem Temperaturbereich betrug die maximale Herstellungsgeschwindigkeit des fein-kristallinen dünnen Films 40 Å/Sek., was ermöglichte, eine Hochgeschwindigkeitsfilmbildung durchzuführen, was durch den bisherigen Stand der Technik nicht erreicht wurde. Außerdem kann eine extrem schnelle Filmherstellung, wie 150 Å/Sek., durchgeführt werden, indem die Filmherstellungsbedingungen optimiert werden und die Substrattemperatur auf 300°C eingestellt wird, und bei einer solch schnellen Filmherstellung wurde der dünne Film fein-kristallisiert, wodurch ein dünner Film geschaffen wurde, der zufriedenstellend als Solarzelle dienen konnte. Es versteht sich von selbst, daß der Film noch schneller hergestellt werden kann, wenn ein amorpher dünner Film hergestellt werden soll.
Versuch 3
Unter Verwendung der Oberflächenbehandlungsvorrichtung 21 und unter Einstellen der Frequenz der Hochfrequenzstromquelle P auf 105 MHz, des Druckes der Substratbehandlungskammer 3 auf 10 bis 1400 Pa und der Substrattemperatur auf 100 bis 450°C konnte ein nicht amorpher, kristalliner, dünner Silikonfilm innerhalb des Bereiches von 0,5 < R hergestellt werden, wobei R die Strömungsgeschwindigkeit des Wasserstoffgases/­ Strömungsgeschwindigkeit des Silikomethangases ist, d. h. das Verhältnis der Strömungsgeschwindigkeit des Trägergases Wasserstoff zu der Strömungsgeschwindigkeit des Rohgases Silikomethangas (SiH4). Es wurde eine Solarzelle mit p-i-n-Struktur hergestellt, um zu beweisen, daß der Film als Solarzelle arbeitet.
Konventionell dachte man, daß eine Kristallisation insbesondere schwierig ist, wenn sich R in dem Bereich von 0,5 < R < 20 befindet; es wurde jedoch durch Röntgendiagramm oder Ramanspektroskopie bestätigt, daß ein kristalliner dünner Film erhalten werden kann, der genauso gut oder besser ist als in dem Fall, wo R hoch ist, nämlich wenn die Wasserstoffströmungsgeschwindigkeit größer als die Strömungsgeschwindigkeit des Silikomethangases ist.
Spezielle Behandlungsbedingungen und die Filmherstellungsgeschwindigkeit, mit welchen ein kristalliner dünner Film unter diesen Bedingungen hergestellt werden kann, sind als Beispiele in der nachfolgenden Tabelle 1 gezeigt.
Tabelle 1
Alle kristallinen dünnen Filme der zuvor erwähnten Beispiele 1 bis 4 wurden durch Röntgendiagramm als kristalline dünne Filme mit Ausrichtung auf (220) bestimmt.
Wenn diese dünnen Filme zusätzlich auf eine Solarzelle der p-i-n-Art aufgebracht werden sollen, wird die Wirksamkeit der Solarzelle durch Beschichten mit der n-Art und i-Art (genannte Bedingungen) und dann durch Beschichten mit einer dünneren Schicht der i-Art mit weniger Energie und geringerer Geschwindigkeit als die Bedingungen vor dem Beschichten mit der Schicht der p-Art zum Herstellen einer Zelle verbessert. Die Solarzellenwirksamkeit wurde beispielsweise um 50% verbessert, indem eine 5 bis 100 nm dicke i-Schicht unter den Bedingungen von 80 Pa, 100 bis 450°C, H2: 40 sccm, SiH4: 1,5 sccm, RF-Energie: 0,25 W/cm2 und Einstellen der Filmherstellungsgeschwindigkeit auf 0,01 µm/min eingefügt wurde.
Solch eine Verbesserung der Filmherstellungsgeschwindigkeit kann zunächst einmal dadurch erklärt werden, daß Plasma mit hoher Dichte durch Hohlanodenentladung an der Plasmadüse 7 und Hohlkathodenentladung an dem Durchgangsloch 11b der Kathodenelektrode 11 und in ihrem hohlen Innenraum erzeugt wird. Ferner ermöglicht die Vergrößerung des Oberflächenbereiches der Kathodenelektrode 11, der sich in Kontakt mit Plasma befindet, ihre vollautomatische Gitterspannung auf die Plusseite zu bringen, und Plasma wird auch in der Nähe der Anodenelektrode erzeugt, was ermöglicht, Plasma wirksam durch die Plasmadüse 7 in die Substratbehandlungskammer 4 zu der Substratoberfläche zu leiten. Da außerdem die Steuerung der vollautomatischen Gitterspannung zugleich die Steuerung des Plasmaraumpotentials ermöglicht, kann die Kristallisation bei der Filmherstellung mit hoher Geschwindigkeit erreicht werden, indem dieses Plasmaraumpotential entsprechend eingestellt und eine passende Ionenwirkung gemäß der Filmherstellungsgeschwindigkeit herbeigeführt wird.
Die oben dargelegte Oberflächenbehandlungsvorrichtung 21 kann die Oberflächenbehandlung bei einer niedrigeren Temperatur und schneller als zuvor durchführen, wenn sie für eine andere Oberflächenbehandlung als die Filmherstellung eingesetzt wird, wie Schwabbeln, Beizen, Ionendotieren oder dergleichen.
Abb. 6 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung 22 gemäß einer vierten Ausführung der vorliegenden Erfindung. Der Aufbau der Vorrichtung 22 ist identisch mit der Substratbehandlungsvorrichtung 21 der zuvor dargelegten dritten Ausführung, außer daß Magneten 10 auf der Innenwandfläche der Durchgangslöcher 11b, die durch die als hohles Element ausgebildete Kathodenelektrode 11 hindurch ausgebildet sind, und auf der Innenwandfläche der Plasmadüse 7 angeordnet sind.
Das Magnetfeld des Magneten 10 ist vorzugsweise derart ausgebildet, daß der Magnetlinienfluß parallel zu den jeweiligen axialen Richtungen des Durchgangsloches 11b und der Plasmadüse 7 ausgerichtet ist. Die Magnetstärke liegt vorzugsweise bei 1 bis 2000 mT an dem jeweiligen axialen Mittelpunkt des Durchgangsloches 11b und der Plasmadüse 7, bei 2 bis 2000 mT an der Innenwandfläche und in deren Nähe, und bevorzugter bei 5 bis 500 mT an dem axialen Mittelpunkt und 5 bis 1000 mT an der Innenwandfläche und in deren Nähe.
Solche eine Magnetfeldausbildung an dem Durchgangsloch 11b und der Plasmadüse 7 ermöglicht den Elektronen über einen langen Zeitraum in dem Durchgangsloch 11b und der Plasmadüse 7 zu verbleiben, wobei der Elektronenstrahl in dem darin erzeugten Plasma eingestellt wird. Solch eine Elektronenstrahleinstellung beschleunigt die Erzeugung aktiver Teilchen und verbessert die Filmherstellungsgeschwindigkeit, da die Elektroneneinwirkzeit auf das Rohgas ausgedehnt wird, ohne daß die Elektronenenergie (Elektronentemperatur) erhöht wird.
Außerdem erhöht die Magnetfeldausbildung durch Anordnung von Magneten 10 die dimensionale Toleranz der Öffnungsbreite W und der Länge T des Durchgangsloches 11b und der Öffnungsbreite W der Plasmadüse 7 um ungefähr 30% mehr als in dem Fall ohne Magnetanordnung.
Obwohl in dieser Ausführung alle Durchgangslöcher 11b und Plasmadüsen 7 mit dem Magneten 10 versehen sind, kann der Magnet 10 nur an ausgewählten Durchgangslöchern und Plasmadüsen angeordnet werden, anstatt alle von ihnen mit dem Magneten 10 auszustatten. Das Magnetfeld kann durch Elektromagneten oder andere Vorrichtungen ausgebildet werden. Außerdem kann der Magnet 10 in der Innenwandfläche der Durchgangslöcher 11b und der Plasmadüsen 7 eingebettet sein. Zusätzlich kann er auch in dem oberen Wandabschnitt 11c der Kathodenelektrode 11 eingebettet sein, die ein hohles Element ist, wie in Abb. 7A gezeigt, oder er kann außerhalb der Kathodenelektrode 11 und oberhalb des oberen Wandabschnittes 11c angeordnet sein, wie in Abb. 7B gezeigt. Die Magnetfeldanordnung einschließlich der Polarität des Magneten 10 und seiner Stärke werden willkürlich derart festgelegt, daß die Plasmadichte erhöht wird.
Es ist auch möglich den Magneten derart anzuordnen, daß auch in dem hohlen Innenraum ein Magnetfeld ausgebildet wird, so daß die Hohlkathodenentladung in dem hohlen Innenraum dichter wird. In diesem Fall ist vorzuziehen, das Magnetfeld so auszulegen, daß die Magnetflußlinen in dem hohlen Innenraum parallel zu der Elektrodenoberfläche liegen. Wie in Abb. 8A gezeigt, können sie beispielsweise in dem oberen und unteren Wandabschnitt 11c und 11a der Kathodenelektrode und außerhalb des Umfangswandabschnitts der Kathodenelektrode 11 oder, wie in Abb. 8B gezeigt, außerhalb der Kathodenelektrode 11 oberhalb des oberen Wandabschnittes 11c, innerhalb des unteren Wandabschnittes 11c der Kathodenelektrode 11 und außerhalb des Umfangswandabschnittes angeordnet sein. Sie können auch innerhalb des Umfangswandabschnittes angeordnet sein, wie in Abb. 8C gezeigt. Es ist festzuhalten, daß Abb. 8C verschiedene Arten von Anordnungen kollektiv beschreibt.
Diese Zeichnungen zeigen nur Anordnungsbeispiele und die Position oder Anzahl der angeordneten Magnete 10 sind nicht auf die in den Zeichnungen dargelegten begrenzt. Die Magnetanordnung und Magnetfeldstärke können willkürlich gewählt werden, um die Dichte der Hohlkathodenentladung in dem hohlen Innenraum oder Durchgangsloch 11b zu erhöhen, indem der Magnet 10 innerhalb der Kathodenelektrode 11 eingebettet oder außerhalb von ihr oder in Kombinationen daraus angeordnet wird. Es ist vorzuziehen, daß diese Magneten 10 derart befestigt werden, daß sie nicht direkt dem Plasma ausgesetzt sind.
Versuch 4
Unter Verwendung der Oberflächenbehandlungsvorrichtung 22 gemäß der in dieser Abb. 6 gezeigten, vierten Ausführung unter den Bedingungen des Versuchs 2 mit der zuvor dargelegten dritten Ausführung, nämlich unter Einleiten von Silikomethangas (SiH4) mit einer Strömungsgeschwindigkeit von 7 cm3/min und Wasserstoffgas mit einer Strömungsgeschwindigkeit von 105 cm3/min, unter Einstellen des Druckes der Filmherstellungskammer auf 29 Pa und der Substrattemperatur auf 150 bis 260°C und unter Anlegen eines Hochfrequenzstromes von 13,56 MHz, d. h. 0,1 W/cm2, wurde die Behandlung zur Filmherstellung auf einem aus einer weißen Glasplatte bestehenden Substrat durchgeführt. Als Folge wurde ein dünner Film mit 70 Å/Sek. gebildet, was ermöglicht, eine Hochgeschwindigkeitsfilmherstellung durchzuführen, die um 75% schneller ist als die zuvor dargelegte dritte Ausführung, und bei einer solch schnellen Filmherstellung wurde der dünne Film fein-kristallisiert, wodurch der dünne Film in zufriedenstellender Weise als Solarzelle arbeiten kann.
Jetzt wird eine Modifizierung zur Erhöhung der Dichte des Plasmas, das durch Hohlkathodenentladung in dem Durchgangsloch 11b der Kathodenelektrode 11 oder in ihrem hohlen Innenraum erzeugt wird, in Abb. 9 gezeigt.
Zunächst ist es aus der Sicht einer effektiven Erzeugung einer Hohlkathodenentladung in dem Durchgangsloch 11b vorzuziehen, die Länge T des Durchgangsloches 11b zu vergrößern, um stärkeres Plasma zu erzeugen. Die Dicke des unteren Wandabschnittes 11a der Kathodenelektrode 11 ist jedoch vorzugsweise aus der Sicht der Materialkosten auf einem Minimum zu halten, das dem in den hohlen Innenraum eingeleiteten Gasdruck und der angelegten Elektrizität standhält.
Daher ist es zur Vergrößerung der Länge T des Durchgangsloches 11b vorzuziehen, ein Düsenelement 12 an dem Umfang des Durchgangsloches 11b zu befestigen. Dieses Düsenelement 12 kann von dem Durchgangsloch 11b zu der Seite der Plasmaerzeugungskammer 3 hin vorspringen oder in den hohlen Innenraum vorspringen. Es kann auch zu beiden Seiten hin vorspringen. Dasselbe Düsenelement 12 kann auch aus einem Magneten 10 bestehen, wie in Abb. 9 gezeigt. Es ist jedoch vorzuziehen, daß der Magnet 10 nicht direkt dem Plasma ausgesetzt ist.
Obwohl alle in Abb. 9 gezeigten Düsenelemente 12 derart angeordnet sind, daß ihre Mittellinie mit der axialen Linie des Durchgangsloches 11b ausgerichtet ist, können die Mittellinie des Düsenelementes 12 und die axiale Linie des Durchgangsloches 11b einen bestimmten Winkel bilden, d. h. das Düsenelement 12 kann schrägliegend angeordnet sein.
Obwohl das in Abb. 9 gezeigte Düsenelement 12 ein Zylinder mit konstantem Querschnitt ist, ist die Form nicht darauf beschränkt, sondern es kann auch ein Zylinder mit einer Form sein, deren Querschnitt sich schrittweise vergrößert oder verkleinert. Außerdem können röhrenförmige Düsenelemente spiralförmig angeordnet sein. Solch eine Abänderung des Düsenelementes kann auch bei dem Düsenelement eingesetzt werden, das an der zuvor erwähnten Plasmadüse oder Aussparung befestigt ist.
Um außerdem den Oberflächenbereich der Kathodenelektrode 11, der sich in Kontakt mit Plasma befindet, zu vergrößern, kann der hohle Innenraum der Kathodenelektrode 11 durch eine sich in Richtung seiner Höhe erstreckende Trennwand 11e unterteilt sein. Da der Oberflächenbereich frei eingestellt werden kann, kann auch die vollautomatische Gitterspannung der Kathodenelektrode 11 frei gesteuert werden. Die Trennwand 11e befindet sich nicht unbedingt in Kontakt mit den oberen und unteren Abtrennungsabschnitten 11c und 11a der Kathodenelektrode 11, und jeweilige mit einem Spalt abgetrennte Räume können miteinander verbunden sein.
Es ist vorzuziehen, daß ein jeweiliger abgeteilter Raum mit jeweils einem Gaseinlaß 11d versehen ist, wie in Abb. 10 gezeigt. Alternativ kann ein Gaseinlaß 8 an einer Öffnungsposition in dem Umfangswandabschnitt der Anodenelektrode 6 ausgebildet sein, und eine Vielzahl dieser Gaseinlässe 8 und 11d kann durch eine Kombination daraus zu mehreren ausgebildet sein. Der Gaseinlaß 11d der Kathodenelektrode 11 kann nur Trägergas einleiten, und Rohgas kann auch durch den Gaseinlaß 8 der Anodenelektrode 6 oder durch einen anderen, separat angeordneten Einlaß in das Innere der Plasmaerzeugungskammer 3, in die Filmherstellungskammer 4 oder in die Mitte der Plasmadüse 7 eingeleitet werden.
Obwohl Abb. 9 Formen der Vielzahl von Durchgangslöchern 11b illustriert, besteht keine Begrenzung auf die illustrierte Ausführung, in welcher alle Durchgangslöcher 11b unterschiedliche Formen aufweisen. Alle Durchgangslöcher 11b können dieselbe Form aufweisen, oder es können mehrere Arten von Durchgangslöchern 11b nebeneinander bestehen. Die Längenabmessung des Düsenelementes 12 kann auch identisch für alle Durchgangslöcher 11b sein oder in passender Weise variieren, um die Stärke des Plasmas, welches die Substratoberfläche erreicht, über den gesamten Bereich der Substratoberfläche gleichmäßig zu gestalten. Außerdem sind die Position und die Anzahl der Trennwände nicht auf Abb. 9 begrenzt, sondern sie können gemäß der für die Oberflächenbehandlung erforderlichen Plasmastärke frei gestaltet werden.
Es ist auch bekannt, daß die Erhöhung der Frequenz der zugeführten Hochfrequenzerregungsenergie als ein Faktor, der die Plasmastärke beeinflußt, die Kristallisation beschleunigt. Daher wurde ein Versuch zur Veränderung der Frequenz durchgeführt.
Versuch 5
In den oben erwähnten Versuchen 1, 2 und 4 wurde die Frequenz der zugeführten Hochfrequenzerregungsenergie auf 13,56 MHz eingestellt; sie wurde auf 105 MHz verändert und die Filmherstellungsbehandlung wurde unter denselben Bedingungen durchgeführt, und als Folge wurde der dünne Film sogar bei einer Filmherstellungsgeschwindigkeit von 260 Å/Sek. durch Einwirkung der Hochfrequenz, zusätzlich zu den Auswirkungen der jeweiligen Versuche, kristallisiert. Als die Filmherstellungsgeschwindigkeit 240 Å/Sek. betrug, wurde der kristallisierte Film erhalten, der zufriedenstellend als Solarzelle dienen kann.
Eine Hohlkathodenentladung wird in beinahe dem gesamten Bereich des hohlen Innenraumes der Kathodenelektrode 11 bei der zuvor dargelegten dritten und vierten Ausführung und ihren Modifizierungen, wo die Kathodenelektrode 11 ein hohles Element ist, erzeugt, wie in den Abb. 5, 6 und 9 gezeigt. Es wird jedoch nicht unbedingt in dem gesamten Bereich des hohlen Innenraumes eine Hohlkathodenentladung erzeugt, was von der Höhe des hohlen Innenraumes der Kathodenelektrode 11, der Form, Menge oder Anordnung der Durchgangslöcher 11b oder der Magnetanordnung abhängt, und eine Hohlkathodenentladung wird dann nur in einem Teil des hohlen Innenraumes erzeugt oder die Hohlkathodenentladung kann manchmal ungleichmäßig in dem hohlen Innenraum erzeugt werden. Allgemein wird in dem hohlen Abschnitt in der Nähe des Durchgangsloches, das die Hohlentladung erzeugt, in dem hohlen Innenraum eine Hohlentladung erzeugt, die heller als anderswo ist.
Abb. 11 ist eine schematische Ansicht einer Oberflächenbehandlungsvorrichtung 23 gemäß einer fünften Ausführung der vorliegenden Erfindung. Die Vorrichtung 23 unterscheidet sich von der zuvor dargelegten dritten Ausführung dadurch, daß die Innenwandfläche des hohlen Innenraumes aus einem Isolator besteht, so daß keine Hohlkathodenentladung in dem hohlen Innenraum der Kathodenelektrode 11' erzeugt wird, aber ansonsten ist der Aufbau ähnlich der Oberflächenbehandlungsvorrichtung 21 der zuvor dargelegten dritten Ausführung.
Die Elektrode kann jedoch teilweise auf der Innenfläche des unteren Wandabschnittes 11a der Kathodenelektrode 11' freigelegt sein, und in diesem Fall dringt in der Plasmaerzeugungskammer 3 erzeugtes Plasma durch die Durchgangslöcher 11b in den hohlen Innenraum ein, um über diese freigelegte Elektrodenfläche zu fließen. Dadurch vergrößert sich der Oberflächenbereich der Kathodenelektrode 11', der im wesentlichen mit Plasma in Kontakt ist, was eine Erhöhung der vollautomatischen Gitterspannung ermöglicht.
Um zu verhindern, daß in dem hohlen Innenraum der Kathodenelektrode 11' eine Hohlkathodenentladung stattfindet, kann, neben der zuvor erwähnten Ausbildung der Innenwandfläche mit einem Isolator, die Höhe H des hohlen Innenraumes erhöht werden, wobei es jedoch zuverlässiger ist, die Innenwandfläche mit einem Isolator auszubilden, da diese Höhe H abhängig von der RF-Energie oder dem Gasdruck variieren kann.
Somit kann Plasma mit der Stärke entsprechend der Anwendung erzeugt werden, da nicht nur die Stelle der Plasmaerzeugung gesteuert, sondern auch der Oberflächenbereich der Kathodenelektrode 11', der sich in Kontakt mit dem Plasma befindet, eingestellt werden kann, und so die vollautomatische Gitterspannung gesteuert werden kann.
Versuch 6
Die Filmherstellungsbehandlung wurde unter Verwendung der zuvor dargelegten Vorrichtung zur Oberflächenbehandlung 23 unter den Bedingungen wie bei dem zuvor dargelegten Versuch 2 durchgeführt, und es wurde eine Hohlkathodenentladung in den Durchgangslöchern 11b erzeugt, eine Hohlanodenentladung wurde in der Plasmadüse 7 erzeugt und die Plasmastärke stieg an, was die Bildung eines fein-kristallinen dünnen Films mit hoher Geschwindigkeit zuließ. Außerdem konnte der erhaltene kristallisierte Film zufriedenstellend als Solarzelle dienen.
Abb. 12 ist eine schematische Ansicht einer Oberflächenbehandlungsvorrichtung 24 gemäß einer sechsten Ausführung der vorliegenden Erfindung. Die Oberflächenbehandlungsvorrichtung 24 entspricht der Oberflächenbehandlungsvorrichtung 23 der zuvor dargelegten fünften Ausführung, bei welcher Magneten 10 auf der Innenwandfläche des Durchgangsloches 11b der Kathodenelektrode 11 und auf der Innenwandfläche der Plasmadüse 7 angeordnet sind.
Versuch 7
Die Filmherstellung wurde unter Verwendung der zuvor dargelegten Vorrichtung zur Oberflächenbehandlung 24 der sechsten Ausführung unter denselben Bedingungen wie bei dem zuvor dargelegten Versuch 2 durchgeführt, was zu einer Verbesserung der Filmherstellungsgeschwindigkeit oder der Batterieeffizienz um 10% oder mehr im Vergleich zu dem zuvor erwähnten Versuch 6 führte.
Als eine Modifizierung der zuvor dargelegten Kathodenelektrode 11, die das hohle Element bildet, kann beispielsweise der Raum zwischen dem unteren Wandabschnitt 15a, der eine Vielzahl von mit dem hohlen Innenraum in Verbindung stehenden Durchgangslöchern 15b umfaßt, und dem oberen Wandabschnitt 15c von einer oder mehreren Trennwänden 15e einschließlich eines oder mehrerer Durchgangslöcher 15d in eine Vielzahl von Abschnitten unterteilt sein, wie die Kathodenelektrode 15, welche ein in Abb. 13A gezeigtes hohles Element bildet. An dieser Stelle ist es vorzuziehen, jeweilige Durchgangslöcher 15b und 15d derart auszubilden, daß sich eine Vielzahl von in dem unteren Wandabschnitt 15a ausgebildeten Durchgangslöchern 15b und eine Vielzahl von in der Trennwand 15e ausgebildeten Durchgangslöchern 15d nicht überlappen, wie bei der Kathodenelektrode 15', die ein in Abb. 13B gezeigtes hohles Element bildet.
Auch die Anzahl der Durchgangslöcher 15b in dem unteren Wandabschnitt 15a kann unterschiedlich von der Anzahl der Durchgangslöcher 15d in der Trennwand 15e sein. Die Öffnungsabmessung der jeweiligen Durchgangslöcher 15b und 15d kann auch unterschiedlich sein. Ferner ist die Öffnungsabmessung bei der Vielzahl der in dem unteren Wandabschnitt 15a ausgebildeten Durchgangslöcher 15b und bei der Vielzahl der in der Trennwand 15e ausgebildeten Durchgangslöcher 15d nicht unbedingt gleichförmig, sondern die Öffnungsabmessung kann sich verändern, indem sie schrittweise von dem mittleren Bereich zu dem äußeren Umfang hin kleiner oder größer wird.
Als weitere Modifizierung der zuvor dargelegten Kathodenelektrode 11, die ein hohles Element bildet, kann eine Vielzahl von hohlen Elektrodenelementen 16a mittels eines Verbindungsloches 16b in einer Vielzahl von vertikalen Stufen miteinander verbunden sein, wie die aus einem hohlen Element gebildete Kathodenelektrode 16, die in Abb. 13C gezeigt ist.
Abb. 14 ist eine schematische Ansicht einer Vorrichtung zur Oberflächenbehandlung 25 gemäß einer siebten Ausführung der vorliegenden Erfindung. Bei dieser Oberflächenbehandlungsvorrichtung 25 ist der Innenraum des Gehäuses 2 auch in zwei Kammern unterteilt, nämlich die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4. Die Kathodenelektrode 5 und eine Anodenelektrode 6' sind in der Plasmaerzeugungskammer 3 angeordnet, und die Anodenelektrode 6' teilt die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4. Eine kreisförmige Plasmadüse 7' ist an dem Mittelpunkt der Anodenelektrode 6' ausgebildet, und diese Plasmadüse 7' verbindet die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4.
Bei der Kathodenelektrode 5 ist eine Vielzahl von Aussparungen 5a mit kreisförmigem Querschnitt auf der Fläche der Kathodenelektrode 5 angeordnet, die der Anodenelektrode 6' gegenüberliegt. Die Öffnungsbreite W dieser Aussparung 5a ist in einem Bereich eingestellt, der entweder W ≦ 5L(e) oder W < 20X genügt. Es ist noch mehr vorzuziehen, die Öffnungsbreite W in einem Bereich einzustellen, der X/5 ≦ W genügt. Eine Hohlkathodenentladung wird an der Aussparung 5a erzeugt, indem der Durchmesser der Aussparung 5a in solche einem Bereich eingestellt wird.
Der zuvor erwähnte Aufbau dieser Ausführung ist ähnlich der oben dargelegten ersten Ausführung, aber er unterscheidet sich von der Oberflächenbehandlungsvorrichtung 1 der zuvor dargelegten ersten Ausführung dadurch, daß an der Plasmadüse 7' keine Hohlentladung erzeugt wird, da die Öffnungsbreite W der an der Anodenelektrode 6' ausgebildeten Plasmadüse 7' groß oder die Länge (Dicke) T gering ist.
Da in dieser Ausführung keine Hohlentladung an der Plasmadüse 7' erzeugt wird, sind die Oberflächenbehandlungsgeschwindigkeit und -qualität etwas geringer als in der zuvor dargelegten ersten Ausführung, aber ihre Behandlungsgeschwindigkeit und Behandlungsqualität ist verbessert im Vergleich zu der konventionellen Oberflächenbehandlungsvorrichtung, da eine Hohlkathodenentladung an der Aussparung 5a der Kathodenelektrode 5 erzeugt wird.
Abb. 15 ist eine schematische Ansicht einer Oberflächenbehandlungsvorrichtung 26 gemäß einer achten Ausführung der vorliegenden Erfindung. Auch bei dieser Oberflächenbehandlungsvorrichtung 26 ist das Innere des Gehäuses 2 in zwei Kammern unterteilt, nämlich die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4. Eine Kathodenelektrode 5" und eine Anodenelektrode 6" sind in der Plasmaerzeugungskammer 3 angeordnet, und die mit Strom versorgte Kathodenelektrode 5" teilt die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4. Eine kreisförmige Plasmadüse 7" ist an dem Mittelpunkt der Kathodenelektrode 5" ausgebildet, und diese Plasmadüse 7" verbindet die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4.
Da die Öffnungsbreite W dieser Plasmadüse 7" in einem Bereich eingestellt ist, der entweder W ≦ 5L(e) oder W ≦ 20X genügt, wird an der Plasmadüse 7" eine Hohlkathodenentladung erzeugt. Mit anderen Worten, die Plasmadüse 7" dieser Ausführung entspricht dem Hohlentladungsbereich des ersten Aspektes dieser Erfindung und entspricht zugleich dem Bereich der Hohlkathodenentladung des zweiten Aspektes dieser Erfindung.
Obwohl in jeder der zuvor dargelegten Ausführungen die Plasmaerzeugungskammer 3 im oberen Bereich der Oberflächenbehandlungsvorrichtung und die Substratbehandlungskammer 4 darunter angeordnet ist, kann die Vorrichtung im Gegensatz zu diesen Ausführungen derart aufgebaut sein, daß Plasma von unten nach oben fließt, indem die Plasmaerzeugungskammer 3 unten und die Substratbehandlungskammer darüber angeordnet wird. Ferner kann das Gehäuse der Oberflächenbehandlungsvorrichtung in eine rechte und linke Kammer unterteilt sein, und die Plasmaerzeugungskammer und die Substratbehandlungskammer können horizontal angeordnet sein, so daß eine Vorrichtung gebildet wird, in welcher das Plasma in Querrichtung fließt. In jedem Fall kann das Substrat gegenüberliegend der Plasmadüse und rechtwinklig zu der Plasmaströmungsrichtung angeordnet werden, oder das Substrat kann parallel zu der Plasmaströmungsrichtung angeordnet werden. Die Plasmaerzeugungsvorrichtung ist nicht auf ein Paar Plasmaerzeugungselektroden beschränkt, sondern umfaßt Elektroden mit drei Polen oder mehr, Mikrowellenentladung, kapazitive Kopplungsentladung, induktive Kopplungsentladung, PIG-Entladung, Entladung durch Elektronenstrahlerregung.
Wie in den Abb. 16A und 16B gezeigt, kann eine andere Elektrode 13 in der Nähe der Anodenseite und/oder der gegenüberliegenden Seite der Kathodenelektroden 5 und 11 angeordnet sein, wo eine Hohlkathodenentladung erzeugt wird. Die andere Elektrode 13 weist kleine, auf ihr ausgebildete Löcher 13a auf, die eine schmalere Öffnungsbreite aufweisen als die Öffnungsbreite W der an der Kathode 5 ausgebildeten Aussparung 5a oder des Durchgangsloches 11b, das an der Kathodenelektrode 11 ausgebildet ist, welche das hohle Element bildet. Ansonsten kann die andere Elektrode 13 siebförmig ausgebildet sein. Selbst in dem Fall, wo die Kathodenelektrode ein Durchgangsloch aufweist, wo eine Hohlkathodenentladung erzeugt wird, kann in ähnlicher Weise eine andere Elektrode 13, die mit mehreren kleinen Löchern versehen ist, die kleiner als die Öffnungsbreite W des Durchgangsloches sind, angeordnet werden.
Die andere Elektrode 13 wird mit einer willkürlichen Spannung einschließlich des Schwebezustandes vorgespannt, und es ist insbesondere vorzuziehen, daß sie auf einen Spannungswert eingestellt wird, der zwischen der geerdeten Anodenelektrode 6 und dem maximalen Wert des Plasmaraumpotentials liegt, oder sie wird auf einen Spannungswert eingestellt, der zwischen der Spannung der Kathodenelektrode 5, wo die Hohlkathodenentladung erzeugt wird, und dem maximalen Wert des Plasmaraumpotentials liegt.
Außerdem werden viele Elektronen in dem Bereich der Hohlkathodenentladung definiert, und eine Hohlkathodenentladung mit ultrahoher Dichte, die eine Entladung mit viel mehr elektrischem Strom ist, wird möglich, indem die auf der anderen Elektrode 13 ausgebildeten kleinen Löcher 13a an einer Stelle ausgebildet werden, die der Aussparung 5a oder dem Durchgangsloch 11b der Kathodenelektroden 5 und 11 entspricht, wie in den Abb. 16A und 16B gezeigt.
Alternativ können Elektronen wirksam in einer Aussparung 5a", einem Durchgangsloch 11b" oder einem hohlen Bereich, die den Bereich der Hohlkathodenentladung bilden, eingefangen werden, indem der Öffnungsbereich an der an der Kathodenelektrode 5" ausgebildeten Aussparung oder dem an der Kathodenelektrode 11" ausgebildeten Durchgangsloch 11b" ausreichend kleiner als der Querschnitt der anderen Bereiche der Aussparung 5a" oder des Durchgangsloches 11b" ausgebildet wird, wie in den Abb. 17A und 17B gezeigt. Obwohl die obere Hälfte der Aussparung 5a" oder des Durchgangsloches 11b" eine zylindrische Form und die untere Hälfte eine halbkugelförmige Form in der Zeichnung aufweisen, können sie konisch, prismaförmig oder spindelförmig ausgebildet sein.
Abb. 18 ist eine schematische Ansicht einer Oberflächenbehandlungsvorrichtung 27 gemäß einer neunten Ausführung der vorliegenden Erfindung. Diese Vorrichtung 27 ist im wesentlichen identisch mit der Oberflächenbehandlungsvorrichtung 1 der zuvor dargelegten ersten Ausführung, außer daß der Bereich einer Anodenelektrode 14, welcher der Kathodenelektrode 5 gegenüberliegt, ein hohles Element ist.
Der Bereich der Anodenelektrode 14, welcher der Kathodenelektrode 5 gegenüberliegt, ist ein hohles Element 14a, und eine einzige Plasmadüse 7, welche in einer geraden Linie durch einen oberen Wandabschnitt 14b und einen unteren Wandabschnitt 14c läuft, ist an dem Mittelpunkt dieses hohlen Elementes 14a ausgebildet. Um in dieser Ausführung außerdem den Innenraum des hohlen Elementes 14a der Anodenelektrode 14 zu dem Erzeugungsbereich für die Hohlkathodenentladung zu machen, ist der Abstand zwischen gegenüberliegenden Flächen entlang der Ausbildungsrichtung der Plasmadüse 7 des hohlen Elementes 14a, nämlich die Höhe H, welche vertikal in den Zeichnungen ist, in einem Bereich eingestellt, der entweder H ≦ 5L(e) oder H ≦ 20X genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden, und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird. Es ist vorzuziehen, die Höhe H des hohlen Innenraumes in einem Bereich einzustellen, der X/20 ≦ H genügt, und es ist ferner vorzuziehen, die Höhe in einem Bereich einzustellen, der auch X/5 ≦ H genügt.
In dieser Ausführung wird zusätzlich zu der Hohlanodenentladung an der Plasmadüse 7 und der Hohlkathodenentladung an der Aussparung 5a der Kathodenelektrode 5 eine Hohlanodenentladung innerhalb des hohlen Elementes 14a der Anodenelektrode 14 erzeugt, und neues Plasma wird auch in dem hohlen Element 14a der Anodenelektrode 14 erzeugt. Daher steigt die Dichte des Plasmas, welches das Substrat S erreicht, weiter an und die aktiven Teilchen, die zu der Filmherstellungsbehandlung beitragen, nehmen an Zahl zu, wodurch die Oberflächenbehandlungsgeschwindigkeit und ferner ihre Behandlungsqualität verbessert werden.
Obwohl in der Zeichnung die innere Höhe H des hohlen Elementes 14a konstant ist, muß die Höhe H nicht konstant sein. Es ist vorzuziehen, die innere Höhe H des hohlen Elementes in der Nähe des Mittelpunktes zu verringern und seine Höhe H zu dem äußeren Umfang hin schrittweise zu erhöhen, oder die innere Höhe H des hohlen Elementes in der Nähe des Mittelpunktes zu erhöhen und seine Höhe H zu dem äußeren Umfang hin schrittweise zu verringern entsprechend der angelegten Stromfrequenz oder anderen Bedingungen, um die Hohlanodenentladung im wesentlichen in dem gesamten Bereich des hohlen Elementes 14a gleichmäßig zu gestalten.
Es ist nicht notwendig, daß die Hohlanodenentladung in dem gesamten Innenraum des hohlen Elementes 14a erzeugt wird, aber eine Verbesserung der Oberflächenbehandlungsqualität und der Behandlungsgeschwindigkeit kann nur festgestellt werden, wenn eine Hohlanodenentladung wenigstens in einem Bereich von ihm erzeugt wird.
Abb. 19 ist eine Modifizierung der zuvor dargelegten Anodenelektrode 14, welche ein hohles Element bildet. Obwohl die einzige Plasmadüse 7 durch den Mittelpunkt des hohlen Elementes 14a in der zuvor dargelegten Anodenelektrode 14 ausgebildet ist, kann eine Vielzahl von Durchgangslöchern 14d als Plasmadüse in dem oberen Wandabschnitt 14b und dem unteren Wandabschnitt 14c des hohlen Elementes 14a ausgebildet werden, wobei sie jeweils mit dem hohlen Innenraum in Verbindung stehen. In diesem Fall ist es vorzuziehen, die Durchgangslöcher 14d des oberen Wandabschnittes 14b und die Durchgangslöcher 14d des unteren Wandabschnittes 14c nicht vertikal in der geraden Linie auszurichten, sondern sie zu versetzen. Außerdem ist es vorzuziehen, die Durchgangslöcher 14d in der Anordnung von Abb. 33A bis Abb. 36B auszubilden.
Die Öffnungsbreite W der Vielzahl der Durchgangslöcher 14d ist nicht unbedingt identisch für alle, sondern sie kann auf eine passende unterschiedliche Öffnungsbreite W eingestellt werden, um eine Hohlanodenentladung gleichmäßig über die Vielzahl der Durchgangslöcher 14d zu erzeugen. Es ist insbesondere vorzuziehen, die Öffnungsbreite W des Durchgangsloches 14d gemäß der angelegten Elektrizitätsfrequenz oder anderen Bedingungen in der Nähe des Mittelpunktes zu verringern und die Öffnungsbreite W schrittweise zu dem äußeren Umfang hin zu vergrößern, oder die Öffnungsbreite W in der Nähe des Mittelpunktes zu vergrößern und die Öffnungsbreite W schrittweise zu dem äußeren Umfang hin zu verkleinern.
Die ungefähre untere Grenze der Länge T des Durchgangsloches 14d, nämlich die Dicke T des unteren Wandabschnittes 14b, beträgt für diese Ausführung X/50. Die obere Grenze wird von der Abmessungsbegrenzung der Vorrichtung bestimmt. Die Länge T dieses Durchgangsloches 14d beträgt vorzugsweise 0,1 mm bis 70 mm bei dem zuvor erwähnten Gasdruck und Durchmesser.
Obwohl das Durchgangsloch 14d in dieser Ausführung einen kreisförmigen Querschnitt aufweist, kann es auch eine ovale, rechteckige, polygonale, undefinierte Form oder andere willkürliche Form aufweisen. Der Querschnitt ist nicht unbedingt konstant, und der Querschnitt kann sich in axialer Richtung verändern. Außerdem kann das Durchgangsloch 14d eine Schlitzstruktur mit einem rechteckigen Querschnitt oder eine Schlitzstruktur mit einer zweidimensionalen Abmessung, wie eine Spiral- oder Meanderform, aufweisen. Wenn solch eine Schlitzform benutzt wird, entspricht die Öffnungsbreite W dieses Durchgangsloches 14d der Schlitzbreite und diese Schlitzbreite wird innerhalb des zuvor dargelegten Bereiches eingestellt. Es kann auch eine Teilerhöhung auf der Innenwandfläche des Durchgangsloches 14d ausgebildet sein. Es ist nicht erforderlich, eine Vielzahl von miteinander in den Abmessungen oder der Form identischen Durchgangslöchern 14d auszubilden, sondern es kann eine Vielzahl von Durchgangslöchern 14d mit unterschiedlichen Abmessungen und unterschiedlicher Form ausgebildet werden.
An der Anodenelektrode 14' kann ein Gaseinlaß 8' an einer Öffnungsstelle an dem inneren Wandabschnitt des Durchgangsloches 14d oder innerhalb des hohlen Elementes 14a ausgebildet sein. In beispielsweise dem Fall der Filmherstellungsbehandlung kann nur Trägergas in die Plasmaerzeugungskammer 3 eingeleitet werden, und der Gaseinlaß 8' der Anodenelektrode 14' kann Rohgas, wie Silikomethangas oder dergleichen, einleiten, um zu verhindern, daß sich das Rohgas in dem dafür nicht sinnvollen Raum spaltet, und um zu bewirken, daß das Rohgas wirksam zu der Filmherstellungsbehandlung beiträgt. Zusätzlich kann eine Vielzahl von Durchgangslöchern 14d jeweils mit einem Gaseinlaß 8' versehen werden, oder nur bestimmte Durchgangslöcher 14d können mit dem Gaseinlaß 8' ausgestattet werden. Außerdem kann eine Vielzahl von Gaseinlässen 8' auf die Innenwandfläche des hohlen Elementes 14a münden.
Die Abb. 20A und 20B zeigen Modifizierungen, bei welchen die Dichte des Plasmas, das durch die Hohlanodenentladung innerhalb des hohlen Elementes 14a und des Durchgangsloches 14d in der Anodenelektrode 14' erzeugt wird, erhöht ist.
Zunächst ist es aus der Sicht einer effektiven Erzeugung einer Hohlanodenentladung in dem Durchgangsloch 14d vorzuziehen, die Länge T des Durchgangsloches 14d zu vergrößern, um stärkeres Plasma zu erzeugen. Die Dicke der oberen und unteren Wandabschnitte 14b und 14c der Anodenelektrode ist jedoch vorzugsweise aus der Sicht der Materialkosten auf einem Minimum zu halten, das dem in den hohlen Innenraum eingeleiteten Gasdruck und der angelegten Elektrizität standhält.
Daher ist es zur Vergrößerung der Länge T des Durchgangsloches 14d vorzuziehen, das Düsenelement 12 an dem Umfang des Durchgangsloches 14d in dem unteren Wandabschnitt 14c zu befestigen. Dieses Düsenelement 12 kann von dem Durchgangsloch 14d zu der Seite der Substratbehandlungskammer 4 hin vorspringen oder in das hohle Element 14a vorspringen. Es kann auch zu beiden Seiten hin vorspringen. Dasselbe Düsenelement 12 kann auch aus einem Magneten 10 bestehen, wie in Abb. 20A gezeigt. Hierbei ist es jedoch vorzuziehen, daß der Magnet 10 nicht direkt dem Plasma ausgesetzt ist.
Obwohl alle in Abb. 20A gezeigten Düsenelemente 12 derart angeordnet sind, daß ihre Mittellinie mit der axialen Linie des Durchgangsloches 14d ausgerichtet ist, können die Mittellinie des Düsenelementes 12 und die axiale Linie des Durchgangsloches 14d einen bestimmten Winkel bilden, d. h. das Düsenelement 12 kann schrägliegend angeordnet sein. Obwohl das in Abb. 20A gezeigte Düsenelement 12 ein Zylinder mit konstantem Querschnitt ist, ist die Form nicht darauf beschränkt, sondern es kann auch ein Zylinder mit einer Form sein, deren Querschnitt sich schrittweise vergrößert oder verkleinert. Außerdem können röhrenförmige Düsenelemente spiralförmig angeordnet sein.
Um außerdem den Oberflächenbereich der Anodenelektrode 14', der sich in Kontakt mit Plasma befindet, zu vergrößern, kann der Innenraum des hohlen Elementes 14a der Anodenelektrode 14' durch sich vertikal oder horizontal erstreckende Trennwände in eine Vielzahl von Kammern unterteilt sein. Die in jeder Kammer des unterteilten Innenraumes ausgebildeten Durchgangslöcher 14d können alle identisch oder unterschiedlich sein. Außerdem können die sich vertikal erstreckenden Trennwände Spalten zwischen den Wänden und den oberen und unteren Wandabschnitten 14b und 14c des hohlen Elementes 14a aufweisen, und jeweilige Kammern können miteinander verbunden sein.
Es ist auch möglich, den Magneten 10, wie in Abb. 20B gezeigt, in der inneren Umfangsfläche des jeweiligen Durchgangsloches 14d, des oberen und unteren Wandabschnittes 14b und 14c der Anodenelektrode 14a oder dem Umfangswandabschnitt oder in dessen Nähe einzubetten, um in dem Innenraum des Durchgangsloches 14d, der Plasmadüse oder des hohlen Elementes 14a ein Magnetfeld zu erzeugen. Der Magnet 10 wird vorzugsweise derart angeordnet, daß der Fluß der Magnetlinien parallel zu der axialen Richtung des Durchgangsloches 14d liegt, oder daß der Fluß der Magnetlinien parallel zu den oberen und unteren Wandabschnitten 14b und 14c liegt.
Solch eine Magnetfeldbildung an dem Durchgangsloch 14d und dem hohlen Element 14a ermöglicht den Elektronen über einen langen Zeitraum in dem Durchgangsloch 14d und dem hohlen Element 14a zu verbleiben, dadurch, daß die Elektronenbahn in dem dort erzeugten Plasma eingestellt wird. Solch eine Elektronenbahneinstellung beschleunigt die Erzeugung aktiver Teilchen und verbessert die Oberflächenbehandlungsgeschwindigkeit, da die Einwirkzeit der Elektronen auf das Rohgas verlängert wird, ohne die Elektronenenergie (Elektronentemperatur) zu erhöhen.
Die Abb. 21 bis 23 sind schematische Ansichten von Oberflächenbehandlungsvorrichtungen 28 bis 30 gemäß der ersten bis dritten Modifizierung der zuvor dargelegten neunten Ausführung. Die in Abb. 21 gezeigte Substratbehandlungsvorrichtung 28 ist diejenige, bei welcher die Kathodenelektrode 5 der neunten Ausführung durch die Kathodenelektrode 11 des hohlen Elementes ersetzt ist und der hohle Innenraum der Kathodenelektrode 11 und das in der Kathodenelektrode 11 ausgebildete Durchgangsloch 11b als Bereich der Hohlkathodenentladung benutzt werden.
Die in Abb. 22 gezeigte Oberflächenbehandlungsvorrichtung 29 ist diejenige, bei welcher die Kathodenelektrode 5 der neunten Ausführung ersetzt ist durch die Kathodenelektrode 11' mit einem hohlen Element, dessen Innenwandfläche isoliert ist, bei welcher das in der Kathodenelektrode 11' ausgebildete Durchgangsloch 11b als Bereich der Hohlkathodenentladung benutzt wird. Außerdem ist die in Abb. 23 gezeigte Oberflächenbehandlungsvorrichtung 30 diejenige, bei welcher die Kathodenelektrode 5 der neunten Ausführung ersetzt ist durch eine einfache, flache, plattenförmige Elektrode 5', und bei welcher von der Kathodenelektrode 5' keine Hohlkathodenentladung erzeugt und nur eine Hohlanodenentladung erzeugt wird.
Alle diese Modifizierungen sind Kombinationen der neunten Ausführung mit den zuvor dargelegten anderen Ausführungen der vorliegenden Erfindung, und alle von ihnen sind mit Funktionen und Wirkungen der jeweiligen, oben erwähnten Ausführungen versehen. Daher wird in allen diesen Modifizierungen die Plasmadichte erhöht und die Behandlung durch Hohlanodenentladung oder Hohlkathodenentladung erheblich beschleunigt.
Abb. 24 ist eine schematische Ansicht einer Oberflächenbehandlungsvorrichtung 40 gemäß einer zehnten Ausführung der vorliegenden Erfindung. Bei dieser Oberflächenbehandlungsvorrichtung 40 bildet der Innenraum einer Hohlanodenelektrode 17 eine Substratbehandlungskammer 4'.
Die Hohlanodenelektrode 17 ist mit einem an dem Mittelpunkt eines oberen Wandabschnittes 17a ausgebildeten Durchgangsloch 17b versehen, und dieses Durchgangsloch 17b bildet die Plasmadüse. Außerdem bildet der Mittelbereich der Innenfläche des unteren Wandabschnittes 17c der Anodenelektrode 17 den Substratträgertisch, und zugleich ist eine Vielzahl von Ableitungsauslässen 17d in dem Umfangsbereich des unteren Wandabschnittes 17c ausgebildet. Der Mittelbereich des unteren Wandabschnittes 17c kann eine Heizvorrichtung für das Substrat umfassen. Es ist festzuhalten, daß die Trageposition des Substrats in der Anodenelektrode 17 und die Stelle der Ausbildung des Ableitungsauslasses 17d nicht auf die oben erwähnten Anordnungen begrenzt sind, sondern daß irgendeine willkürliche Position gewählt werden kann.
Um in dieser Ausführung das Durchgangsloch 17b der Anodenelektrode 17 zu dem Erzeugungsbereich für die Hohlanodenentladung zu machen, wird die Öffnungsbreite W des Durchgangsloches 17b in einem Bereich eingestellt, der entweder W ≦ 5L(e) oder W ≦ 20X genügt. Es ist vorzuziehen, die Öffnungsbreite W in einem Bereich einzustellen, der X/20 ≦ W genügt, und es ist ferner vorzuziehen, die Öffnungsbreite W in einem Bereich einzustellen, der auch X/5 ≦ W genügt. Um in dieser Ausführung auch den hohlen Innenraum der Anodenelektrode 17 zu einem Erzeugungsbereich für die Hohlanodenentladung zu machen, wird die Höhe H des hohlen Innenraumes in einem Bereich eingestellt, der entweder H ≦ 5L(e) oder H ≦ 20X genügt. Es ist auch vorzuziehen, die Höhe H des hohlen Innenraumes in einem Bereich einzustellen, der X/20 ≦ H genügt, und es ist ferner vorzuziehen, die Höhe H in einem Bereich einzustellen, der auch X/5 ≦ H genügt.
L(e) ist jedoch ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden, und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird.
Da bei der Oberflächenbehandlungsvorrichtung 40 die Substratbehandlungskammer 4' in dem hohlen Innenraum der Anodenelektrode 17 ausgebildet ist und die Hohlanodenentladung in diesem hohlen Innenraum der Anodenelektrode 17 erzeugt wird, steigt die Dichte des Plasmas, das zu der Behandlung des Substrates S beiträgt, extrem an, wodurch die Oberflächenbehandlungsgeschwindigkeit erheblich verbessert wird. Da jedoch die Ionenschädigung an dem Substrat S durch Plasma erheblich ist, ist diese Oberflächenbehandlungsvorrichtung 40 nicht geeignet für die Filmherstellungsbehandlung, aber die Vorrichtung 40 ist geeignet für Beiz-, Schwabbel- oder Ionendotierungsbehandlungen.
Die Abb. 25A und 25B sind Modifizierungen der Hohlanodenelektrode, welche die Substratbehandlungskammer 4' bildet. Die in Abb. 25A gezeigte Anodenelektrode 17' unterscheidet sich von der zuvor erwähnten Anodenelektrode 17 dadurch, daß die Vielzahl der Durchgangslöcher 17b, welche die Plasmadüse bilden, in dem oberen Wandabschnitt 17a ausgebildet sind. Die Durchgangslöcher 17b sind vorzugsweise in der in Abb. 33A bis Abb. 36B gezeigten Anordnung ausgebildet.
Obwohl die Vielzahl der Durchgangslöcher 17b in dieser Ausführung einen kreisförmigen Querschnitt aufweisen, können sie auch eine ovale, rechteckige, polygonale, undefinierte Form oder andere willkürliche Form aufweisen. Der Querschnitt ist nicht unbedingt konstant, und der Querschnitt kann sich in axialer Richtung verändern. Außerdem kann das Durchgangsloch 17b eine Schlitzstruktur mit einem rechteckigen Querschnitt oder eine Schlitzstruktur mit einer zweidimensionalen Abmessung, wie eine Spiral- oder Meanderform, aufweisen. Wenn solch eine Schlitzform benutzt wird, entspricht die Öffnungsbreite W dieses Durchgangsloches 17b der Schlitzbreite und diese Schlitzbreite wird innerhalb des zuvor dargelegten Bereiches eingestellt. Es kann auch eine Teilerhöhung auf der Innenwandfläche des Durchgangsloches 17b ausgebildet sein. Es ist nicht erforderlich, eine Vielzahl von miteinander in den Abmessungen oder der Form identischen Durchgangslöchern 17b auszubilden, sondern es kann eine Vielzahl von Durchgangslöchern 17b mit unterschiedlichen Abmessungen und unterschiedlicher Form ausgebildet werden.
Es ist auch möglich, den Magneten, wie in Abb. 25B gezeigt, in der inneren Umfangsfläche des jeweiligen Durchgangsloches 17b und des Ableitungsauslasses 17d, in dem oberen und unteren Wandabschnitte 17a und 17c in dem hohlen Innenraum der Anodenelektrode 17" oder dessen Umfangswandabschnitt oder in dessen Nähe einzubetten, um in dem Innenraum des Durchgangsloches 17b, des Ableitungsauslasses oder des hohlen Innenraumes ein Magnetfeld zu erzeugen. Der Magnet 10 wird vorzugsweise derart angeordnet, daß der Fluß der Magnetlinien parallel zu der axialen Richtung des Durchgangsloches 17b oder Ableitungsauslasses 17d liegt, oder daß der Fluß der Magnetlinien parallel zu den oberen und unteren Wandabschnitten 17a und 17d liegt.
Solch eine Magnetfeldbildung an dem Durchgangsloch 17b und dem hohlen Innenraum ermöglicht den Elektronen über einen langen Zeitraum in dem Durchgangsloch 17b und dem hohlen Innenraum zu verbleiben, dadurch, daß die Elektronenbahn in dem dort erzeugten Plasma eingestellt wird. Solch eine Elektronenbahneinstellung beschleunigt die Erzeugung aktiver Teilchen und verbessert die Oberflächenbehandlungsgeschwindigkeit, da die Einwirkzeit der Elektronen auf das Rohgas verlängert wird, ohne die Elektronenenergie (Elektronentemperatur) zu erhöhen.
Die Abb. 26A bis 26D zeigen Modifizierungen zur Erleichterung der Hohlentladung in verschiedenen Durchgangslöchern. Die Abb. 26A bis 26D illustrieren die Plasmadüse 7, die an der Anodenelektrode 6 ausgebildet ist, als Beispiel.
In einer in Abb. 26A gezeigten Modifizierung ist ein plattenförmiger Isolator 18 in engem Kontakt mit der Bodenfläche der Anodenelektrode 6 angeordnet, und eine andere Elektrode 19, die aus einer Metallplatte besteht, ist auf der Bodenfläche des Isolators 18 angeordnet. Die Plasmadüse 7 ist derart ausgebildet, daß sie durch die Anodenelektrode 6, den Isolator 18 und die andere Elektrode 19 läuft. Gleichstromvorspannung oder Wechselstromvorspannung (einschließlich Hochfrequenzstrom oder Impulsstrom) werden an diese andere Elektrode 19 angelegt, so daß ihr Potential niedriger wird als das Potential der Anodenelektrode.
Das Plasmapotential wird von dem Potential einer Elektrode, die sich in Kontakt mit dem meisten dieses Plasmas befindet, bestimmt, d. h. in diesem Fall von dem Potential der Anodenelektrode 6. Verglichen mit dem Bereich dieser Anodenelektrode 6, ist der Kontaktbereich mit dem Plasma der Plasmadüse 7 extrem klein, aber das Spannungsgefälle zwischen dem Plasmapotential und der Plasmadüse kann nach Wunsch gesteuert werden, indem eine Vorspannung an diese Plasmadüse 7 angelegt wird. Selbst in dem Fall niedriger Stromentladung, bei welcher normalerweise das Spannungsgefälle zwischen dem Plasmapotential und der Anodenelektrode 6 gering ist, und obwohl die niedrige Stromentladung keine Hohlplasmaentladung an der Plasmadüse 7 erzeugen kann, kann das Spannungsgefälle zwischen dem Plasma und der Plasmadüse 7 erhöht werden, indem eine Vorspannung an die andere Elektrode 19 angelegt wird, und es kann eine Hohlplasmaentladung an der Plasmadüse 7 induziert werden.
Wie bei einem anderen Anordnungsbeispiel der anderen Elektrode zur wunschmäßigen Einstellung des Potentials der Plasmadüse 7 können zusätzlich, wie in Abb. 26B gezeigt, ein ringförmiger Isolator 18a und eine ringförmige andere Elektrode 19a in überlappender Weise nur an der Bodenfläche des Ausbildungsbereiches der Plasmadüse 7 in der Anodenelektrode 6 angeordnet sein.
Wie in Abb. 26C gezeigt, kann eine ringförmige andere Elektrode 19b auf der Innenwandfläche der Plasmadüse 7 in der Anodenelektrode 6 über einen ringförmigen Isolator 18b angeordnet sein, oder, wie in Abb. 26D gezeigt, kann eine zylindrische, düsenförmige andere Elektrode 19c auf der Innenwandfläche der Plasmadüse 7 in der Anodenelektrode 6 über den ringförmigen Isolator 18b angeordnet sein.
Solch eine Struktur kann in ähnlicher Weise bei dem Fall eingesetzt werden, wo eine Vielzahl von Durchgangslöchern an der Anodenelektrode ausgebildet sind, oder verschiedene Durchgangslöcher, wie durch die Kathodenelektrode ausgebildete Durchgangslöcher, ausgebildet sind.
Obwohl in den zuvor dargelegten verschiedenen Ausführungen und Modifizierungen eine Hochfrequenzstromquelle P an die Plasmaerzeugungselektrode angeschlossen ist, kann auch Gleichstrom von einer Gleichstromquelle angelegt werden. Oder es kann eine Vorspannung jeweils von einer Gleichstrom- oder Wechselstromversorgung oder von einer Impulsstromversorgung angelegt werden.
Es ist außerdem möglich, einen Aufbau in Triodenform zu bilden, indem siebförmige Elektroden zwischen dem in der Substratbehandlungskammer 4 angeordneten Substrat und der Plasmadüse 7 angeordnet werden, und verschiedene Vorspannungen anzulegen.
Obwohl der Innenraum des Gehäuses 2 der Oberflächenbehandlungsvorrichtung durch eine Anodenelektrode 6 in jeder der oben dargelegten Ausführungen vertikal in zwei Kammern unterteilt ist, nämlich die Plasmaerzeugungskammer 3 oberhalb und die Substratbehandlungskammer unterhalb, ist die vorliegende Erfindung nicht auf eine solche Vorrichtung beschränkt.
Abb. 27 bis Abb. 32 sind horizontale Querschnitte einer Oberflächenbehandlungsvorrichtung gemäß anderen Ausführungen der vorliegenden Erfindung.
Bei einer Oberflächenbehandlungsvorrichtung 41 gemäß einer elften Ausführung der vorliegenden Erfindung, die in Abb. 27 gezeigt ist, besteht ein Gehäuse 32 aus einem mit einem Boden versehenen Zylinder, und die Innenfläche der Umfangswand wird als Substratträgertisch 9 benutzt. In diesem Fall sind eine Kathodenelektrode 35, die aus einem Zylinder mit kleinem Durchmesser besteht, und eine Anodenelektrode 36, die aus einem Zylinder besteht, dessen Durchmesser größer als die Kathodenelektrode ist, in dem Gehäuse 32 derart angeordnet, daß ihre Mittelachsen miteinander ausgerichtet sind.
Eine Vielzahl von Plasmadüsen 37 mit einer vorbestimmten Form und Anordnung sind an der Anodenelektrode 36 ausgebildet, der Bereich zwischen der Anodenelektrode 36 und dem Gehäuse 32 bildet eine Substratbehandlungskammer 34 der vorliegenden Erfindung, und der Bereich zwischen der Kathodenelektrode 35 und der Anodenelektrode 36 bildet eine Plasmaerzeugungskammer 33 der vorliegenden Erfindung. Ferner sind eine Vielzahl von Aussparungen 35a parallel zu der axialen Richtung auf der Umfangswandfläche der Kathodenelektrode 35 mit einer vorbestimmten Phasenabweichung ausgebildet. Wenn außerdem die Kathodenelektrode 35 ein hohles Element ist, kann ein Durchgangsloch anstelle der Aussparung 35a ausgebildet sein, und ihr hohler Innenraum kann mit Trägergas und Rohgas versorgt werden.
Alternativ kann bei einer Oberflächenbehandlungsvorrichtung 42 einer zwölften Ausführung der vorliegenden Erfindung, die in Abb. 28 gezeigt ist, der Zylinder mit dem maximalen Durchmesser als Kathodenelektrode 35 benutzt werden, und die Anodenelektrode 36 kann aus einem Zylinder bestehen, der darin unter Ausrichtung ihrer Achsen miteinander angeordnet ist, und ferner kann ein Zylinder mit dem kleinsten Durchmesser 39 in dessen Mittelbereich angeordnet sein. In diesem Fall bildet die äußere Umfangsfläche des mittleren Zylinders 39 einen Trägertisch für das Substrat W. Eine Vielzahl von Aussparungen 35a sind parallel zu der axialen Richtung auf der inneren Umfangsfläche der Kathodenelektrode 35 mit einer vorbestimmten Phasenabweichung ausgebildet. Eine Vielzahl von Plasmadüsen 37 mit einer vorbestimmten Form und Anordnung sind an der Anodenelektrode 36 ausgebildet. Ferner kann das Gehäuse weiter nach außen von der Kathodenelektrode 35 angeordnet sein.
In der in den Abb. 27 und 28 gezeigten elften und zwölften Ausführung wird an der Plasmadüse 37 auch eine Hohlanodenentladung erzeugt, indem die Öffnungsbreite der Düse innerhalb des von der vorliegenden Erfindung vorgeschriebenen Bereiches eingestellt wird. An der Aussparung 35a wird auch eine Hohlkathodenentladung erzeugt, indem die Öffnungsbreite der Aussparung 35a innerhalb des von der vorliegenden Erfindung vorgeschriebenen Bereiches eingestellt wird.
Indem ferner von dem Anodenelement 35 und der Kathodenelektrode 36 ein hohles Element gebildet und ein Durchgangsloch an der gegenüberliegenden Fläche der jeweiligen Elektrode ausgebildet wird, kann eine Hohlentladung an diesem Durchgangsloch erzeugt werden, und außerdem kann eine Hohlentladung in wenigstens einem Teil des hohlen Innenraumes erzeugt werden. In diesem Fall steigt die Dichte des zu der Oberflächenbehandlung beitragenden Plasmas an, wodurch die Oberflächenbehandlungsgeschwindigkeit verbessert wird.
Solch eine Vorrichtung, bei welcher die Anodenelektrode 35 und die Kathodenelektrode 36 aus einem Zylinder bestehen, ist nützlich zur Durchführung einer Oberflächenbehandlung an einem zylindrischen Substrat, wie einer lichtempfindlichen Zelle. Alternativ ist es vorzuziehen, bei der von Rolle zu Rolle fortlaufenden Filmherstellung, dem Beizen oder einer anderen Oberflächenbehandlung, die an einem Substrat vorgenommen wird, das aus einem bandförmigen Filmelement besteht, Nutzen aus der gekrümmten Oberfläche eines Teils des Zylinders zu ziehen, da der für die Vorrichtung erforderliche Raum verringert werden kann.
Die jeweilige Plasmaerzeugungselektrode kann kugelförmig ausgebildet sein und eine Querschnittsform, wie in den zuvor erwähnten Abb. 27 und 28 gezeigt, aufweisen. Oder die jeweiligen Plasmaerzeugungselektroden 35 und 36 können derart ausgebildet sein, daß ihr Querschnitt ein Teil der gekrümmten Fläche bildet, wie ein halbkreisförmiger Zylinder oder eine Halbkugel, wie bei den Oberflächenbehandlungsvorrichtungen 43 und 44 gemäß der dreizehnten und vierzehnten Ausführung der vorliegenden Erfindung, die in den Abb. 29 und 30 gezeigt sind. Somit kann durch Ausbildung der Plasmaerzeugungselektrode in kugelförmiger, halbkugelförmiger oder teilweise gebogener Form eine gleichmäßige Oberflächenbehandlung an Substraten mit besonderer Form, wie kugelförmigen Halbleitern, vorgenommen werden.
Bei den Oberflächenbehandlungsvorrichtungen 45 und 46 gemäß der fünfzehnten und sechzehnten Ausführung der vorliegenden Erfindung, die in den Abb. 31 und 32 gezeigt sind, können die Plasmaerzeugungselektroden 35 und 36 einen Zylinder mit einem quadratischen Querschnitt bilden. Oder sie können eine Zylinderform mit polygonalem Querschnitt oder eine Polyederform aufweisen. Durch Ausbildung der Plasmaerzeugungselektroden 35 und 36 in Prismaform kann der Raum für die Vorrichtung verringert werden. Indem ferner diese Plasmaerzeugungselektroden 35 und 36 mit unterschiedlicher Form als hohles Element ausgebildet werden, und indem ein Durchgangsloch an der gegenüberliegenden Fläche der jeweiligen Elektroden ausgebildet wird, kann eine Hohlentladung an diesem Durchgangsloch erzeugt werden, und außerdem kann eine Hohlentladung in wenigstens einem Teil des hohlen Innenraumes erzeugt werden, und die Plasmadichte steigt an.
Die Abb. 37 und 38 zeigen eine Oberflächenbehandlungsvorrichtung 50 gemäß einer siebzehnten Ausführung der vorliegenden Erfindung. In dieser Ausführung sind identische Elemente des Aufbaus der zuvor dargelegten Ausführungen mit denselben Bezugszahlen bezeichnet, und eine detaillierte Beschreibung von ihnen wird ausgelassen.
Ein Paar Plasmaerzeugungselektroden 11 und 51 sind parallel zueinander vertikal in der Plasmaerzeugungskammer 3 angeordnet. Die obere Elektrode (Kathodenelektrode) 11 des Elektrodenpaares 11 und 51, die an eine Hochfrequenzstromquelle P angeschlossen ist, ist an der oberen Wand 2a des Gehäuses 2 über einen Isolator 2c befestigt, während die geerdete untere Elektrode (Anodenelektrode) 26 die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4 trennt. Die Anodenelektrode 51 ist an der oberen Wand 2a des geerdeten Gehäuses 2 befestigt, aber sie ist nicht darauf begrenzt, sondern sie kann an irgendeiner Steile des Gehäuses 2 befestigt sein.
Eine schlitzförmige Plasmadüse 52 mit einer spiralförmigen Oberfläche, wie in Abb. 38 gezeigt, ist an dem Mittelpunkt der Anodenelektrode 51 ausgebildet, und die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4 sind miteinander durch diese Plasmadüse 52 verbunden. Hierbei kann, separat von der Anodenelektrode 51, eine Trennplatte zur Definition der Plasmaerzeugungskammer 3 und der Substratbehandlungskammer 4 angeordnet werden, und eine Plasmadüse kann in dieser Trennplatte ausgebildet sein.
In dieser Ausführung ist es wichtig, daß die Plasmadüse 52 spiralförmig ausgebildet ist, d. h. sie ist in einer länglichen, im wesentlichen durchgehenden Schlitzform ausgebildet, die mit einem Pinselstrich gezeichnet werden kann. Außerdem ist die Schlitzbreite W dieser Plasmadüse 52 gleichbleibend in Längsrichtung und das Spiralintervall L ist gleich der Schlitzbreite W ausgebildet. Vorzugsweise wird die Schlitzbreite W in einem Bereich eingestellt, der entweder W ≦ 5L(e) oder W ≦ 20X genügt und es ist noch mehr vorzuziehen, sie in einem Bereich einzustellen, der X/5 ≦ W genügt. L(e) ist ein mittlerer freier Elektronenweg bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden und X ist eine Dicke einer Umhüllungsschicht, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird.
Bei dieser siebzehnten Ausführung wird eine Hohlanodenglimmentladung in der spiralförmigen Plasmadüse 52 induziert. Bezüglich der Plasmainduktion in der Plasmadüse, die spiralförmig, in einer länglichen, im wesentlichen durchgehenden Schlitzform, die mit einem Pinselstrich gezeichnet werden kann, ausgebildet ist, wird angenommen, daß die Hohlanodenglimmentladung an einer willkürlichen Position innerhalb der Plasmadüse 52 induziert wird, und sich die Hohlanodenglimmentladung in dem gesamten Innenraum der Plasmadüse 52 durch Kettenreaktion ausbreitet.
Die Dichte des in die Substratbehandlungskammer 4 eingeleiteten Plasmas ist erhöht, da eine Hohlanodenglimmentladung in der Plasmadüse 52 induziert wird. Außerdem ist die Plasmadüse 52 in dieser Ausführung im wesentlichen über einen weiten Bereich der Anodenelektrode 51 ausgebildet, indem die Plasmadüse 52 in einer Spiralform ausgebildet ist, und ferner kann eine im wesentlichen gleichmäßige Oberflächenbehandlung über einen weiten Bereich des Substrats S durchgeführt werden, da Plasma über die gesamte Länge der Plasmadüse 52 ausgestoßen wird.
In dieser Ausführung wird die Erzeugung der Hohlanodenglimmentladung an der Plasmadüse 52 weiter beschleunigt, da die Schlitzbreite W der Plasmadüse 52 in einem Bereich eingestellt wird, der entweder W ≦ 5L(e) oder W ≦ 20X genügt.
Da außerdem die Elektronenenergie in dem in der Plasmaerzeugungskammer 3 erzeugten Plasma passend auf eine Stärke verringert wird, die ausreicht zur Erzeugung aktiver Teilchen, die aber nicht ausreichend ist für die Erzeugung von Ionen, wenn es durch die Plasmadüse 52 strömt, die den Erzeugungsbereich für die Hohlanodenentladung bildet, weist das in die Substratbehandlungskammer 4 eingeleitete Plasma eine weiter erhöhte Zahl von Teilchen auf, die zu der Filmherstellung beitragen, und ist seine Dichte erhöht, so daß die Filmherstellungsgeschwindigkeit erheblich gesteigert wird. Da außerdem die Ionenenergie in dem Plasma abfällt, wenn es durch die Plasmadüse 7 strömt, wo die Hohlanodenglimmentladung erzeugt wird, enthält das in die Substratbehandlungskammer 4 eingeleitete Plasma weniger Ionen, die das Substrat durch Kollision mit ihm schädigen, wodurch eine Filmherstellung von hoher Qualität ermöglicht wird.
Jetzt wird die Wirkung der Erfindung gemäß der siebzehnten Ausführung mit Beispielen und unter Vergleich mit Vergleichsbeispielen beschrieben.
Beispiel 1
Als in der Oberflächenbehandlungsvorrichtung 50 eine Behandlung zur Herstellung eines dünnen Silikonfilms mit der Anode 51 mit einer Dicke von 7,0 mm, einer Schlitzbreite W von 8,0 mm der spiralförmigen Plasmadüse 52, die an der Anodenelektrode 51 ausgebildet war, und einem Spiralintervall L von 8,0 mm durchgeführt wurde, wurde der erhaltene Silikonfilm selbst dann kristallisiert, als die Filmherstellungsgeschwindigkeit erhöht wurde. Die bei der Behandlung zur Filmherstellung benutzte Schlitzbreite erfüllte die Bedingungen für die Induktion der Hohlentladung.
Vergleichsbeispiel
Als die Behandlung zur Herstellung des dünnen Silikonfilms ähnlich Beispiel 1 unter Verwendung einer Anode mit 7,0 mm Dicke, bei welcher eine einzige kreisförmige Plasmadüse mit 50 mm Durchmesser in der Mitte ausgebildet war, anstelle der Anode 51 der Oberflächenbehandlungsvorrichtung 50, war der erhaltene Silikonfilm amorph, als die Filmherstellungsgeschwindigkeit erhöht wurde, und der kristalline Silikonfilm konnte nicht erhalten werden. Der für diese Filmherstellungsbehandlung benutzte Öffnungsdurchmesser erfüllt nicht die Bedingungen für die Induktion der Hohlentladung.
Tabelle 2
Obwohl die Anodenelektrode 51 in der zuvor dargelegten siebzehnten Ausführung geerdet ist, kann jedoch auch eine Vorspannung jeweils an die Elektroden 11 und 51 mittels einer Gleichstrom- oder Wechselstromquelle oder mittels einer Impulsstromquelle angelegt werden. Obwohl die Plasmaerzeugungskammer 3 und die Substratbehandlungskammer 4 in der oben dargelegten Ausführung von der Anodenelektrode 51 definiert werden, kann eine Trennplatte zur Definition der Plasmaerzeugungskammer 3 und der Substratbehandlungskammer 4 separat von der Anodenelektrode 51 angeordnet werden.
Wenn eine Schwabbel-, Beiz- oder andere Oberflächenbehandlung unter Verwendung der zuvor dargelegten Oberflächenbehandlungsvorrichtung durchgeführt werden soll, kann die Oberflächenbehandlung bei einer niedrigeren Temperatur und mit höherer Geschwindigkeit als zuvor durchgeführt werden.
Jetzt wird eine bevorzugte Modifizierung der Plasmadüse, die ein kennzeichnendes Teil der vorliegenden Erfindung ist, beschrieben.
Ähnlich der zuvor dargelegten Plasmadüse 52 weist eine in den Abb. 39A und 39B gezeigte Plasmadüse 53 ebenfalls eine spiralförmige Oberfläche auf, wobei Rippen 53a zur Überbrückung der Schlitzbreite an einer Vielzahl von Punkten ausgebildet sind. Die Form der Plasmadüse 53 kann stabil gehalten werden, indem die Rippe 53 an einer Vielzahl von Punkten ausgebildet wird, selbst wenn die Trennplatte (Anodenelektrode 51), in welcher beispielsweise die Plasmadüse 53 ausgebildet ist, dünn ist.
Zur Ausbildung solch einer Rippe 53a ist es wichtig, daß die Plasmadüse 53 im wesentlichen durchgehend ist. D. h. es ist wichtig, das in der Plasmadüse 53 erzeugte Plasma nicht zu teilen, indem die Abmessungen in der Dicke der Rippe 53a so verringert werden, daß sie geringer als die Plattendicke sind, oder indem die Abmessung in der Breite der Rippe 53a verringert wird.
Eine in Abb. 40 gezeigte Plasmadüse 54 weist eine zickzackartige, meanderförmige Oberfläche auf. Diese Plasmadüse 54 ist genau symmetrisch bezüglich des Mittelpunktes der Trennplatte (Anodenelektrode 51) angeordnet.
Eine in Abb. 41 gezeigte Plasmadüse 55, 55 weist ebenfalls eine zickzackartige, meanderförmige Oberfläche auf. Dies entspricht der Form der in der zuvor erwähnten Abb. 40 gezeigten Plasmadüse 54, jedoch ist sie in dem Mittelbereich der Trennplatte (Anodenelektrode 51) geteilt. Die beiden Plasmadüsen 55, 55 sind genau symmetrisch bezüglich des Mittelpunktes der Trennplatte (Anodenelektrode 51) ausgebildet.
Eine in Abb. 42 gezeigte Plasmadüse 56 weist eine im wesentlichen, durch gerade Linien verbundene U-förmige Oberfläche auf. Außerdem kann der offene Endabschnitt zu einer rechteckigen Form geschlossen und mit einer oben erwähnten Rippe verbunden werden, so daß der Mittelbereich nicht absinken kann.
Eine in Abb. 43 gezeigte Plasmadüse 57 weist eine zickzackartige, meanderförmige Oberfläche auf, und ferner verringert sich ihre Schlitzbreite W1 schrittweise von dem Bereich in der Nähe des Mittelpunktes der Trennplatte (Anodendüse 51) zu dem äußeren Umfang hin auf die Schlitzbreite W2. Wenn beispielsweise in dieser Modifizierung Plasma durch Anlegen eines Hochfrequenzstromes, dessen Frequenz 13,56 MHz beträgt, erzeugt wird, und wenn die Schlitzbreite W der spiralförmigen Plasmadüse 52 konstant ausgebildet ist, wie bei der in den zuvor erwähnten Abb. 37 und 38 gezeigten Oberflächenbehandlungsvorrichtung 50, neigt das Plasma, welches das Substrat S erreicht, dazu, in dem Mittelbereich schwächer zu sein und zu einem äußeren Umfangsbereich hin stärker zu werden. Wenn die Plasmadichte, wie in diesem Fall, ungleich ist, kann die Dichte des Plasmas, welches die Substratoberfläche S erreicht, eventuell gleichmäßig gestaltet werden, indem die Schlitzbreite W von dem Bereich in der Nähe des Mittelpunktes der Trennplatte zu dem äußeren Umfang hin schrittweise verringert wird, wie in Abb. 43 gezeigt, und eine stabile Verteilung der Filmdicke und Filmqualität kann mit einer hohen Filmherstellungsgeschwindigkeit erreicht werden.
Beispiel 2
Die in Abb. 43 gezeigte Plasmadüse 57 wird für die Behandlung zur Herstellung des dünnen Silikonfilms, wie in Beispiel 1, eingesetzt, wobei die Schlitzbreite W1 in der Nähe des Mittelpunktes der Trennplatte auf 8,0 mm, die Schlitzbreite W2 in der Nähe des äußeren Umfangs auf 6,0 mm und das Spiralintervall D auf 8,0 mm eingestellt wird. Als Folge wurde eine kristalliner, dünner Silikonfilm erhalten, und seine Filmdickeverteilung war gleichmäßiger ausgebildet als in Beispiel 1.
Tabelle 3
Eine in den Abb. 44A und 44B gezeigte Plasmadüse 58 weist eine spiralförmige Oberfläche und eine konstante Schlitzbreite W auf, und ferner nimmt ihre Schlitztiefe D, d. h. die Abmessung der Dicke der Trennplatte (Anodenelektrode 51) schrittweise vom Mittelpunkt zum äußeren Umfang hin zu. Bei der in den Abb. 44A und 44B gezeigten Plasmadüse 58 kann die Dichte des Plasmas, das eventuell die Substratoberfläche S erreicht, gleichmäßig gestaltet werden, indem die Schlitztiefe D von der Nähe des Mittelpunktes der Trennplatte zu dem äußeren Umfang hin erhöht wird, und eine stabile Verteilung der Filmdicke und Filmqualität kann mit einer hohen Filmherstellungsgeschwindigkeit erreicht werden.
Bei der in der zuvor erwähnten Abb. 43 gezeigten Plasmadüse 57 wird ihre Schlitzbreite W schrittweise von dem Mittelbereich der Anodenelektrode 51, wo die Plasmadüse 57 ausgebildet ist, zu dem äußeren Umfang hin verringert, während die Schlitztiefe D der in den Abb. 44A und 44B gezeigten Plasmadüse 58 schrittweise vom Mittelpunkt zu dem äußeren Umfang auf die Schlitzbreite W2 ansteigt. Dies ist eine Maßnahme gegen eine Tendenz, bei welcher, wenn Plasma durch Anlegen eines Hochfrequenzstromes, dessen Frequenz 13,56 MHz beträgt, erzeugt wird, wie oben erwähnt, die Plasmadichte, die das Substrat S erreicht, dazu neigt, in dem Mittelbereich schwächer zu sein und zu dem äußeren Umfangsbereich hin stärker zu werden.
Wenn jedoch die Frequenz mit 8 multipliziert wird, auf beispielsweise ungefähr 100 MHz, ist im Gegensatz zu der zuvor erwähnten Tendenz zu beobachten, daß die Plasmadichte dazu neigt, von dem Mittelpunkt zu dem äußeren Umfang hin abzunehmen. In solch einem Fall ist vorzuziehen, die Schlitzbreite der Plasmadüse W vom Mittelpunkt zu dem äußeren Umfang hin zu vergrößern, oder die Schlitztiefe D vom Mittelpunkt zu dem äußeren Umfang hin zu verringern.
In jedem Fall sind die Schlitzbreite und Schlitztiefe der Plasmadüse im Hinblick auf die Plasmadichte, welche das Substrat S gemäß den verschiedenen Plasmaerzeugungsbedingungen, wie der angelegten Stromfrequenz, dem Kammerdruck, der Temperatur oder anderen, erreicht, passend einzustellen.

Claims (19)

1. Oberflächenbehandlungsvorrichtung (26, 30) zur Herstellung von Rohgasplasma durch Erzeugung von Plasma in einem Gehäuse (2), das mit Plasmaerzeugungsvorrichtungen (5", 6"; 5', 14), einem Rohgaseinlaß (8) und einem Substratträgertisch (9) versehen ist, durch die Plasmaerzeugungsvorrichtungen (5", 6"; 5', 14) und zur Durchführung einer Plasmabehandlung an der Oberfläche eines auf dem Substratträgertisch (9) angeordneten Substrats (S), dadurch gekennzeichnet, daß:
das Gehäuse (2) in zwei Kammern definiert ist, einer Plasmaerzeugungskammer (3), die mit den Plasmaerzeugungsvorrichtungen (5", 6"; 5', 14) versehen ist, und einer Substratbehandlungskammer (4), die mit dem Substratträgertisch (9) versehen ist;
die Substratbehandlungskammer (4) und die Plasmaerzeugungskammer (3) durch eine oder mehrere Plasmadüsen (7, 7") verbunden sind; und
wenigstens eine der Plasmadüsen (7, 7") als Erzeugungsbereich für eine Hohlentladung ausgebildet ist.
2. Oberflächenbehandlungsvorrichtung (25) zur Herstellung von Rohgasplasma durch Erzeugung von Plasma in einem Gehäuse (2), das mit Plasmaerzeugungsvorrichtungen (5, 6'), einem Rohgaseinlaß (8) und einem Substratträgertisch (9) versehen ist, durch die Plasmaerzeugungsvorrichtungen (5, 6') und zur Durchführung einer Plasmabehandlung an der Oberfläche eines auf dem Substratträgertisch (9) angeordneten Substrats (S), dadurch gekennzeichnet, daß:
das Gehäuse (2) in zwei Kammern definiert ist, einer Plasmaerzeugungskammer (3), die mit den Plasmaerzeugungsvorrichtungen (5, 6') versehen ist, und einer Substratbehandlungskammer (4), die mit dem Substratträgertisch (9) versehen ist;
die Substratbehandlungskammer (4) und die Plasmaerzeugungskammer (3) durch eine oder mehrere Plasmadüsen (7') verbunden sind; und
eine Hohlplasmaerzeugungselektrode (5) einschließlich eines oder mehrerer Erzeugungsbereiche für eine Hohlentladung (5a) in der Plasmaerzeugungskammer (3) angeordnet ist.
3. Oberflächenbehandlungsvorrichtung (1, 21-24, 27-29, 40-46, 50) zur Herstellung von Rohgasplasma durch Erzeugung von Plasma in einem Gehäuse (2, 32), das mit Plasmaerzeugungsvorrichtungen (5, 6; 11, 6; 5, 14; 11, 14; 11, 17; 35, 36; 11, 51), einem Rohgaseinlaß (8, 11d) und einem Substratträgertisch (9, 39) versehen ist, durch die Plasmaerzeugungsvorrichtungen (5, 6; 11, 6; 5, 14; 11, 14; 11, 17; 35, 36; 11, 51) und zur Durchführung einer Plasmabehandlung an der Oberfläche eines auf dem Substratträgertisch (9, 17c, 39) angeordneten Substrats (S), dadurch gekennzeichnet, daß:
das Gehäuse (2, 32) in zwei Kammern definiert ist, einer Plasmaerzeugungskammer (3, 33), die mit den Plasmaerzeugungsvorrichtungen (5, 6; 11, 6; 5, 14; 11, 14; 11, 17; 35, 36; 11, 51) versehen ist, und einer Substratbehandlungskammer (4, 34), die mit dem Substratträgertisch (9, 17c, 39) versehen ist;
die Substratbehandlungskammer (4, 34) und die Plasmaerzeugungskammer (3, 33) durch eine oder mehrere Plasmadüsen (7, 17b, 37, 52-58) verbunden sind;
wenigstens eine der Plasmadüsen (7, 17b, 37, 52-58) als Erzeugungsbereich für eine Hohlentladung ausgebildet ist; und
eine Hohlplasmaerzeugungselektrode (5, 11, 35) einschließlich eines oder mehrerer Erzeugungsbereiche für eine Hohlentladung (5a, 11b, 35a) in der Plasmaerzeugungskammer (3, 33) angeordnet ist.
4. Oberflächenbehandlungsvorrichtung gemäß einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, daß eine Öffnungsbreite W(1) des engsten Bereiches an wenigstens einer der Plasmadüsen (7, 7", 17b, 37, 52) in einem Bereich eingestellt ist, der entweder W(1) ≦ 5L(e) oder W(1) ≦ 20X genügt;
wobei L(e) ein mittlerer freier Elektronenweg ist bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden; und
X eine Dicke einer Umhüllungsschicht ist, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird.
5. Oberflächenbehandlungsvorrichtung gemäß einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, daß die Plasmadüse (52-58) eine im wesentlichen durchgehende und längliche Schlitzform bildet, die mit einem einzigen Pinselstrich gezeichnet werden kann.
6. Oberflächenbehandlungsvorrichtung gemäß Anspruch 5, dadurch gekennzeichnet, daß die Plasmadüse (52, 53, 57, 58) spiralförmig ausgebildet ist.
7. Oberflächenbehandlungsvorrichtung gemäß Anspruch 5, dadurch gekennzeichnet, daß die Plasmadüse (54, 55) meanderförmig ausgebildet ist.
8. Oberflächenbehandlungsvorrichtung gemäß Anspruch 5, dadurch gekennzeichnet, daß die Plasmadüse (56) in einer durch gerade Linien verbundenen Form ausgebildet ist.
9. Oberflächenbehandlungsvorrichtung gemäß Anspruch 5, dadurch gekennzeichnet, daß die Plasmadüse (54, 55) symmetrisch bezüglich ihres Mittelpunktes ausgebildet ist.
10. Oberflächenbehandlungsvorrichtung gemäß Anspruch 5, dadurch gekennzeichnet, daß eine Schlitzbreite W der Plasmadüse (52-58) in einem Bereich eingestellt ist, der entweder W < 5L(e) oder W ≦ 20X genügt;
wobei L(e) ein mittlerer freier Elektronenweg ist bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden; und
X eine Dicke einer Umhüllungsschicht ist, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird.
11. Oberflächenbehandlungsvorrichtung gemäß Anspruch 5, dadurch gekennzeichnet, daß die Plasmadüse (57) in ihrer Schlitzbreite von ihrem Mittelpunkt zu ihrem äußeren Umfang hin variiert.
12. Oberflächenbehandlungsvorrichtung gemäß Anspruch 5, dadurch gekennzeichnet, daß die Plasmadüse (58) in ihrer Schlitztiefe von ihrem Mittelpunkt zu ihrem äußeren Umfang hin variiert.
13. Oberflächenbehandlungsvorrichtung gemäß Anspruch 2 oder 3, dadurch gekennzeichnet, daß die Hohlplasmaerzeugungselektrode (5, 35) eine oder mehrere Aussparungen (5a, 35a) auf einer Fläche aufweist, die dem von den Plasmaerzeugungsvorrichtungen (5, 6; 35, 36) erzeugten Plasma gegenüberliegt, und daß wenigstens eine der Aussparungen (5a, 35a) als Erzeugungsbereich für die Hohlentladung ausgebildet ist.
14. Oberflächenbehandlungsvorrichtung gemäß Anspruch 2 oder 3, dadurch gekennzeichnet, daß die Hohlplasmaerzeugungselektrode (11) ein Hohlkörper ist, die Elektrode (11) ein oder mehrere Durchgangslöcher (11b) aufweist, die mit einem hohlen Innenraum in einem Bereich in Verbindung stehen, der dem von den Plasmaerzeugungsvorrichtungen (6) erzeugten Plasma gegenüberliegt, und daß wenigstens eines der Durchgangslöcher (11b) als Erzeugungsbereich für die Hohlentladung ausgebildet ist.
15. Oberflächenbehandlungsvorrichtung gemäß Anspruch 13 oder 14, dadurch gekennzeichnet, daß eine Öffnungsbreite W(2) des engsten Bereiches der Aussparung (5a, 35a) oder des Durchgangsloches (11b) in einem Bereich eingestellt ist, der entweder W(2) ≦ 5L(e) oder W(2) ≦ 20X genügt;
wobei L(e) ein mittlerer freier Elektronenweg ist bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden; und
X eine Dicke einer Umhüllungsschicht ist, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird.
16. Oberflächenbehandlungsvorrichtung gemäß Anspruch 2, 3 oder 14, dadurch gekennzeichnet, daß die Hohlplasmaerzeugungselektrode (11) ein Hohlkörper ist, die Elektrode (11) ein oder mehrere Durchgangslöcher (11b) aufweist, die mit einem hohlen Innenraum in einem Bereich in Verbindung stehen, der dem von den Plasmaerzeugungsvorrichtungen (11, 6) erzeugten Plasma gegenüberliegt, und daß ein Erzeugungsbereich für die Hohlentladung in wenigstens einem Bereich des hohlen Innenraumes ausgebildet ist.
17. Oberflächenbehandlungsvorrichtung gemäß Anspruch 16, dadurch gekennzeichnet, daß der Abstand einer gegenüberliegenden Fläche H in dem hohlen Innenraum entlang der Ausbildungsrichtung des Durchgangsloches (11b) der Hohlplasmaerzeugungselektrode (11) in einem Bereich eingestellt ist, der entweder H ≦ 5L(e) oder H ≦ 20X genügt;
wobei L(e) ein mittlerer freier Elektronenweg ist bezüglich der Atom- oder Molekularteilchen (aktive Teilchen) mit dem kleinsten Durchmesser unter den Rohgasteilchen und der elektrisch neutralen Atom- oder Molekularteilchen (aktive Teilchen), die durch Spaltung daraus unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wurden; und
X eine Dicke einer Umhüllungsschicht ist, die unter den gewünschten Plasmaerzeugungsbedingungen erzeugt wird.
18. Oberflächenbehandlungsvorrichtung gemäß einem der Ansprüche 1 bis 17, dadurch gekennzeichnet, daß ein Magnetfeld in der Nähe der Plasmadüse (7) und/oder in der Nähe der Aussparung (5a), des Durchgangsloches (11b) und/oder in dem hohlen Innenraum erzeugt wird.
19. Oberflächenbehandlungsvorrichtung gemäß einem der Ansprüche 1 bis 17, dadurch gekennzeichnet, daß die Vorrichtung Einrichtungen zum Anlegen einer Spannung aufweist, um eine gewünschte Spannung an das Substrat (S) anzulegen.
DE10060002.6A 1999-12-07 2000-12-02 Vorrichtung zur Oberflächenbehandlung Expired - Fee Related DE10060002B4 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP34710899 1999-12-07
JP11-347108 1999-12-07
JP00-37482 2000-02-16
JP2000037482A JP2001230208A (ja) 2000-02-16 2000-02-16 表面処理装置
JP2000066106A JP4212210B2 (ja) 1999-12-07 2000-03-10 表面処理装置
JP00-66106 2000-03-10

Publications (2)

Publication Number Publication Date
DE10060002A1 true DE10060002A1 (de) 2001-07-12
DE10060002B4 DE10060002B4 (de) 2016-01-28

Family

ID=27341235

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10060002.6A Expired - Fee Related DE10060002B4 (de) 1999-12-07 2000-12-02 Vorrichtung zur Oberflächenbehandlung

Country Status (3)

Country Link
US (4) US20010006093A1 (de)
DE (1) DE10060002B4 (de)
FR (1) FR2801813A1 (de)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10211332A1 (de) * 2002-03-14 2003-10-02 Fraunhofer Ges Forschung Vorrichtung zur Aktivierung von Gasen im Vakuum
DE102005049266A1 (de) * 2005-10-14 2007-04-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Plasmabehandlung von Objekten
DE10326135B4 (de) * 2002-06-12 2014-12-24 Ulvac, Inc. Entladungsplasma-Bearbeitungsanlage
DE102013111360B3 (de) * 2013-10-15 2015-03-12 Von Ardenne Gmbh Hohlkathodensystem, Vorrichtung und Verfahren zur plasmagestützten Behandlung von Substraten
EP3012856A1 (de) 2014-10-24 2016-04-27 CemeCon AG Verfahren und vorrichtung zur erzeugung einer elektrischen entladung
DE102015110562A1 (de) * 2015-07-01 2017-01-05 Von Ardenne Gmbh Plasmaquelle, Prozessanordnung und Verfahren

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6664740B2 (en) * 2001-02-01 2003-12-16 The Regents Of The University Of California Formation of a field reversed configuration for magnetic and electrostatic confinement of plasma
US6611106B2 (en) * 2001-03-19 2003-08-26 The Regents Of The University Of California Controlled fusion in a field reversed configuration and direct energy conversion
EP1804274A3 (de) * 2001-03-28 2007-07-18 Tadahiro Ohmi Plasmaverarbeitungsvorrichtung
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
CA2453596C (en) * 2001-07-24 2010-04-20 Toppan Printing Co., Ltd. Vapor-deposited film
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
EP1554412B1 (de) * 2002-09-19 2013-08-14 General Plasma, Inc. Plasmaunterstützte chemische Gasphasenabscheidung Vorrichtung
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100883164B1 (ko) * 2005-01-05 2009-02-10 가부시키가이샤 아루박 자성 다층막의 제조방법
US8031824B2 (en) 2005-03-07 2011-10-04 Regents Of The University Of California Inductive plasma source for plasma electric generation system
US9607719B2 (en) * 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US9123512B2 (en) 2005-03-07 2015-09-01 The Regents Of The Unviersity Of California RF current drive for plasma electric generation system
SG163544A1 (en) * 2005-03-30 2010-08-30 Panasonic Corp Impurity introducing apparatus and impurity introducing method
US20090181526A1 (en) * 2005-03-30 2009-07-16 Tomohiro Okumura Plasma Doping Method and Apparatus
ES2321444T3 (es) * 2005-05-04 2009-06-05 Oerlikon Trading Ag, Trubbach Intensificador de plasma para una instalacion de tratamiento por plasma.
CN101228288B (zh) * 2005-07-26 2011-12-28 Psm有限公司 注射型等离子体处理设备和方法
US8328982B1 (en) * 2005-09-16 2012-12-11 Surfx Technologies Llc Low-temperature, converging, reactive gas source and method of use
US7850779B2 (en) * 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
JP4497323B2 (ja) * 2006-03-29 2010-07-07 三菱電機株式会社 プラズマcvd装置
US7603963B2 (en) * 2006-05-02 2009-10-20 Babcock & Wilcox Technical Services Y-12, Llc Controlled zone microwave plasma system
US8632651B1 (en) 2006-06-28 2014-01-21 Surfx Technologies Llc Plasma surface treatment of composites for bonding
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
JP5168907B2 (ja) * 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7622721B2 (en) * 2007-02-09 2009-11-24 Michael Gutkin Focused anode layer ion source with converging and charge compensated beam (falcon)
FR2912864B1 (fr) * 2007-02-15 2009-07-31 H E F Soc Par Actions Simplifi Dispositif pour generer un plasma froid dans une enceinte sous vide et utilisation du dispositif pour des traitements thermochimiques
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
EP2267756B1 (de) * 2007-11-01 2015-03-04 Oerlikon Trading AG, Trübbach Vakuumplasmaquellen
EP2238609B1 (de) * 2008-01-15 2016-09-21 First Solar, Inc System und verfahren zur abscheidung eines materials auf einem substrat
US8192806B1 (en) * 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20110272099A1 (en) * 2008-05-02 2011-11-10 Oerlikon Trading Ag, Truebbach Plasma processing apparatus and method for the plasma processing of substrates
JP2011524640A (ja) * 2008-06-11 2011-09-01 インテバック・インコーポレイテッド 太陽電池形成方法及び太陽電池
KR100978859B1 (ko) * 2008-07-11 2010-08-31 피에스케이 주식회사 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8168268B2 (en) * 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
TWI380743B (en) * 2008-12-12 2012-12-21 Ind Tech Res Inst Casing and jet type plasma system
JP2010238871A (ja) * 2009-03-31 2010-10-21 Sanyo Electric Co Ltd 太陽電池の製造方法及びプラズマ処理装置
DE102009018912A1 (de) * 2009-04-28 2010-11-18 Leybold Optics Gmbh Verfahren zur Erzeugung eines Plasmastrahls sowie Plasmaquelle
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
CN103597119B (zh) * 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 用于等离子体处理的装置和方法
KR20110021654A (ko) * 2009-08-25 2011-03-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 미결정 반도체막의 제조방법, 및 반도체장치의 제조방법
US9177761B2 (en) 2009-08-25 2015-11-03 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus, method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
JP5367522B2 (ja) * 2009-09-24 2013-12-11 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5212346B2 (ja) * 2009-12-11 2013-06-19 株式会社デンソー プラズマ発生装置
EP2534674B1 (de) * 2010-02-09 2016-04-06 Intevac, Inc. Einstellbare lochmaskenanordnung zur verwendung bei der herstellung von solarzellen
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20140057388A1 (en) * 2010-07-27 2014-02-27 Amtech Systems, Inc. Systems and Methods for Depositing and Charging Solar Cell Layers
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
JP5702968B2 (ja) * 2010-08-11 2015-04-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ制御方法
US20140010708A1 (en) * 2010-11-09 2014-01-09 Makoto Miyamoto Plasma generator, and plasma generating method
US8765232B2 (en) * 2011-01-10 2014-07-01 Plasmasi, Inc. Apparatus and method for dielectric deposition
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8697198B2 (en) * 2011-03-31 2014-04-15 Veeco Ald Inc. Magnetic field assisted deposition
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
CN106847736B (zh) 2011-11-08 2020-08-11 因特瓦克公司 基板处理系统和方法
SI2780913T1 (sl) 2011-11-14 2017-08-31 The Regents Of The University Of California Sistem za tvorjenje in ohranjanje visokozmogljivega FRC
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus
WO2013180453A1 (ko) * 2012-05-29 2013-12-05 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US10526708B2 (en) 2012-06-19 2020-01-07 Aixtron Se Methods for forming thin protective and optical layers on substrates
KR102070400B1 (ko) * 2012-06-29 2020-01-28 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102003768B1 (ko) * 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
TWI570745B (zh) 2012-12-19 2017-02-11 因特瓦克公司 用於電漿離子植入之柵極
KR102061749B1 (ko) * 2012-12-27 2020-01-02 주식회사 무한 기판 처리 장치
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10577968B2 (en) * 2013-05-31 2020-03-03 General Electric Company Dry steam cleaning a surface
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
RS59657B1 (sr) 2013-09-24 2020-01-31 Tae Technologies Inc Sistem za formiranje i održavanje frc visokih performansi
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
WO2015076162A1 (ja) * 2013-11-22 2015-05-28 東レ株式会社 プラズマ電極、プラズマ処理電極、cvd電極、プラズマcvd装置及び薄膜付基材の製造方法
US9406485B1 (en) 2013-12-18 2016-08-02 Surfx Technologies Llc Argon and helium plasma apparatus and methods
US10800092B1 (en) 2013-12-18 2020-10-13 Surfx Technologies Llc Low temperature atmospheric pressure plasma for cleaning and activating metals
US10032609B1 (en) 2013-12-18 2018-07-24 Surfx Technologies Llc Low temperature atmospheric pressure plasma applications
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
EP2937890B1 (de) * 2014-04-22 2020-06-03 Europlasma nv Plasma-beschichtungsvorrichtung mit einem plasma-verteiler und verfahren zur vermeidung der entfärbung eines substrates
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10410889B2 (en) * 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9406535B2 (en) * 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
PE20170757A1 (es) 2014-10-13 2017-07-04 Tri Alpha Energy Inc Sistemas y metodos para fusionar y comprimir toroides compactos
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
HRP20221278T1 (hr) 2014-10-30 2022-12-23 Tae Technologies, Inc. Sustavi za formiranje i održavanje frc visokih performansi
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160329192A1 (en) * 2015-05-05 2016-11-10 Eastman Kodak Company Radial-flow plasma treatment system
SI3295459T1 (sl) 2015-05-12 2021-04-30 Tae Technologies, Inc. Sistemi in postopki za zmanjšanje neželenih vrtinčnih tokov
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
EP3136419B1 (de) * 2015-08-31 2018-04-18 Total S.A. Plasmaerzeugungsvorrichtung und verfahren zur herstellung von strukturierten vorrichtungen mittels räumlich aufgelöster plasmaverarbeitung
JP6584927B2 (ja) * 2015-11-13 2019-10-02 住友重機械イオンテクノロジー株式会社 イオン注入装置、およびイオン注入装置の制御方法
RS62629B1 (sr) 2015-11-13 2021-12-31 Tae Technologies Inc Sistemi i postupci za stabilnost položaja frc plazme
US10440808B2 (en) 2015-11-17 2019-10-08 Southwest Research Institute High power impulse plasma source
TWI733712B (zh) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 用於沉積腔室的擴散器及用於沉積腔室的電極
US10354845B2 (en) 2016-02-18 2019-07-16 Southwest Research Institute Atmospheric pressure pulsed arc plasma source and methods of coating therewith
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10827601B1 (en) 2016-05-03 2020-11-03 Surfx Technologies Llc Handheld plasma device
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6240712B1 (ja) * 2016-05-31 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
EA201991063A1 (ru) 2016-10-28 2019-09-30 Таэ Текнолоджиз, Инк. Системы и способы улучшенного поддержания повышенных энергий высокоэффективной конфигурации с обращенным полем, предусматривающие использование инжекторов нейтральных пучков с настраиваемыми энергиями пучков
WO2018085798A1 (en) 2016-11-04 2018-05-11 Tae Technologies, Inc. Systems and methods for improved sustainment of a high performance frc with multi-scaled capture type vacuum pumping
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
EP3542600A1 (de) 2016-11-15 2019-09-25 TAE Technologies, Inc. Systeme und verfahren zur verbesserten aufrechterhaltung einer hochleistungsfähigen frc und hochharmonische schnellwellenelektronenheizung in einem hochleistungsfähigen frc
US11742187B2 (en) 2016-12-27 2023-08-29 Evatec Ag RF capacitive coupled etch reactor
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR102455231B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 픽셀화된 플라즈마를 생성하는 할로우 캐소드, 반도체 소자의 제조장치 및 그의 제조방법
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11201035B2 (en) * 2018-05-04 2021-12-14 Tokyo Electron Limited Radical source with contained plasma
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
EP3847301A4 (de) * 2018-09-04 2022-05-04 Surfx Technologies LLC Vorrichtung und verfahren zur plasmabehandlung von elektronischen materialien
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11749488B2 (en) * 2020-02-10 2023-09-05 IonQ, Inc. Atomic ovens based on electric discharge
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
WO2022159804A1 (en) * 2021-01-23 2022-07-28 Sheperak Thomas J Plasma gas generator

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3756511A (en) * 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
JPS6132417A (ja) * 1984-07-24 1986-02-15 Mitsubishi Electric Corp 薄膜形成装置
US4911814A (en) * 1988-02-08 1990-03-27 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
US4979467A (en) * 1988-05-06 1990-12-25 Fujitsu Limited Thin film formation apparatus
US5007373A (en) * 1989-05-24 1991-04-16 Ionic Atlanta, Inc. Spiral hollow cathode
DE4039930A1 (de) * 1990-12-14 1992-06-17 Leybold Ag Vorrichtung fuer plasmabehandlung
DE4109619C1 (de) * 1991-03-23 1992-08-06 Leybold Ag, 6450 Hanau, De
JPH04297578A (ja) * 1991-03-26 1992-10-21 Shimadzu Corp プラズマ処理装置
EP0536664B1 (de) * 1991-10-07 1997-01-15 Sumitomo Metal Industries, Ltd. Verfahren zur Bildung eines dünnen Films
US5543588A (en) * 1992-06-08 1996-08-06 Synaptics, Incorporated Touch pad driven handheld computing device
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH06291064A (ja) * 1993-04-01 1994-10-18 Kokusai Electric Co Ltd プラズマ処理装置
EP0634778A1 (de) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hohlkathoden-Netzwerk
US5543688A (en) * 1994-08-26 1996-08-06 Applied Materials Inc. Plasma generation apparatus with interleaved electrodes and corresponding method
US5686789A (en) * 1995-03-14 1997-11-11 Osram Sylvania Inc. Discharge device having cathode with micro hollow array
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JP3164019B2 (ja) * 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
JP3690772B2 (ja) * 1997-11-10 2005-08-31 キヤノン株式会社 光起電力素子の形成装置及び形成方法
JP3129265B2 (ja) * 1997-11-28 2001-01-29 日新電機株式会社 薄膜形成装置
US6066826A (en) * 1998-03-16 2000-05-23 Yializis; Angelo Apparatus for plasma treatment of moving webs
DE19814805A1 (de) * 1998-04-02 1999-10-07 Bosch Gmbh Robert Beschichtungsverfahren eines Wischergummis
JPH11293469A (ja) * 1998-04-13 1999-10-26 Komatsu Ltd 表面処理装置および表面処理方法
JP2990668B2 (ja) * 1998-05-08 1999-12-13 日新電機株式会社 薄膜形成装置
US6250250B1 (en) * 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10211332A1 (de) * 2002-03-14 2003-10-02 Fraunhofer Ges Forschung Vorrichtung zur Aktivierung von Gasen im Vakuum
DE10211332B4 (de) * 2002-03-14 2009-07-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Aktivierung von Gasen im Vakuum sowie Verwendung der Vorrichtung
DE10326135B4 (de) * 2002-06-12 2014-12-24 Ulvac, Inc. Entladungsplasma-Bearbeitungsanlage
DE102005049266A1 (de) * 2005-10-14 2007-04-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Plasmabehandlung von Objekten
DE102005049266B4 (de) * 2005-10-14 2007-12-06 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Plasmabehandlung von Objekten
DE102013111360B3 (de) * 2013-10-15 2015-03-12 Von Ardenne Gmbh Hohlkathodensystem, Vorrichtung und Verfahren zur plasmagestützten Behandlung von Substraten
US9190249B2 (en) 2013-10-15 2015-11-17 Von Ardenne Gmbh Hollow cathode system, device and method for the plasma-assisted treatment of substrates
EP3012856A1 (de) 2014-10-24 2016-04-27 CemeCon AG Verfahren und vorrichtung zur erzeugung einer elektrischen entladung
DE102014115492A1 (de) * 2014-10-24 2016-04-28 Cemecon Ag Verfahren und Vorrichtung zur Erzeugung einer elektronischen Entladung
US9773650B2 (en) 2014-10-24 2017-09-26 Cemecon Ag Method and device for generating an electrical discharge
DE102015110562A1 (de) * 2015-07-01 2017-01-05 Von Ardenne Gmbh Plasmaquelle, Prozessanordnung und Verfahren

Also Published As

Publication number Publication date
US20030106643A1 (en) 2003-06-12
US20050126487A1 (en) 2005-06-16
US20150332893A1 (en) 2015-11-19
FR2801813A1 (fr) 2001-06-08
DE10060002B4 (de) 2016-01-28
US20010006093A1 (en) 2001-07-05

Similar Documents

Publication Publication Date Title
DE10060002B4 (de) Vorrichtung zur Oberflächenbehandlung
EP0839928B1 (de) Remote-Plasma-CVD-Verfahren
DE2941559C2 (de) Verfahren zum Abscheiden von Silizium auf einem Substrat
EP0235770B1 (de) Vorrichtung zur Plasmabehandlung von Substraten in einer durch Hochfrequenz angeregten Plasmaentladung
DE69723127T2 (de) Quelle für schnelle Atomstrahlen
EP1767068B1 (de) Vorrichtung zur bearbeitung eines substrates mittels mindestens eines plasma-jets
EP0588992B1 (de) Vorrichtung zur plasmaunterstützten bearbeitung von substraten
DE112006002151T5 (de) Plasmabearbeitungsgerät
DE4025396A1 (de) Einrichtung fuer die herstellung eines plasmas
EP0021140A1 (de) Ionenquelle in einer Vakuumkammer und Verfahren zum Betrieb derselben
EP0089382B1 (de) Plasmareaktor und seine Anwendung beim Ätzen und Beschichten von Substraten
DE3416470A1 (de) Verfahren und vorrichtung zur herstellung von halbleitern im trockenverfahren unter verwendung einer fotochemischen reaktion
DE69629885T2 (de) Magnetfeldgenerator für Magnetronplasma
DE3310797A1 (de) Glimmentladungs-abscheidungseinrichtung
EP0390004B1 (de) Verfahren und Vorrichtung zum Mikrowellen-Plasmaätzen
CH668565A5 (de) Verfahren und anordnung zum zerstaeuben eines materials mittels hochfrequenz.
EP1110234B1 (de) Vorrichtung und verfahren zur beschichtung von substraten im vakuum
DE4233895C2 (de) Vorrichtung zur Behandlung von durch einen Wickelmechanismus bewegten bahnförmigen Materialien mittels eines reaktiven bzw. nichtreaktiven, durch Hochfrequenz- oder Pulsentladung erzeugten Niederdruckplasmas
DE112010003657B4 (de) Ätzanlage
DE69732055T2 (de) Vorrichtung zur Erzeugung eines Plasmas mit Entladung entlang einer magnetisch neutralen Linie
DE3629000C1 (de) Verfahren und Vorrichtung zum Ausbilden einer Schicht durch plasmachemischen Prozess
DE3241391A1 (de) Hochfrequenz-aetztisch mit elektrisch vorgespanntem einfassungteil
DE102013107659B4 (de) Plasmachemische Beschichtungsvorrichtung
EP1352417B1 (de) Vorrichtung zur plasmagestützten bearbeitung von oberflächen planarer substrate
WO1999001886A1 (de) Plasmareaktor mit prallströmung zur oberflächenbehandlung

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee