FR2801813A1 - Dispositif de traitement de surface par plasma, en particulier pour former un film sur un substrat - Google Patents
Dispositif de traitement de surface par plasma, en particulier pour former un film sur un substrat Download PDFInfo
- Publication number
- FR2801813A1 FR2801813A1 FR0015934A FR0015934A FR2801813A1 FR 2801813 A1 FR2801813 A1 FR 2801813A1 FR 0015934 A FR0015934 A FR 0015934A FR 0015934 A FR0015934 A FR 0015934A FR 2801813 A1 FR2801813 A1 FR 2801813A1
- Authority
- FR
- France
- Prior art keywords
- plasma
- hollow
- substrate
- surface treatment
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/24—Deposition of silicon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Plasma Technology (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Un dispositif de traitement de surface qui peut traiter une surface à une vitesse élevée et sous une qualité élevée comprend une enceinte (2) définie par deux chambres, une chambre de génération de plasma (3) comportant une électrode de génération de plasma (5, 6) et une chambre de traitement de substrat (4) comportant une table de support de substrat (9). Une buse à plasma (7) est formée sur une électrode d'anode (6) constituant une paroi de séparation entre les deux chambres (3, 4). Une cavité (5a) est formée sur une électrode de cathode supérieure (5). De plus, la buse à plasma (7) est utilisée comme zone de génération de décharge d'anode creuse, et la cavité (5a) est utilisée comme zone de génération de décharge de cathode creuse.
Description
La présente invention concerne différents traitements
de surface sur un substrat, et, en particulier, un dispo-
sitif de traitement de surface approprié pour former un film sur un substrat, et, plus particulièrement, un dispo- sitif de traitement de surface pour former un film mince
cristallin de haute qualité à une vitesse élevée.
De façon classique, un dispositif de traitement de
surface pour la gravure, la formation de film ou la réali-
sation d'autres traitements de surface en mettant des gaz réactifs dans un état de plasma par application d'une énergie électrique à haute fréquence à une électrode à
plaques parallèles et par décomposition en ions ou en ra-
dicaux chimiquement actifs est connu dans le public.
Par exemple, dans un dispositif de déposition en phase vapeur par procédé chimique sous plasma du type à plaques
planes parallèles classique pour un traitement de forma-
tion de film, une paire d'électrodes de génération de plasma sous forme de plaques planes sont installées en étant opposées en parallèle dans une enceinte. L'une des électrodes de génération de plasma joue également le rôle
de table de support de substrat, et, de plus, le disposi-
tif comporte un élément chauffant pour régler une tempéra-
ture de substrat à une température appropriée pour la
croissance de vapeur. Si une énergie électrique est appli-
quée entre les électrodes de génération de plasma à l'aide
d'une alimentation à haute fréquence (source d'alimenta-
tion à 13,56 MHz) avec un substrat disposé sur la première électrode, un plasma est généré entre ces électrodes, et
la matière première gazeuse, par exemple du gaz monosi-
lane, est activée pour former un film de silicium sur la
surface du substrat.
Ce dispositif de déposition en phase vapeur par procé-
dé chimique sous plasma du type à plaques planes parallè-
les classique a pour avantage d'être susceptible de former un film sur un substrat de grande surface par un processus de formation de film unique, en agrandissant la surface de
l'électrode de génération de plasma du type à plaques pla-
nes o est disposé le substrat. Cependant, dans le dispo-
sitif de déposition en phase vapeur par procédé chimique sous plasma du type à plaques planes parallèles classique, le plasma réalisé à l'aide d'une matière première gazeuse par les électrodes de génération de plasma est dispersé
uniformément dans une chambre de traitement de gaz de for-
mation de film, et seule une partie de celui-ci contribue
à la formation de film sur le substrat disposé sur l'élec-
trode. Par conséquent, la matière première utilisée effi-
cacement est réduite, et, par exemple si l'on doit former sur le substrat un film mince de silicium amorphe ou un
film mince de silicium cristallin fin, la vitesse de for-
mation de film est lente, par exemple d'environ 1 à 2 A/s, malgré une puissance électrique d'entrée élevée. En conséquence, il faut beaucoup plus de temps pour fabriquer
un dispositif à semiconducteurs ayant une épaisseur rela-
tivement importante, tel qu'une pile solaire, ce qui pro-
duit un faible rendement de production et des coûts éle-
vés. Par conséquent, pour augmenter la vitesse de formation de film, on propose d'augmenter la puissance électrique
d'entrée de la source d'alimentation à haute fréquence.
Cependant, l'augmentation de la puissance électrique d'en-
trée implique une augmentation d'énergie des particules chargées dans le plasma. La qualité de film du substrat est détériorée par la détérioration due à la collision de
particules chargées d'une énergie élevée avec le substrat.
De plus, en fonction de l'augmentation de la puissance à haute fréquence par l'alimentation à haute fréquence, une quantité de fines particules sont générées dans la phase
vapeur, et la qualité du film sera considérablement dété-
riorée par les fines particules.
Par conséquent, dans le dispositif de déposition en phase vapeur par procédé chimique sous plasma du type à
plaques planes parallèles classique, la puissance électri-
que d'entrée devrait être limitée pour éviter la détério-
ration de la qualité du film due à une détérioration par des fines particules ou des particules chargées d'une énergie élevée. En d'autres termes, il y a sensiblement une limite supérieure de puissance électrique d'entrée, et
il s'est révélé impossible d'augmenter la vitesse de for-
mation de film au-delà d'un certain niveau.
Egalement, dans le dispositif de gravure à déposition en phase vapeur par procédé chimique sous plasma du type à plaques planes parallèles, il est possible d'augmenter la vitesse de traitement d'une certaine ampleur en augmentant
la puissance électrique d'entrée, parce que la détériora-
tion de la qualité de traitement du fait de l'augmentation
de la puissance électrique d'entrée est relativement fai-
ble par rapport au traitement de formation de film. Toute-
fois, actuellement, une accélération supplémentaire du
traitement est souhaitée, du point de vue de l'améliora-
tion de la qualité de traitement de gravure, de l'amélio-
ration du rendement de fabrication, par une accélération
de la réaction d'excitation et de décomposition du maté-
riau gazeux du côté de l'électrode d'anode comprenant l'élément en forme de bande. Cependant, une détérioration due à la collision des particules chargées persiste, parce que la décharge luminescente est toujours générée dans l'espace entre l'élément en forme de bande et l'électrode
de cathode.
Par conséquent, dans le dispositif de formation de film mince décrit, par exemple, dans la Publication de Brevet Japonais laissée ouverte à l'Inspection Publique N
61-32417, un générateur de gaz activé comprenant une cham-
bre de division comportant une paire d'électrodes de géné-
ration de plasma opposées est disposée dans une chambre à vide pour former un film mince sur le substrat. Un orifice
étroit unique est formé sur une section de paroi du géné-
rateur de gaz activé pour faire jaillir du gaz activé dans la chambre à vide. De plus, le substrat est supporté dans la chambre à vide en une position opposée à l'orifice étroit. Dans le dispositif de formation de film mince, un plasma est produit en appliquant une alimentation à haute fréquence à la paire d'électrodes de génération de plasma
et en générant une décharge luminescente entre les élec-
trodes. La matière brute gazeuse introduite dans le géné-
rateur de gaz activé est décomposée par ce plasma. A ce
moment, la matière brute gazeuse activée jaillit de l'ori-
fice étroit en direction du substrat, grâce à une réduc-
tion du degré de vide de la chambre à vide à un degré in-
férieur à celui du générateur de gaz activé de deux ou trois fois vers la droite grâce au réglage de la pompe à vide disposée dans la chambre à vide et à la conductance
de l'orifice étroit.
Par conséquent, la vitesse de formation de film peut être augmentée sans augmenter la puissance électrique d'entrée dans le dispositif de formation de film mince dans lequel des électrodes de génération de plasma sont disposées dans le générateur de gaz activé défini dans la chambre à vide pour une formation de film mince, et on
fait jaillir activement en direction du substrat un maté-
riau brut gazeux activé dans le générateur de gaz activé.
De plus, même lorsqu'un plasma plus fort est généré par une augmentation de la puissance électrique d'entrée,
comme les électrodes de génération de plasma sont dispo-
sées dans le générateur de gaz activé défini, la décharge
luminescente entre les électrodes ne risque pas d'endomma-
ger le substrat. Par conséquent, il est possible d'augmen-
ter encore davantage la vitesse de formation de film en augmentant la puissance électrique d'entrée. De plus, un film mince de haute qualité peut être formé plus vite que
précédemment, car la cristallisation de film mince est ac-
célérée, malgré l'accélération de la formation de film.
Par conséquent, la vitesse de formation de film a cer-
tainement été accrue en divisant la chambre de génération de plasma et la chambre de traitement de formation de film; cependant, une augmentation supplémentaire de la
vitesse de formation de film est désirée, et, en particu-
lier, une formation à grande vitesse de film mince cris-
tallin fin pour l'application aux piles solaires, ou ana-
logues, est fortement souhaitée.
Pour satisfaire ce souhait, la présente invention a
pour objet de proposer un dispositif de traitement de sur-
face qui peut traiter une surface à une vitesse élevée et
avec une qualité élevée.
Pour résoudre ce problème, un premier aspect de la présente invention propose un dispositif de traitement de
surface pour réaliser un plasma de matière première ga-
zeuse en générant un plasma, dans une enceinte comportant des moyens de génération de plasma, un orifice d'entrée de
matière première gazeuse et une table de support de subs-
trat, à l'aide des moyens de génération de plasma, et pour
effectuer un traitement au plasma de la surface d'un subs-
trat disposé sur la table de support de substrat, dans le- quel l'enceinte est définie en deux chambres, une chambre
de génération de plasma comportant les moyens de généra-
tion de plasma et une chambre de traitement de substrat comportant la table de support de substrat, la chambre de traitement de substrat et la chambre de génération de
plasma étant reliées par l'intermédiaire d'une ou plu-
sieurs buses à plasma, et une zone de génération de dé-
charge creuse étant réalisée dans au moins une partie de
l'intérieur creux.
De plus, un deuxième aspect de la présente invention
propose un dispositif de traitement de surface pour réali-
ser un plasma de matière première gazeuse en générant un
plasma, dans une enceinte comportant des moyens de généra-
tion de plasma, un orifice d'entrée de matière première gazeuse et une table de support de substrat, à l'aide des
moyens de génération de plasma, et pour réaliser un trai-
tement au plasma de la surface d'un substrat disposé sur la table de support de substrat, dans lequel l'enceinte est définie en deux chambres, une chambre de génération de plasma comportant les moyens de génération de plasma et une chambre de traitement de substrat comportant la table
de support de substrat, la chambre de traitement de subs-
trat et la chambre de génération de plasma étant reliées par l'intermédiaire d'une ou de plusieurs buses à plasma, et une électrode de génération de plasma creuse comprenant une ou plusieurs zones de génération de décharge creuse
étant disposée dans la chambre de génération de plasma.
De plus, un troisième aspect de la présente invention
propose un dispositif de traitement de surface pour réali-
ser un plasma de matière première gazeuse en générant un
plasma, dans une enceinte comportant des moyens de généra-
tion de plasma, un orifice d'entrée de matière première gazeuse et une table de support de substrat, à l'aide des
moyens de génération de plasma, et pour réaliser un trai-
tement au plasma de la surface d'un substrat disposé sur la table de support de substrat, dans lequel l'enceinte est définie en deux chambres, une chambre de génération de plasma comportant les moyens de génération de plasma et une chambre de traitement de substrat comportant la table
de support de substrat, la chambre de traitement de subs-
trat et la chambre de génération de plasma étant reliées par l'intermédiaire d'une ou de plusieurs buses à plasma, au moins l'une des buses à plasma constituant une zone de
génération de décharge creuse, et une électrode de généra-
tion de plasma creuse comprenant une ou plusieurs zones de
génération de décharge creuse étant disposée dans la cham-
bre de génération de plasma.
On note que, dans la présente invention, la décharge creuse désigne le phénomène d'augmentation de densité de plasma due à une génération de plasma améliorée observée en particulier dans les parties de trou traversant, de
creux ou de cavité.
En ce qui concerne les moyens de génération de plasma,
des moyens de décharge par une paire d'électrodes de géné-
ration de plasma comprenant une cathode et une anode, de
décharge comportant des électrodes à trois pôles ou davan-
tage, de décharge de micro-ondes, de décharge du type à
couplage capacitif, de décharge du type à couplage induc-
tif, de décharge à onde hélicoïdale, de décharge de magné-
tron, de décharge à excitation de faisceau d'électrons, ou
autres, peuvent être adoptés.
La buse à plasma est formée dans la paroi de sépara-
tion entre la chambre de traitement de substrat et une chambre de génération de plasma. Selon les premier et
troisième aspects de l'invention, la décharge creuse géné-
rée au niveau de cette buse à plasma devient une décharge de cathode creuse ou une décharge d'anode creuse grâce au
potentiel de la buse à plasma.
Par exemple, lorsqu'une paire d'électrodes de généra-
tion de plasma comprenant une cathode et une anode sont adoptées comme moyens de génération de plasma, l'une ou l'autre de ces électrodes peut être utilisée comme paroi de séparation. Lorsque l'électrode d'anode est utilisée
comme paroi de séparation et que la buse à plasma est for-
mée sur l'électrode d'anode, la décharge creuse devient
une décharge luminescente d'anode creuse. Lorsque l'élec-
trode de cathode est utilisée comme paroi de séparation et
que la buse à plasma est formée dans l'électrode de ca-
thode, la décharge creuse devient une décharge lumines-
cente de cathode creuse. On note que, dans la présente in-
vention, l'électrode du côté de la décharge pour appliquer l'alimentation électrique principale joue le rôle "d'électrode de cathode", et que l'électrode opposée à
l'électrode de cathode joue le rôle "d'électrode d'anode".
A la place, une paroi de séparation définissant deux cham-
bres peut être disposée séparément d'une paire d'électro-
des de génération de plasma, qui sont des moyens de géné-
ration de plasma, de façon à former une buse à plasma sur
cette paroi de séparation.
Selon les deuxième et troisième aspects de l'inven-
tion, lorsqu'une paire d'électrodes de génération de plas-
ma comprenant une cathode et une anode sont adoptées comme
moyens de génération de plasma, au moins l'une des élec-
trodes de génération de plasma peut être également utili-
sée comme électrode de génération de plasma creuse. A la place, l'électrode de génération de plasma creuse peut être configurée sous la forme de la troisième électrode,
séparément des électrodes de génération de plasma.
Pour effectuer le traitement de surface avec le dispo-
sitif de traitement de surface précédemment mentionné,
tout d'abord, une matière première gazeuse et un gaz por-
teur sont injectés dans l'enceinte par l'intermédiaire d'un tuyau de délivrance de gaz, et un plasma est généré dans la chambre de génération de plasma à l'aide des moyens de génération de plasma. A ce moment, comme le dis-
positif de traitement de surface selon la présente inven-
tion est divisé en une chambre de génération de plasma et une chambre de traitement de substrat, le gaz porteur et
la matière première gazeuse peuvent être utilisés effica-
cement, et la transformation en plasma du gaz porteur et
de la matière première gazeuse peut être accélérée.
Le plasma généré dans la chambre de génération de
plasma sort de la buse à plasma vers la chambre de traite-
ment de substrat du fait de l'écoulement de gaz intérieur provoqué par l'évacuation de la chambre de traitement de substrat ou du différentiel de pression entre les deux chambres, ou du fait de la dispersion. A ce moment, le
plasma dans la chambre de génération de plasma est trans-
porté de façon régulière depuis la buse à plasma à l'inté-
rieur de la chambre de traitement de substrat du fait que l'on assure un débit d'écoulement de gaz, une pression de
gaz et des paramètres de plasma appropriés.
La matière première gazeuse peut également être intro-
duite pendant que le plasma généré dans la chambre de gé-
nération de plasma sort de la buse à plasma et atteint la surface du substrat. La matière première gazeuse activée dans le plasma atteint la surface du substrat dans la chambre de traitement par l'intermédiaire de l'écoulement
de plasma, et une gravure, une formation de film ou un au-
tre traitement de surface sont appliqués au substrat.
Selon le premier aspect de l'invention, il est impor-
tant de générer une décharge creuse sur au moins l'une des buses à plasma. Lorsque du nouveau plasma est généré au niveau de la buse à plasma par cette décharge creuse, la densité du plasma dirigé vers la chambre de traitement de substrat est accrue. De plus, comme pour le plasma généré dans la chambre de génération de plasma, l'énergie des
particules chargées (électron ou ion) dans le plasma dimi-
nue du fait des interactions telles que les collisions, lorsqu'elles traversent la buse à plasma o se produit la décharge creuse. Grâce à la chute d'énergie des électrons, les électrons auront une intensité d'énergie appropriée,
suffisamment forte pour générer des espèces actives neu-
tres contribuant au traitement de surface à partir de la matière première gazeuse, et suffisamment modérée pour ne pas souvent générer des ions endommageant la surface du
substrat par collision, ceci ayant pour résultat une aug-
mentation des espèces actives neutres sans augmentation des ions. De plus, l'impact des détériorations du substrat dues à ces ions peut être limité grâce à la réduction du
nombre d'ions d'énergie élevée dans le plasma.
Par conséquent, le traitement de surface peut être ac-
céléré, du fait que les espèces actives neutres contri-
buent à l'augmentation du traitement de surface grâce à l'élévation de la densité de plasma due à la décharge
creuse. De plus, la détérioration de la surface du subs-
trat peut être contrôlée, et un traitement de surface de qualité élevée peut être effectué à une vitesse élevée, grâce à la diminution de l'énergie des ions existant dans
le plasma et endommageant le substrat par collision.
Selon le deuxième aspect de l'invention, il est impor-
tant de disposer les électrodes de génération de plasma
creuses dans la chambre de génération de plasma. Par exem-
ple, lorsqu'une paire d'électrodes de génération de plasma comprenant une cathode et une anode sont adoptées comme moyens de génération de plasma, au moins l'une de ces
électrodes peut être utilisée comme électrode de généra-
tion de plasma creuse. Autrement dit, il est nécessaire qu'une décharge d'anode creuse se produise au niveau de l'électrode d'anode, ou qu'une décharge de cathode creuse se produise au niveau de l'électrode de cathode, ou qu'une
décharge creuse se produise aux deux électrodes, respecti-
vement. La génération de la décharge creuse créée un nou-
veau plasma dans cette zone de génération de décharge creuse, condensant le plasma dirigé vers la chambre de traitement de substrat, augmentant les espèces actives
neutres contribuant au traitement de surface, et accélé-
rant encore davantage la vitesse de traitement de surface.
De plus, selon le troisième aspect de l'invention, la dé-
charge creuse au niveau de la buse à plasma et la décharge creuse au niveau de l'électrode de génération de plasma
creuse mentionnées ci-dessus sont générées. Par conse-
quent, les effets fonctionnels respectifs précédemment mentionnés de la décharge creuse au niveau de la buse à plasma et de la décharge creuse au niveau de l'électrode de génération de plasma creuse sont tous deux assurés, ce qui augmente encore davantage la qualité et la vitesse de
traitement de surface.
De plus, non seulement la décharge creuse au niveau de
la buse à plasma, mais également la décharge creuse au ni-
veau de l'électrode de génération de plasma creuse, sont
générées, en plus des effets fonctionnels respectifs pré-
cédemment mentionnés, et les effets fonctionnels synergi-
ques suivants peuvent également être obtenus. A savoir, non seulement la décharge creuse au niveau de la buse à plasma, mais également la décharge creuse au niveau de l'électrode de génération de plasma creuse étant générées,
la température d'électrons diminue dans la zone de dé-
charge creuse de l'électrode, et, en même temps, la densi-
té d'électrons augmente, ce qui produit une amélioration des performances concernant le plasma de traitement. Par ailleurs, lorsque l'électrode de cathode est l'électrode de génération de plasma creuse et que la décharge creuse
se produit au niveau de l'électrode de cathode, le poten-
tiel spatial du plasma généré dans la chambre de généra-
tion de plasma augmente, tandis que la tension de haute fréquence sur l'électrode de cathode diminue, et qu'en même temps, la tension d'autopolarisation augmente. En
résultat, la décharge creuse se produit facilement au ni-
veau de la buse à plasma, ce qui rend possible de générer
un plasma de densité élevée au niveau de la buse à plasma.
De plus, la concentration de champ électrique se produit plus facilement dans la chambre de génération de plasma pour la même raison, et une décharge irrégulière de plasma
de densité localement élevée peut être générée.
Comme matériau d'électrode de l'électrode de généra-
tion de plasma creuse, et comme matériau d'électrode lors-
qu'une paire d'électrodes de génération de plasma sont utilisées comme moyens de génération de plasma, en dehors de l'acier inoxydable ou de l'Al, le Ni, le Si, le Mo, le W, ou analogue, peuvent être adoptés. Lorsqu'un matériau
* d'électrode présente un coefficient de décharge d'ions se-
condaires élevé du fait de l'impact d'ions à partir du plasma, la vitesse de traitement sera accrue, parce que la densité de plasma augmente encore davantage. De plus, en particulier dans le cas d'un dispositif de traitement de surface effectuant une formation de film de silicium, l'utilisation de Si comme matériau d'électrode augmente la vitesse de formation de film et sa stabilité, parce que
cette électrode elle-même joue le rôle de source de déli-
vrance du matériau de film. De plus, si une électrode réa-
lisée en Si est précédemment dopée avec du bore ou du phosphore, le film mince peut être dopé automatiquement, et cela est particulièrement avantageux pour doper une
trace.
Comme substrat, on peut utiliser du verre, un film or-
ganique, de l'acier inoxydable ou d'autres métaux. De
plus, le dispositif de traitement de surface selon la pré-
sente invention peut être utilisé pour différents traite-
ments de surface tels que la formation de film, le cen-
drage, la gravure, le dopage par des ions, et, de plus, il peut être utilisé de façon particulièrement préférable pour la formation d'un film mince de silicium tel qu'un
film d'oxyde ou un film de silicium cristallin.
Lorsqu'un certain nombre des buses à plasma doivent être disposées, la décharge creuse générée dans toutes ces buses est préférable, car elle permet de former un film mince uniforme à une vitesse élevée même pour un substrat
de surface importante.
L'orifice d'entrée de matière première gazeuse peut être ouvert dans la chambre de génération de plasma, ou
bien seul du gaz porteur peut être introduit dans la cham-
bre de génération de plasma, et l'orifice d'entrée de ma-
tière première gazeuse peut être réalisé sur la face laté-
rale de la buse à plasma. De plus, l'orifice d'entrée de matière première gazeuse peut être ouvert en utilisant, par exemple, un tuyau d'introduction de matière première gazeuse, de façon à introduire la matière première gazeuse entre la buse à plasma et le substrat dans la chambre de
traitement de substrat. Lorsque l'orifice d'entrée de ma-
tière première gazeuse est ouvert au niveau de la buse à plasma ou dans la chambre de traitement de substrat, la matière première gazeuse est transformée en plasma par le gaz porteur transformé en plasma passant à travers la buse. Dans ce cas, la surface de paroi intérieure de la chambre de génération de plasma ne sera pas contaminée par
la matière première gazeuse.
On peut appliquer à l'électrode de génération de plas-
ma un courant continu ou un courant à haute fréquence par connexion à une source de courant continu ou à une source à haute fréquence, mais, en particulier, il est préférable d'entrer une alimentation à haute fréquence. De plus, une polarisation peut être appliquée à l'électrode de cathode
et à l'électrode d'anode, respectivement, par une alimen-
tation en courant continu, une alimentation en courant al-
ternatif, ou une alimentation à génération d'impulsions.
Pour générer une décharge creuse au niveau de la buse à plasma, de préférence, une largeur d'ouverture W(1) de
la plus petite partie sur au moins l'une des buses à plas-
ma est établie dans une plage satisfaisant soit à W(1) 5 5L(e) soit à W(1) 5 20X. L(e) est un trajet libre
moyen d'électrons vis-à-vis d'espèces atomiques ou molécu-
laires (espèces actives) du plus petit diamètre parmi les
espèces de la matière première gazeuse et d'espèces atomi-
ques ou moléculaires électriquement neutres (espèces acti-
ves) produites à partir de celles-ci par décomposition, sous les conditions de génération de plasma désirées. Par
ailleurs, X est une épaisseur d'une couche de gaine géné-
rée sous les conditions de génération de plasma désirées.
De plus, il est préférable d'établir la largeur d'ouver-
ture W(1) de la plus petite partie sur au moins l'une des buses à plasma dans une plage satisfaisant soit à
X/20 s W(1) soit à X/5 s W(1).
Le trajet libre moyen d'électrons vis-à-vis de l'atome
dans la dispersion avec des électrons et un gaz molécu-
laire (comprenant des atomes) dépend de la pression de
gaz, de la température et de la surface de section trans-
versale de dispersion atomique et moléculaire, et les con-
ditions de génération de plasma comprennent cette pression de gaz, cette surface de section transversale de disper-
sion atomique et moléculaire, cette température, et analo-
gue.
Une décharge luminescente creuse peut être générée ef-
ficacement au niveau de la buse à plasma, et, en même temps, on peut faire jaillir efficacement le plasma depuis la buse, en établissant la largeur d'ouverture W(1) dans
la buse à plasma dans la plage mentionnée ci-dessus.
Dans la présente invention, la largeur d'ouverture W(1) de la buse à plasma correspond à son diamètre lorsque la forme d'ouverture de la buse à plasma est circulaire,
et elle correspond à la dimension de sa largeur lors-
qu'elle est rectangulaire ou en forme de fente. Autrement dit, la partie de dimension la plus courte de cette forme
d'ouverture est considérée comme étant la largeur d'ouver-
ture W(1). Une forme qui peut recevoir le plasma de la
chambre de génération de plasma de façon efficace à l'in-
térieur de la buse, et diffuser et faire jaillir le plasma dans la chambre de traitement de substrat selon un angle
désiré peut être adoptée comme forme de la buse à plasma.
Cette forme comprend une forme cylindrique ayant une sec-
tion transversale circulaire, une forme tronconique dont le diamètre augmente de la chambre de génération de plasma à la chambre de traitement de substrat, et une combinaison de celles-ci, et, également, une forme dont le diamètre du côté aval augmente de moitié vers l'aval. Par ailleurs, également, il peut s'agir d'un prisme ayant une section transversale rectangulaire ou d'une forme de fente comme
mentionné ci-dessus.
Egalement, une pluralité des buses à plasma ayant une forme circulaire, par exemple, peut être formée sous le motif désiré, lorsqu'une surface importante du substrat
doit subir le traitement de surface.
De plus, de préférence, la buse à plasma a la forme d'une fente sensiblement continue et allongée, qui peut
être tracée d'un seul trait de pinceau.
Ici, une forme de fente sensiblement continue désigne,
lorsqu'un plasma est généré par décharge creuse comme men-
tionné ci-dessous au niveau de la buse à plasma, une forme de fente qui permettra à ce plasma de poursuivre sans se
diviser au niveau d'une buse à plasma. Par exemple, lors-
qu'une nervure est formée transversalement à la fente de la buse à plasma, la buse à plasma est considérée comme
étant sensiblement continue, si la dimension de la direc-
tion de la profondeur de la fente ou la dimension de la largeur de cette nervure sont suffisamment petites pour que le plasma puisse dépasser la nervure et continuer sans être divisé au niveau de la buse à plasma en forme de fente. Par conséquent, si l'on forme la buse à plasma sous une forme de fente sensiblement continue et allongée qui peut être tracée d'un seul trait de pinceau, un plasma est
généré par décharge creuse au niveau de la buse à plasma.
Cette décharge creuse devient une décharge luminescente de cathode creuse ou une décharge luminescente d'anode
creuse, en fonction du potentiel de la buse à plasma.
De plus, il devient possible de traiter la surface sur une grande surface du substrat par un traitement unique, car la buse à plasma a une forme de fente allongée, ou, en d'autres termes, la buse à plasma s'ouvre sur une surface plus grande que dans le cas classique, dans lequel une buse unique est disposée au niveau du centre de la paroi
de séparation.
De préférence, la buse à plasma est, dans les sixième à huitième aspects de l'invention, en forme de volute, de
forme sinueuse, en forme de ligne droite raccordée ou ana-
logue. De façon encore plus préférable, la buse à plasma est formée de façon symétrique par rapport à son centre, grâce
à la quoi la surface de substrat peut être traitée de fa-
çon plus régulière.
De plus, pour générer une décharge creuse de façon plus efficace au niveau de la buse à plasma, et, en même temps, pour faire jaillir du plasma efficacement depuis la buse à plasma, de préférence, la largeur de fente W de la buse à plasma est établie dans une plage satisfaisant soit à W s 5L(e), soit à W s 20X. L(e) est un trajet libre moyen d'électrons vis-à-vis d'espèces atomiques ou moléculaires (espèces actives) du plus petit diamètre parmi les espèces de la matière première gazeuse et d'espèces atomiques ou
moléculaires électriquement neutres (espèces actives) pro-
duites à partir de celles-ci par décomposition, sous les conditions de génération de plasma désirées, et X est une
épaisseur d'une couche de gaine générée sous les condi-
tions de génération de plasma désirées.
De préférence, la buse à plasma a sa largeur de fente qui varie du centre à la circonférence extérieure de celle-ci.
Egalement, de préférence, la buse à plasma a sa pro-
fondeur de fente qui varie du centre à la circonférence
extérieure de celle-ci.
Dans le dispositif de traitement de surface précédem-
ment mentionné, lorsqu'une paire d'électrodes de généra-
tion de plasma est adoptée comme moyens de génération de plasma, la densité de plasma de la décharge creuse générée au niveau de la buse à plasma peut varier sous l'effet de l'énergie à haute fréquence appliquée aux électrodes en
fonction de la distance par rapport au centre de la buse.
Dans ce cas, elle peut être contrôlée de telle sorte que
le plasma soit généré avec une densité uniforme sur la to-
talité de la longueur de la buse à plasma, par exemple en changeant la direction de la largeur de fente ou de
l'épaisseur de la plaque de séparation, du centre à la pé-
riphérie de la plaque de séparation, de telle sorte que la largeur de fente diminue ou que l'épaisseur de la plaque
de séparation augmente, de façon à augmenter les augmenta-
tions de profondeur de fente o une décharge creuse se produit facilement, ou, au contraire, les augmentations de largeur de fente ou les diminutions d'épaisseur de plaque de séparation, o la décharge ne se produit pratiquement pas. Ceci permet de traiter la totalité de la surface du
substrat de façon uniforme.
De préférence, l'électrode de génération de plasma creuse comprend une ou plusieurs cavités sur une surface opposée au plasma généré par les moyens de génération de plasma, et au moins l'une des cavités constitue la zone de
génération de décharge creuse.
Egalement, de préférence, l'électrode de génération de plasma creuse est un corps creux, l'électrode comprend un
ou plusieurs trous traversants communiquant avec un inté-
rieur creux sur une partie opposée au plasma généré par les moyens de génération de plasma, et au moins l'un des
trous traversants constitue la zone de génération de dé-
charge creuse.
Par conséquent, la surface de l'électrode de généra-
tion de plasma creuse sensiblement en contact avec le plasma augmente grâce à la formation de cavités sur l'électrode de génération de plasma creuse, ou grâce à la réalisation de l'électrode de génération de plasma creuse sous la forme d'un corps creux et à la formation de trous traversants communiquant avec cet intérieur creux. Par exemple, lorsque l'électrode de cathode est utilisée comme électrode de génération de plasma creuse et que la surface
de décharge de cathode est formée sur l'électrode de ca-
thode, le potentiel d'électrode de cathode (auto-
polarisation) durant la génération de décharge lumines-
cente peut être amené vers la direction positive, et la consommation d'énergie électrique d'entrée au voisinage de l'électrode d'anode mise à la masse, à savoir l'excitation
de matière première gazeuse et la réaction de décomposi-
tion, sont accélérées, ce qui produit une amélioration de
la vitesse de traitement de surface.
Ce contrôle d'auto-polarisation produit un contrôle de potentiel spatial de plasma, et peut permettre de régler intentionnellement l'ampleur des détériorations dues à la collision d'ions sur le substrat. Par conséquent, par exemple, lorsque le traitement de formation de film doit
être réalisé, la cristallinité de son film mince cristal-
lin peut être contrôlée.
Pour générer efficacement une décharge creuse au ni-
veau de la cavité ou du trou traversant, de préférence, une largeur d'ouverture W(2) de la plus petite partie de la cavité ou du trou traversant est établie dans une plage satisfaisant soit à W(2) 5L(e) soit à W(2) S 20X. L(e) est un trajet libre moyen d'électrons vis-à-vis d'espèces atomiques ou moléculaires (espèces actives) du plus petit diamètre parmi les espèces de la matière première gazeuse
et d'espèces atomiques ou moléculaires électriquement neu-
tres (espèces actives) produites à partir de celles-ci par décomposition, sous les conditions de génération de plasma
désirées, et X est une épaisseur d'une couche de gaine gé-
nérée sous les conditions de génération de plasma dési-
rées. Une section transversale circulaire ou polygonale peut être adoptée pour la cavité ou le trou traversant, et la
partie de dimension la plus courte de cette forme d'ouver-
ture est considérée comme étant la largeur d'ouverture W(2). De plus, il est préférable d'établir la largeur d'ouverture W(2) de la plus petite partie sur au moins
l'une des buses à plasma dans une plage satisfaisant éga-
lement à X/20 s W(2), et, de plus, dans une plage satis-
faisant également à X/5 s W(2).
De préférence, l'électrode de génération de plasma
creuse est un corps creux, l'électrode comprend un ou plu-
sieurs trous traversants communiquant avec un intérieur creux sur une partie opposée au plasma généré par les moyens de génération de plasma, et une zone de génération de décharge creuse est réalisée dans au moins une partie
de l'intérieur creux.
Par conséquent, comme la densité de plasma peut être encore davantage augmentée en générant une décharge creuse
au moins dans une partie de l'intérieur creux, l'excita-
tion et la réaction de décomposition de la matière pre-
mière gazeuse sont accélérées de façon remarquable, de fa-
çon à augmenter la vitesse de traitement de surface. Par ailleurs, lorsque l'électrode de cathode est utilisée comme électrode de génération de plasma creuse, comme
l'auto-polarisation peut être amenée davantage vers le po-
tentiel de direction positive en augmentant la surface
d'électrode de cathode en contact avec le plasma, l'exci-
tation et la réaction de décomposition de la matière pre- mière gazeuse sont encore davantage accélérées, ce qui a pour résultat une amélioration remarquable de la vitesse
de traitement de surface.
En ce qui concerne un dispositif pour des traitements de surface sans effets négatifs dus à la collision d'ions
avec le substrat, tels qu'une gravure, un cendrage, un do-
page d'ions, ou analogue, l'électrode de génération de plasma creuse peut être composée d'une électrode d'anode, la face de paroi intérieure de cette électrode d'anode peut être utilisée comme table de support de substrat, et l'intérieur de l'électrode d'anode peut être utilisé comme
chambre de traitement de substrat. Dans ce cas, le subs-
trat est exposé directement de façon à augmenter la vi-
tesse de traitement de la décharge d'anode creuse, et la
gravure, le cendrage, le dopage d'ions, ou analogue. Ce-
pendant, ce dispositif de traitement de surface dans le-
quel l'intérieur de l'électrode d'anode est utilisé comme chambre de traitement de substrat n'est pas approprié pour le traitement de formation de film, car les détériorations
par impact d'ions sur le substrat sont substantielles.
De plus, l'électrode de génération de plasma creuse constituée par un élément creux comporte de préférence une
ou plusieurs parois de séparation s'étendant dans la di-
rection de la hauteur de l'intérieur creux, afin d'augmen-
ter sa surface. Autrement dit, il est préférable que l'in-
térieur creux de l'électrode de génération de plasma creuse soit divisé en plusieurs chambres par la paroi de séparation. Dans ce cas, il est nécessaire de former au
moins un trou traversant pour chacune des zones divisées.
Pour générer efficacement une décharge creuse dans l'intérieur creux de l'électrode de génération de plasma creuse, de préférence, une distance entre faces opposées H
dans l'intérieur creux le long de la direction de forma-
tion du trou traversant de l'électrode de génération de plasma est établie dans une plage satisfaisant soit à H s 5L(e), soit à H s 20X. L(e) est un trajet libre moyen d'électrons vis-à-vis d'espèces atomiques ou moléculaires (espèces actives) du plus petit diamètre parmi les espèces de la matière première gazeuse et d'espèces atomiques ou
moléculaires électriquement neutres (espèces actives) pro-
duites à partir de celles-ci par décomposition, sous les conditions de génération de plasma désirées, et X est une
épaisseur d'une couche de gaine générée sous les condi-
tions de génération de plasma désirées. De plus, il est préférable d'établir la distance entre faces opposées H
dans l'intérieur creux le long de la direction de forma-
tion du trou traversant de l'électrode de génération de plasma creuse dans une plage satisfaisant également à
X/20 s H, et, de plus, dans une plage satisfaisant égale-
ment à X/5 s H. Par ailleurs, de préférence, un champ magnétique est formé au voisinage de la buse à plasma et/ou au voisinage de la cavité, du trou traversant et/ou dans l'intérieur creux. La "proximité" comprend l'intérieur de la buse à
plasma, de la cavité et du trou traversant, ou la périphé-
rie et la proximité de l'ouverture de la buse à plasma, de la cavité et du trou traversant. Par ailleurs, l'aimant est de préférence disposé de telle sorte que les lignes de
flux magnétique de son champ magnétique deviennent paral-
lèles à la direction axiale de la buse à plasma, de la ca-
vité et du trou traversant, et parallèles à la face
d'électrode dans l'intérieur creux.
L'intensité de champ magnétique est de préférence com-
prise entre 1 et 2000 mT au niveau de la section centrale de la buse à plasma, de la cavité et du trou traversant, ou dans l'intérieur creux, et, de façon plus préférable,
entre 5 et 500 mT. Egalement, l'intensité de champ magné-
tique est de préférence comprise entre 2 et 2000 mT, et, de façon plus préférable, entre 5 et 1000 mT, au niveau de la face de paroi intérieure et au voisinage de la buse à plasma et/ou de la cavité et du trou traversant, ou au
voisinage de l'intérieur creux.
Cette disposition de champ magnétique permet aux élec-
trons de rester pendant un temps prolongé dans la buse à plasma o se produit la décharge creuse ou au voisinage de celle-ci, à l'intérieur de la cavité ou du trou traversant o se produit la décharge de cathode creuse ou la décharge
d'anode creuse ou au voisinage de ceux-ci, ou dans l'inté-
rieur creux, par ajustage de l'orbite des électrons, et la génération d'espèces actives contribuant au traitement de
surface est accélérée. Par conséquent, la vitesse de trai-
tement de surface augmente encore davantage. L'énergie d'électrons ne change pas du fait de ce champ magnétique, et, par conséquent, un ion n'ayant pas d'effet défavorable est généré par l'augmentation d'énergie d'électrons, ce qui permet de maintenir un traitement de surface de haute qualité. Par ailleurs, le dispositif comprend de préférence des
moyens d'application de potentiel pour appliquer un poten-
tiel désiré au substrat. Ces moyens d'application de po-
tentiel permettent également d'appliquer un potentiel dé-
siré au substrat en appliquant le potentiel à la table de
support de substrat sur laquelle est disposé le substrat.
De plus, les moyens d'application de potentiel compren-
nent, si nécessaire, des moyens pour contrôler un poten-
tiel Vs de plasma de traitement arrivant sur le substrat, ou le potentiel du substrat. Le potentiel du plasma de traitement Vs est décidé par le potentiel de l'électrode
en contact avec la plus grande partie du plasma. Par con-
séquent, le potentiel du plasma de traitement Vs peut être contrôlé en contrôlant, par exemple, la tension à haute
fréquence, l'auto-polarisation de l'électrode de généra-
tion de plasma, et analogue.
Par exemple, si un traitement de film est effectué sur un substrat, il est préférable de réduire le différentiel de tension entre le substrat et le potentiel de plasma de traitement Vs, et, de façon plus préférable, un potentiel approximativement identique au potentiel de plasma Vs est
appliqué, afin de contrôler les détériorations d'ions ve-
nant du plasma. Le potentiel appliqué au substrat dans le cas d'un traitement de formation de film est de préférence
situé dans la plage comprise entre 1/2 et 1 fois le poten-
tiel de plasma de traitement Vs. De plus, lorsque la gra-
vure doit être effectuée, l'anisotropie peut être amélio-
rée en appliquant un potentiel inférieur au potentiel de
plasma Vs, et, en particulier, un potentiel négatif.
Par conséquent, malgré un contrôle intentionnel du différentiel de tension entre le substrat et le plasma de
traitement par application d'un potentiel désiré au subs-
trat, le contrôle de la qualité du film, par exemple la
réduction des détériorations dues au plasma, peut être ob-
tenu sans réduire la vitesse de traitement pour le traite-
ment de formation de film, et l'anisotropie ou toute autre forme de gravure peut être contrôlée pour un traitement de
gravure.
De plus, il est préférable de faire saillir un élément de buse sur au moins un bord d'ouverture latéral de la
buse à plasma et/ou de la cavité et du trou traversant.
L'axe central de l'élément de buse peut être aligné avec la direction axiale de la buse à plasma et/ou de la cavité et du trou traversant, ou bien l'axe central de l'élément
de buse peut être disposé de façon à former un certain an-
gle par rapport à la direction axiale de la buse à plasma et/ou de la cavité et du trou traversant. Par ailleurs, la forme de l'élément de buse peut être celle d'un cylindre ayant une forme de section transversale constante, ou d'un
cylindre dont les dimensions de section transversale dimi-
nuent ou augmentent graduellement. De plus, un élément de
buse tubulaire peut être disposé en spirale.
Grâce à la disposition de l'élément de buse saillant au niveau de la buse à plasma et/ou de la cavité et du trou traversant, la dimension de la longueur de la buse à plasma et/ou de la cavité, ou du trou traversant, peut être établie comme on le désire sans augmenter inutilement la dimension de l'épaisseur des éléments composant la buse à plasma ou l'électrode de génération de plasma creuse, et la densité de plasma augmente et la vitesse de traitement de surface est améliorée, car la surface de génération de
décharge creuse de cette buse à plasma et/ou de cette ca-
vité et de ce trou traversant augmente du fait de l'aug-
mentation de cette longueur.
De plus, la longueur de buse de l'élément de buse est de préférence non constante. En d'autres termes, au niveau de la buse à plasma et/ou de la cavité, ou de la buse à plasma et/ou du trou traversant, la longueur de tous les
éléments de buse de ceux-ci n'est pas nécessairement uni-
forme, mais elle peut varier de façon appropriée. Par con-
séquent, grâce au changement de la longueur de l'élément de buse, l'intensité du plasma arrivant sur le substrat
peut être uniformisée sur toute la surface de ce substrat.
La présente invention sera mieux comprise à la lecture
de la description détaillée qui suit, faite en référence
aux dessins joints, dans lesquels: la figure 1 est une vue schématique d'un dispositif de traitement de surface selon une première réalisation de la
présente invention.
La figure 2 est une vue schématique montrant un exem-
ple de disposition d'un orifice d'entrée de gaz selon une
modification du dispositif.
La figure 3 est une vue schématique d'un dispositif de traitement de surface selon une deuxième réalisation de la
*présente invention.
Les figures 4A et 4B sont des vues schématiques mon-
trant un autre exemple de disposition d'aimant vis-à-vis d'une électrode de cathode. La figure 5 est une vue schématique d'un dispositif de traitement de surface selon une troisième réalisation de
la présente invention.
La figure 6 est une vue schématique d'un dispositif de traitement de surface selon une quatrième réalisation de
la présente invention.
Les figures 7A et 7B sont des vues schématiques mon-
trant un autre exemple de disposition d'aimant vis-à-vis
d'une électrode de cathode creuse.
Les figures 8A à 8C sont des vues schématiques mon-
trant encore un autre exemple de disposition de l'aimant
vis-à-vis d'une électrode de cathode creuse.
La figure 9 est une vue schématique d'une électrode de cathode selon une modification du dispositif selon les
troisième et quatrième réalisations.
La figure 10 est une vue schématique montrant un exem-
ple de disposition d'une entrée de gaz dans la modifica-
tion. La figure 11 est une vue schématique d'un dispositif de traitement de surface selon une cinquième réalisation
de la présente invention.
La figure 12 est une vue schématique d'un dispositif de traitement de surface selon une sixième réalisation de
la présente invention.
Les figures 13A à 13C sont des vues schématiques mon-
trant une autre réalisation de l'électrode de cathode creuse. La figure 14 est une vue schématique d'un dispositif de traitement de surface selon une septième réalisation de
la présente invention.
La figure 15 est une vue schématique d'un dispositif de traitement de surface selon une huitième réalisation de
la présente invention.
Les figures 16A et 16B sont des vues schématiques d'une partie d'électrode de cathode qui peut être appli- quée à un dispositif de traitement de surface selon les
réalisations de la présente invention.
Les figures 17A et 17B sont des vues schématiques d'une autre partie d'électrode de cathode qui peut être appliquée à un dispositif de traitement de surface selon
les réalisations de la présente invention.
La figure 18 est une vue schématique d'un dispositif de traitement de surface selon une neuvième réalisation de
la présente invention.
La figure 19 est une vue schématique d'une modifica-
tion d'une électrode d'anode de la neuvième réalisation.
Les figures 20A et 20B sont des vues schématiques
d'une autre modification de l'électrode d'anode de la neu-
vième réalisation.
La figure 21 est une vue schématique d'un dispositif de traitement de surface selon une première modification
de la neuvième réalisation.
La figure 22 est une vue schématique d'un dispositif de traitement de surface selon une deuxième modification
de la neuvième réalisation.
La figure 23 est une vue schématique d'un dispositif de traitement de surface selon une troisième modification
de la neuvième réalisation.
La figure 24 est une vue schématique d'un dispositif de traitement de surface selon une dixième réalisation de
la présente invention.
Les figures 25A et 25B sont des vues schématiques d'une modification d'électrode d'anode selon la dixième réalisation. Les figures 26A à 26D sont des vues schématiques d'une
modification préférée de différents trous traversants se-
lon la présente invention.
La figure 27 est une vue en coupe schématique horizon-
tale d'un dispositif de traitement de surface selon une onzième réalisation de la présente invention.
La figure 28 est une vue en coupe schématique horizon-
tale d'un dispositif de traitement de surface selon une
douzième réalisation de la présente invention.
La figure 29 est une vue en coupe schématique horizon-
tale d'un dispositif de traitement de surface selon une
treizième réalisation de la présente invention.
La figure 30 est une vue en coupe schématique horizon-
tale d'un dispositif de traitement de surface selon une
quatorzième réalisation de la présente invention.
La figure 31 est une vue en coupe schématique horizon-
tale d'un dispositif de traitement de surface selon une
quinzième réalisation de la présente invention.
La figure 32 est une vue en coupe schématique horizon-
tale d'un dispositif de traitement de surface selon une
seizième réalisation de la présente invention.
Les figures 33A à 33C sont des vues montrant chacune un exemple de disposition d'un certain nombre de trous
traversants ou de cavités.
Les figures 34A à 34C sont des vues montrant chacune un autre exemple de disposition d'un certain nombre de
trous traversants ou de cavités.
Les figures 35A et 35B sont des vues montrant chacune encore un autre exemple de disposition d'un certain nombre
de trous traversants ou de cavités.
Les figures 36A et 36B sont des vues montrant chacune encore un autre exemple de disposition d'un certain nombre
de trous traversants ou de cavités.
La figure 37 est une vue en coupe montrant de façon schématique un dispositif de traitement de surface selon
une dix-septième réalisation de la présente invention.
La figure 38 est une vue en plan d'une électrode
d'anode dans le dispositif.
Les figures 39A et 39B sont des vues en plan d'une
électrode d'anode selon une modification de la dix-
septième réalisation. La figure 40 est une en plan d'une électrode d'anode
selon une autre modification de la dix-septième réalisa-
tion. La figure 41 est une vue en plan d'une électrode
d'anode selon encore une autre modification de la dix-
septième réalisation.
La figure 42 est une vue en plan d'une électrode
d'anode selon encore une autre modification de la dix-
septième réalisation.
La figure 43 est une vue en plan d'une électrode
d'anode selon encore une autre modification de la dix-
septième réalisation.
Les figures 44A et 44B sont une vue en plan et une vue en coupe d'une électrode d'anode selon encore une autre
modification de la dix-septième réalisation, respective-
ment. A présent, la réalisation de la présente invention va être décrite concrètement en se référant aux dessins et à
des réalisations préférées.
La figure 1 est une vue schématique d'un dispositif de traitement de surface 1 selon une première réalisation de
la présente invention. Le dispositif 1 est blindé vis-à-
vis de l'atmosphère, et une enceinte mise à la masse 2 est divisée en deuxchambres, une chambre de génération de
plasma 3 et une chambre de traitement de substrat 4.
Une paire d'électrodes de génération de plasma 5 et 6 sont disposées en parallèle verticalement dans la chambre de génération de plasma 3. L'électrode supérieure (électrode de cathode) 5 connectée à une alimentation à haute fréquence P de la paire d'électrodes 5 et 6 est fixée à une paroi supérieure 2a formée par un isolant de l'enceinte 2, tandis que l'électrode inférieure mise à la
masse (électrode d'anode) 6 définit la chambre de généra-
tion de plasma 3 et la chambre de traitement de substrat 4. Ici, l'électrode d'anode 6 est fixée à une paroi péri- phérique 2b de l'enceinte mise à la masse 2, mais elle n'est pas limitée à cela et peut être fixée en n'importe
quelle position de l'enceinte 2.
Un trou de communication rond 7 est formé au centre de
l'électrode d'anode 6, et le trou de communication 7 cons-
titue une buse à plasma 7 selon la présente invention. La
chambre de génération de plasma 3 et la chambre de traite-
ment de substrat 4 sont reliées l'une à l'autre par l'in-
termédiaire de cette buse à plasma 7. Ici, séparément de l'électrode d'anode 6, une plaque de séparation destinée à définir la chambre de génération de plasma 3 et la chambre de traitement de substrat 4 peut être présente, et une
buse à plasma peut être formée sur la plaque de sépara-
tion. Bien que la forme de section transversale de la buse à plasma 7 soit circulaire dans cette réalisation, elle peut également être, par exemple, rectangulaire, ou il peut s'agir d'une forme tronconique dont le diamètre augmente de la chambre de génération de plasma 3 à la chambre de traitement de substrat 4, d'une forme de prisme tronqué, et, également, d'une forme dont le diamètre du côté amont diminue approximativement de moitié vers l'aval et dont le diamètre du côté aval augmente de moitié vers l'aval. Par ailleurs, la buse à plasma 7 peut également avoir une
forme de fente.
Une largeur d'ouverture W, à savoir un diamètre W de
la buse à plasma 7, est établie dans une plage satisfai-
sant soit à W s 5L(e), soit à W s 20X. L(e) est un trajet libre moyen d'électrons vis-à-vis d'espèces atomiques ou
moléculaires (espèces actives) du plus petit diamètre par-
mi les espèces de la matière première gazeuse et d'espèces atomiques ou moléculaires électriquement neutres (espèces
actives) produites à partir de celles-ci par décomposi-
tion, sous les conditions de génération de plasma dési-
rées, et X est une épaisseur d'une couche de gaine générée
sous les conditions de génération de plasma désirées.
Cette détermination de plage peut faire que la buse à plasma 7 constitue la zone de génération de décharge d'anode creuse. Il est préférable d'établir la largeur d'ouverture W dans une plage satisfaisant à X/20 < W, et
il est également préférable d'établir la largeur d'ouver-
ture W dans une plage satisfaisant également à X/5 5 W. L'électrode de cathode supérieure 5 constitue une électrode de génération de plasma creuse selon la présente invention, une pluralité de cavités 5a ayant une section transversale circulaire étant disposées sur la face de
l'électrode de cathode 5 opposée à l'électrode d'anode 6.
La largeur d'ouverture W de cette cavité 5a, à savoir le diamètre W, est établie dans une plage satisfaisant soit à W 5L(e), soit à W 20X. L(e) est un trajet libre moyen d'électrons vis-à-vis d'espèces atomiques ou moléculaires (espèces actives) du plus petit diamètre parmi les espèces de la matière première gazeuse et d'espèces atomiques ou
moléculaires électriquement neutres (espèces actives) pro-
duites à partir de celles-ci par décomposition, sous les conditions de génération de plasma désirées, et X est une
épaisseur d'une couche de gaine générée sous les condi-
tions de génération de plasma désirées. Il est préférable
d'établir la largeur d'ouverture W dans une plage satis-
faisant à X/20 s W, et, de plus, il est également préféra-
ble d'établir la largeur d'ouverture W dans une plage sa-
tisfaisant également à X/5 s W. Parmi les conditions de génération de plasma, si la pression de gaz est située dans une plage comprise entre 10 et 1400 Pa, le diamètre de la cavité 5a est établi dans une plage comprise entre 1
et 100 mm, et, de façon plus préférable, entre 1 et 20 mm.
Si l'on établit le diamètre de la cavité 5a dans cette plage, la cavité 5a peut constituer une zone de génération
de décharge de cathode creuse.
Il est préférable de former la pluralité de cavités
selon une disposition montrée dans les figures 33A à 36B.
La disposition basée sur un hexagone équilatéral montré en figure 33A, la disposition basée sur un rectangle montré en figure 33B, ou la disposition basée sur un triangle montré en figure 33C sont préférables. Une disposition dans laquelle la cavité 5a n'est pas formée dans la partie centrale de ces dispositions, à savoir à droite au-dessus de la buse à plasma 7, est montrée dans les figures 34A à
34C, et est encore plus préférable. Par ailleurs, une dis-
position radiale montrée dans les figures 35A et 35B, ou une disposition sans la partie centrale montrée dans les
figures 36A et 36B, sont également préférables.
La limite inférieure approximative d'une dimension T dans la direction longitudinale (direction de l'épaisseur) de la buse à plasma 7 et d'une profondeur D de la cavité a est de X/50. La limite supérieure est décidée par les
restrictions de dimension du dispositif, à savoir l'épais-
seur de l'électrode d'anode 6, ou l'épaisseur de l'élec-
trode de cathode 5. La longueur T de cette buse à plasma 7
et la profondeur D de la cavité 5a est de préférence com-
prise entre 0,1 mm et 100 mm pour la pression de gaz et le diamètre précédemment mentionnés. Ici, du point de vue de
la génération efficace d'une décharge creuse, des dimen-
sions plus importantes de la longueur T de la buse à plas-
ma 7 et de la profondeur D de la cavité 5a sont avantageu-
ses et permettent de générer un plasma plus fort. Par con-
séquent, la longueur substantielle T de la buse à plasma 7 et la profondeur substantielle D de la cavité 5a peuvent être augmentées en fixant un élément de buse sur un bord
d'ouverture de la buse à plasma 7 ou de la cavité 5a.
Bien que la cavité 5a ait une section transversale
circulaire dans cette réalisation, elle peut être polygo-
nale. La surface de section transversale n'est pas néces-
sairement constante, et la section transversale peut va-
rier dans la direction axiale, et, par exemple, il peut s'agir d'une cavité ayant une face inférieure plus grande ou plus petite que l'ouverture. De plus, la cavité 5a peut
être une structure de rainure ayant une forme rectangu-
laire, une forme de volute ou une forme sinueuse. Dans le cas o il s'agit d'une structure de rainure ayant une
forme rectangulaire, une forme de volute ou une forme si-
nueuse, la largeur d'ouverture W de cette cavité 5a cor-
respond à une largeur de rainure (dimension entre les pa-
rois de la rainure), et cette largeur de rainure est éta-
blie à l'intérieur de la plage précédemment mentionnée.
Cette largeur de rainure n'est pas nécessairement cons-
tante, et elle peut diminuer ou augmenter graduellement à partir du centre jusqu'à la périphérie extérieure de l'électrode de cathode 5. Egalement, un relief partiel
peut être formé sur la face de paroi intérieure de la ca-
vité 5a. Il est inutile de réaliser une pluralité des ca-
vités 5a ayant un diamètre ou une forme identiques, et une pluralité de cavités 5a ayant des dimensions et des formes
différentes peuvent être formées.
Dans cette réalisation, un orifice d'entrée de gaz 8 est formé, traversant la paroi supérieure 2a de l'enceinte
2 et l'électrode de cathode 5, et, dans le cas d'un trai-
tement de formation de film, un mélange gazeux de matière première gazeuse tel que du monosilane et du gaz porteur pour accélérer la génération de plasma, stabilisant le plasma et transportant la matière première gazeuse jusqu'à un substrat S, est introduit dans la chambre de génération de plasma 3 à partir de cet orifice d'entrée de gaz 8. La forme de cet orifice d'entrée de gaz 8 n'est pas limitée à
une forme cylindrique, mais il peut s'agir d'un tube rec-
tangulaire. Egalement, une position de formation de l'entrée de
gaz 8 n'est pas limitée à la position précédemment men-
tionnée. Par exemple, comme montré en figure 2, elle peut être formée dans la position d'ouverture de la section in-
férieure de la cavité 5a, ou formée dans la position d'ou-
verture de l'électrode d'anode 6 sur la section de paroi périphérique. De plus, une pluralité d'orifices d'entrée
de gaz 8 peuvent être formés.
L'orifice d'entrée de gaz 8 peut n'introduire que du gaz porteur dans la chambre de génération de plasma 3, et la matière première gazeuse peut également être introduite à l'intérieur de la chambre de génération de plasma, à l'intérieur de la chambre de traitement de formation de
film 4 ou dans le milieu de la buse à 7 par l'intermé-
diaire d'un orifice d'entrée différent installé séparé-
ment. Une table de support de substrat 9 est disposée dans la chambre de traitement de formation de film 4 dans la
position opposée à la buse à plasma 7. Dans cette réalisa-
tion, comme la table de support de substrat 9 est mise à la masse, le substrat S disposé sur la table de support 9 est également mis à la masse. On peut appliquer à la table de support de substrat 9, ou, autrement dit, au substrat S, une polarisation de type continu ou alternatif, ou on peut lui appliquer une polarisation pulsée sans mise à la
masse. D'une autre façon, le substrat S peut être électri-
quement isolé de la table de support de substrat 9. Par ailleurs, la table de support de substrat 9 comporte un élément chauffant incorporé, pour ajuster la température du substrat S disposé sur une face supérieure de la table de support de substrat 9 à une température appropriée à la
croissance de vapeur.
La chambre de traitement de formation de film 4 est
ajustée de façon à avoir une pression de chambre infé-
rieure à celle de la chambre de génération de plasma 3 à l'aide d'une vanne, d'une soupape d'ajustage de pression
et d'une pompe à vide non représentées.
Dans le cas d'un traitement de formation de film à l'aide du dispositif de traitement de surface 1, lors- qu'une alimentation à haute fréquence est entrée à partir
de la source de haute fréquence P sur l'électrode de ca-
thode 5, une décharge se produit entre les électrodes 5 et 6, et un plasma est généré dans la chambre de génération de plasma 3. Ce plasma active la matière première gazeuse et le gaz porteur introduits dans la chambre de génération de plasma 3, et des espèces contribuant à la formation de film sont générées. A ce moment, comme la pression de
chambre de la chambre de traitement de substrat est ajus-
tée à une valeur inférieure à celle de la chambre de géné-
ration de plasma 3, le plasma dans la chambre de généra-
tion de plasma 3 s'écoule à partir de la buse à plasma 7 dans la chambre de traitement de formation de film 4 du fait de cette pression différentielle, et, de plus, d'une diffusion. Ce flux de plasma traite la surface du substrat dans la chambre de traitement 4 et forme un film mince sur la surface du substrat S. A ce moment, comme la pluralité de cavités 5a sont formées sur l'électrode de cathode 5 et que la largeur d'ouverture W de la cavité 5a est établie dans la plage précédemment mentionnée, la décharge change d'une décharge
luminescente normale à une décharge comprenant une dé-
charge de cathode creuse en fonction de la puissance à haute fréquence appliquée. Une décharge de cathode creuse
est générée au niveau de la cavité 5a, et un nouveau plas-
ma est généré au niveau de la cavité 5a. Par conséquent, le plasma généré dans la chambre de génération de plasma 3 augmente de densité, et les espèces actives contribuant à
la formation de film augmentent, ce qui accélère par con-
séquent le traitement de surface. Par ailleurs, la forma-
tion de la cavité 5a sur l'électrode de cathode 5 augmente sensiblement la surface de la cathode 5 en contact avec le plasma. Ceci permet d'amener davantage l'auto-polarisation
durant la génération de décharge vers la direction posi-
tive, d'accélérer l'excitation de la matière première ga- zeuse, ainsi que la réaction de décomposition au voisinage de l'électrode d'anode mise à la masse 6, et d'accélérer
le traitement de surface.
De plus, une décharge d'anode creuse est générée au niveau de la buse à plasma 7 grâce à l'établissement de la largeur d'ouverture W de la buse à plasma 7 à l'intérieur de la plage précédemment mentionnée. Lorsque du nouveau plasma est généré au niveau de la buse à plasma 7 par cette décharge d'anode creuse, le plasma introduit dans la
chambre de traitement de substrat 4 augmente de densité.
De plus, l'énergie d'électrons dans le plasma généré dans la chambre de génération de plasma 3 est réduite de façon
appropriée à une intensité suffisante pour générer des es-
pèces actives et insuffisante pour générer des ions, lors-
que le plasma généré dans la chambre de génération de plasma 3 passe à travers la buse à plasma 7, qui constitue une zone de génération de décharge d'anode creuse. Par
conséquent, le plasma introduit dans la chambre de traite-
ment de substrat 4 augmente encore davantage les espèces contribuant à la formation de film, augmente de densité,
et augmente la vitesse de formation de film de façon re-
marquable. De plus, également, comme l'énergie d'ions dans le plasma chute également lorsque celui-ci passe à travers la buse à plasma 7 dans laquelle la décharge d'anode creuse est générée, le plasma introduit dans la chambre de
traitement de substrat 4 contient peu d'ions pouvant dété-
riorer le substrat par collision avec celui-ci, ce qui
permet d'obtenir une formation de film de haute qualité.
De plus, les performances du plasma de traitement sont
améliorées, car le plasma diminue sa température d'élec-
trons et augmente la densité d'électrons entre les élec-
trodes 5 et 6, grâce à la génération de la décharge de ca-
thode creuse en plus de la décharge d'anode creuse au ni-
veau de la buse à plasma 7. Le potentiel spatial du plasma généré entre les électrodes 5 et 6 augmente également, car la tension à haute fréquence au niveau de l'électrode de
cathode 5 diminue et la tension d'auto-polarisation aug-
mente grâce à la décharge de cathode creuse. En résultat, la décharge d'anode creuse se produit facilement au niveau de la buse à plasma 7, et un plasma de haute densité est
généré au niveau de la buse à plasma 7 grâce à l'effet sy-
nergique. Pour la même raison, le champ électrique se con-
centre facilement dans la chambre de génération de plasma
3, et une décharge irrégulière à plasma de densité locale-
ment élevée peut être générée.
Bien que la table de support de substrat 9, ou, autre-
ment dit, le substrat S, soit mise à la masse comme men-
tionné ci-dessus dans cette réalisation, il est également possible d'appliquer un potentiel désiré sans mettre à la masse le substrat S. Pour le traitement de formation de film, il est possible de former un film mince de haute qualité grâce à une réduction des détériorations d'ions de
plasma, en appliquant au substrat un potentiel compris en-
tre 1/2 et 1 fois un potentiel Vs de plasma de traitement
arrivant sur le substrat S et en réduisant la tension dif-
férentielle entre le substrat et le plasma de traitement.
A ce moment, le potentiel Vs du plasma de traitement est déterminé par le potentiel des électrodes en contact avec la plus grande partie du plasma. Par conséquent, le potentiel du plasma de traitement Vs peut être contrôlé, en contrôlant, par exemple, la tension à haute fréquence
et l'auto-polarisation de l'électrode de cathode, ou ana-
*logue.
Bien qu'une buse à plasma 7 ayant une section trans-
versale circulaire soit formée dans cette réalisation, une pluralité des buses à plasma 7 peuvent être formées dans une disposition telle que celles montrées dans les figures 33A à 36B, par exemple, lorsque le traitement de surface est appliqué à une grande surface du substrat S. De plus, une forme de fente sensiblement continue qui peut être tracée d'un seul trait de pinceau, par exemple une forme
de volute ou une forme sinueuse, permet de traiter de fa-
çon uniforme une surface importante.
Lorsqu'une pluralité de trous sont réalisés ou sont mis sous forme d'une fente, leur diamètre de trou ou leur largeur de fente W est de préférence établi à l'intérieur de la plage selon la présente invention. Toutefois, il n'est pas nécessaire qu'une pluralité de trous aient un
diamètre constant, ni que la largeur de fente soit cons-
tante dans sa direction longitudinale. Pour générer de fa-
çon régulière une décharge d'anode creuse, il est souhai-
table de réduire ou d'augmenter graduellement le diamètre
de trou ou la largeur de fente du point de vue de leur di-
mension de la partie centrale de l'électrode d'anode à la partie de périphérie extérieure de celle-ci en fonction de
différentes conditions.
Bien que l'électrode d'anode 6 soit mise à la masse
dans la réalisation précédemment mentionnée, on peut ap-
pliquer aux électrodes 5 et 6 une polarisation, respecti-
vement à l'aide d'une alimentation en courant continu ou
en courant alternatif, ou à l'aide d'une alimentation pul-
sée. De plus, bien que, dans la réalisation mentionnée ci-
dessus, l'électrode d'anode 6 définisse la chambre de gé-
nération de plasma 3 et la chambre de traitement de subs-
trat 4, une plaque de séparation comportant une buse à plasma peut être disposée séparément de l'électrode d'anode 6, afin de définir la chambre de génération de
plasma 3 et la chambre de traitement de substrat 4.
Dans cette réalisation, un gaz intérieur est évacué de la chambre de traitement de substrat 4, et la pression de chambre de la chambre de traitement de substrat 4 est ajustée de façon à être inférieure à celle de la chambre
de génération de plasma 3. Par conséquent, un gaz inté-
rieur s'écoule de la chambre de génération de plasma 3 à la chambre de traitement de substrat 4 dans le dispositif
de traitement de formation de film, sans que l'on se li-
mite à cela. Un orifice de sortie d'évacuation pour un gaz intérieur peut être disposé dans la chambre de génération
de plasma afin d'inverser le flux de gaz intérieur. Toute-
fois, dans ce cas, un plasma est transporté de la chambre de génération de plasma 3 à la chambre de traitement de substrat 4 par diffusion uniquement, et on ne peut pas
prévoir un transport de plasma par un flux de gaz inté-
rieur, ce qui fait que la vitesse de traitement de surface chute quelque peu, mais qu'un traitement plus rapide que
celui de la technique existante est assuré.
Lorsque le dispositif précédemment mentionné est uti-
lisé pour d'autres traitements de surface tels qu'un cen-
drage, une gravure ou un dopage d'ions, le traitement de surface peut être effectué à une température plus basse
que précédemment, et plus rapidement que précédemment.
Dans le cas d'un traitement de gravure, par exemple,
l'anisotropie peut être améliorée en appliquant un poten-
tiel inférieur au potentiel de traitement de plasma Vs, et, en particulier, un potentiel négatif, au substrat S.
A présent, les autres réalisations de la présente in-
vention vont être décrites de façon concrète en se réfé-
rant aux dessins. Dans la description qui suit, les numé-
ros de référence seront utilisés pour les mêmes éléments que dans la première réalisation précédemment mentionnée,
et une description détaillée de ceux-ci sera omise.
La figure 3 est une vue schématique d'un dispositif de
traitement de surface 20 selon une deuxième réalisation.
Le dispositif 20 est différent de celui de la première réalisation précédemment mentionnée en ce qu'un aimant 10 est disposé sur la face de paroi intérieure de la cavité a formée sur l'électrode de cathode 5 et sur la face de paroi intérieure de la buse à plasma 7, mais, par
ailleurs, la composition est similaire à celle du disposi-
tif de traitement de surface 1 selon la première réalisa- tion précédemment mentionnée. Il suffira que l'aimant 10 soit disposé de façon à communiquer un champ magnétique à la cavité 5a ou à la buse à plasma 7. Par conséquent,
l'aimant 10 peut être noyé dans la face de paroi inté-
rieure comme montré en figure 3, et, également, il peut
être noyé au-dessus de la cavité 5a de l'électrode de ca-
thode 5 comme montré en figure 4A, disposé à l'extérieur de l'électrode de cathode 5 comme montré en figure 4B, ou,
également, on peut réaliser une combinaison de ces dispo-
sitions. En ce qui concerne la disposition de ces aimants , il est préférable de fixer l'aimant 10 de façon à ne
pas exposer l'aimant 10 directement au plasma.
Le champ magnétique de l'aimant 10 est de préférence appliqué de telle sorte que les lignes de flux magnétique deviennent parallèles à la direction axiale respective de la cavité 5a et de la buse à plasma 7. L'intensité de l'aimant est comprise entre 1 et 2000 mT au centre axial respectif de la cavité 5a et de la buse à plasma 7, entre 2 et 2000 mT au niveau de la face de paroi intérieure et au voisinage de celle-ci, et, de façon plus préférable, entre 5 et 500 mT au centre axial, et entre 5 et 1000 mT au niveau de la face de paroi intérieure et au voisinage
de celle-ci.
Cette formation de champ magnétique au niveau de la cavité 5a et de la buse à plasma 7 permet aux électrons de rester pendant un temps prolongé dans la cavité et la buse à plasma 7 grâce à l'ajustage de l'orbite des électrons dans le plasma qui y est généré. Cet ajustage de l'orbite des électrons rend le temps d'action des électrons sur la matière première gazeuse plus long sans élever l'énergie d'électrons (température d'électrons), et la génération d'espèces actives est accélérée, de façon à améliorer la
vitesse de formation de film.
Par ailleurs, la formation de champ magnétique par disposition des aimants 10 augmente les tolérances de di- mension de la largeur d'ouverture W ou de la profondeur D de la cavité 5a et de la largeur d'ouverture W de la buse
à plasma 7 d'environ 30% par rapport au cas sans la dispo-
sition d'aimant.
Bien que les aimants 10 soient disposés sur toutes les cavités 5a et les buses à plasma 7 dans cette réalisation, les aimants 10 peuvent également être disposés uniquement sur des cavités et buses sélectionnées, au lieu de munir l'ensemble de celles-ci des aimants 10. De plus, un champ magnétique peut également être formé par un électro-aimant
ou par d'autres moyens. La disposition de champ magnéti-
que, y compris la polarité de l'aimant et l'intensité de
celui-ci, sont établies de façon arbitraire afin d'augmen-
ter la densité de plasma.
La figure 5 est une vue schématique d'un dispositif de
traitement de surface 21 selon une troisième réalisation.
Le dispositif 21 est différent de celui de la première réalisation précédemment mentionnée en ce que l'électrode de cathode 11 qui constitue l'électrode de génération de plasma creuse selon la présente invention est un élément creux de forme cylindrique creuse, mais, par ailleurs, la
composition est similaire à celle du dispositif de traite-
ment de surface 1 de la première réalisation précédemment mentionnée.
Dans l'électrode de cathode 11, qui constitue l'élé-
ment creux, une pluralité de trous traversants llb ayant une section transversale circulaire communiquent avec l'intérieur creux, au niveau de la partie opposée à l'électrode d'anode 6, à savoir au niveau d'une section de paroi inférieure lla de l'électrode de cathode 11. Ces trous traversants llb sont de préférence formés sous la disposition montrée dans les figures 33A à 36B. De façon plus préférable, ces trous traversants llb sont formés dans la position évitant de se trouver juste au-dessus de la buse à plasma 7 formée dans l'électrode d'anode 6, à savoir dans la disposition montrée dans les figures 34A à
34C ou dans les figures 36A et 36B.
Pour que ce trou traversant llb constitue la zone de
génération de décharge de cathode creuse, la largeur d'ou-
verture W de celui-ci, à savoir le diamètre W, est établie dans une plage satisfaisant soit à W 5 5L(e), soit à
W s 20X. L(e) est un trajet libre moyen d'électrons vis-à-
vis des espèces atomiques ou moléculaires (espèces acti-
ves) du plus petit diamètre parmi les espèces de la ma-
tière première gazeuse et les espèces atomiques ou molécu-
laires électriquement neutres (espèces actives) produites
à partir de celles-ci par décomposition, sous les condi-
tions de génération de plasma désirées, et X est une
épaisseur d'une couche de gaine générée sous les condi-
tions de génération de plasma désirées. Il est préférable
d'établir la largeur d'ouverture W dans une plage satis-
faisant à X/20 s W, et il est également préférable d'éta-
blir la largeur d'ouverture W dans une plage satisfaisant également à X/5 s W.
La largeur d'ouverture W de la totalité de la plurali-
té de trous traversants llb n'est pas nécessairement iden-
tique, et elle peut être établie de façon appropriée à une largeur d'ouverture W différente, de façon à produire une
décharge de cathode creuse de façon régulière dans la plu-
ralité des trous traversants llb. En particulier, il est préférable de réduire la largeur d'ouverture W du trou traversant llb au voisinage du centre et d'augmenter la largeur d'ouverture W progressivement en direction de la
périphérie extérieure, ou d'augmenter la largeur d'ouver-
ture W au voisinage du centre et de réduire la largeur
d'ouverture W progressivement en direction de la périphé-
rie extérieure, en fonction de la fréquence électrique ap-
pliquée ou d'autres conditions.
Parmi les conditions de génération de plasma, si la pression de gaz est située dans une plage comprise entre
et 1400 Pa, le diamètre du trou traversant llb est éta-
bli dans une plage comprise entre 1 et 100 mm, et, de fa- çon plus préférable, entre 1 et 20 mm. Si l'on établit le
diamètre du trou traversant llb dans cette plage, une dé-
charge de cathode creuse se produit dans le trou traver-
sant 11b.
La limite inférieure approximative de la longueur T du trou traversant 11b, à savoir l'épaisseur T de la section de paroi inférieure lla pour cette réalisation, est de
X/50. La limite supérieure est décidée par les restric-
tions de dimension du dispositif. La longueur T de ce trou traversant llb est de préférence comprise entre 0,3 et 70 mm pour la pression de gaz et le diamètre précédemment mentionnés.
Bien que le trou traversant llb ait une section trans-
versale circulaire dans cette réalisation, il peut avoir une forme ovale, rectangulaire, polygonale, indéfinie, ou une autre forme arbitraire. La section transversale n'est pas nécessairement constante, et la section transversale peut changer dans une direction axiale. De plus, le trou traversant llb peut être une structure de fente ayant une section transversale rectangulaire, ou une structure de fente ayant une extension en deux dimensions telle qu'une forme de volute ou une forme sinueuse. Lorsqu'une telle structure de fente est adoptée, la largeur d'ouverture W de ce trou traversant llb correspond à la largeur de fente, et cette largeur de fente est établie à l'intérieur de la plage précédemment mentionnée. Cette largeur de fente n'est pas nécessairement constante, et augmente ou
diminue graduellement à partir du centre jusqu'à la péri-
phérie extérieure. Egalement, un relief partiel peut être formé sur la face de paroi intérieure du trou traversant 11b. Il est inutile de réaliser une pluralité de trous traversants 11b identiques entre eux du point de vue de leurs dimensions ou de leur forme, et une pluralité de trous traversants llb ayant des dimensions et des formes
différentes peuvent être formées.
Dans cette réalisation, pour que l'intérieur creux de l'électrode de cathode 11 constitue la zone de génération
de décharge de cathode creuse, la distance entre faces op-
posées dans l'intérieur creux le long de la direction de formation du trou traversant 11b de l'électrode de cathode 11, à savoir une hauteur H verticale dans les dessins, est établie dans une plage satisfaisant soit à H s 5L(e), soit
à H s 20X. L(e) est un trajet libre moyen d'électrons vis-
à-vis des espèces atomiques ou moléculaires (espèces acti-
ves) du plus petit diamètre parmi les espèces de la ma-
tière première gazeuse et les espèces atomiques ou molécu-
laires électriquement neutres (espèces actives) produites
à partir de celles-ci par décomposition, sous les condi-
tions de génération de plasma désirées, et X est une
épaisseur d'une couche de gaine générée sous les condi-
tions de génération de plasma désirées. Il est préférable d'établir la hauteur de l'intérieur creux H dans une plage satisfaisant à X/20 s H, et, de plus, il est préférable
d'établir la hauteur H dans une plage satisfaisant égale-
ment à X/5 5 H. Parmi les conditions de génération de plasma, si la pression de gaz est située dans une plage comprise entre 10 et 1400 Pa, et que les dimensions du trou traversant llb sont situées dans la plage mentionnée ci-dessus, la hauteur H à l'intérieur du creux est établie de préférence de façon à être comprise entre 1 et 100 mm, et, de façon plus préférable, la hauteur H à l'intérieur du creux est établie de façon à être comprise entre 1 et
20 mm.
Bien que la hauteur H de l'intérieur creux soit cons-
tante dans le dessin, la hauteur H n'est pas nécessaire-
ment constante. Il est préférable de réduire la hauteur H de l'intérieur creux au voisinage du centre, d'augmenter graduellement la hauteur H dans la direction périphérique extérieure, ou d'augmenter la hauteur H de l'élément creux
au voisinage du centre, de réduire graduellement la hau-
teur H dans la direction périphérique extérieure, en fonc-
tion de la fréquence de l'énergie appliquée ou d'autres conditions, afin d'uniformiser sensiblement la décharge de cathode creuse sensiblement dans la totalité de la surface
de l'intérieur creux.
Bien que l'électrode de cathode 11 soit un élément creux ayant une épaisseur approximativement uniforme dans
la section de paroi et qu'elle soit creuse dans sa totali-
té dans la réalisation illustrée, la section de paroi pé-
riphérique peut être rendue épaisse, et seule la partie
centrale peut être rendue creuse, ou bien une partie loca-
lement creuse peut être formée. De plus, une cavité peut
être formée dans cette partie creuse.
Un orifice d'entrée de gaz cylindrique lld est formé
au centre de la section de paroi supérieure 11c de l'élec-
trode de cathode 11, et un mélange gazeux de matière pre-
mière gazeuse telle que du monosilane et de gaz porteur destiné à accélérer la génération de plasma, à stabiliser le plasma et à transporter la matière première gazeuse vers le substrat S, est introduit dans l'intérieur creux
de l'électrode de cathode 11 à partir de cet orifice d'en-
trée de gaz lld. La forme de cet orifice d'entrée de gaz lld n'est pas limitée à une forme cylindrique, mais il peut s'agir d'un tube rectangulaire. De plus, la position de formation de l'orifice d'entrée de gaz lld n'est pas limitée au centre de la section de paroi supérieure 11c,
mais elle peut être formée dans n'importe quelle position.
Le mélange gazeux introduit à l'intérieur de l'élec-
trode de cathode 11 à partir de cet orifice d'entrée de gaz lld est introduit sous une forme de douche dans la
chambre de génération de plasma 3 à partir des trous tra-
versants 11b. Par conséquent, le mélange gazeux peut être introduit dans la chambre de génération de plasma 3 avec une densité et une pression uniformes, en maintenant tout d'abord le mélange gazeux à l'intérieur de l'électrode de
cathode 11, puis en l'introduisant dans la chambre de gé-
nération de plasma 3 sous une forme de douche à travers
les trous traversants 11b.
On peut introduire le seul gaz porteur dans l'inté-
rieur creux de l'électrode de cathode 11, et la matière première gazeuse peut être introduite à l'intérieur de la chambre de génération de plasma 3, à l'intérieur de la chambre de traitement de formation de film 4 ou au milieu de la buse à plasma 7 par l'intermédiaire d'un orifice
d'entrée différent installé séparément.
Lorsqu'une énergie à haute fréquence est entrée à par-
tir de la source d'alimentation à haute fréquence P sur l'électrode de cathode 11, une décharge passe entre les
électrodes 11 et 6, et un plasma est généré dans la cham-
bre de génération de plasma 3. La décharge passe d'une décharge luminescente normale à une décharge comprenant une décharge de cathode froide en fonction de la puissance
à haute fréquence appliquée. En ce qui concerne l'élec-
trode de cathode 11, une décharge de cathode creuse est généré au niveau du trou traversant 11b, et un nouveau plasma est généré au niveau du trou traversant 11b, et une décharge de cathode creuse 3 est également générée dans
l'intérieur creux de l'électrode de cathode 11, et un nou-
veau plasma est généré. Par conséquent, le plasma généré
dans la chambre de génération de plasma 3 augmente de den-
sité, et les espèces actives contribuant à la formation de film augmentent, de façon à accélérer le traitement de
surface.
De plus, comme l'électrode de cathode 11 est un élé-
ment creux et que les trous traversants llb sont réalisés de telle sorte que le plasma soit généré dans les trous traversants 11b et dans l'intérieur creux, la surface de l'électrode de cathode 11 sensiblement en contact avec le plasma augmente davantage que dans le cas de la première
réalisation mentionnée ci-dessus. Ceci permet d'amener da-
vantage l'auto-polarisation durant la génération de dé-
charge vers la direction positive, d'accélérer davantage l'excitation de matière première gazeuse, ainsi que la réaction de décomposition au voisinage de l'électrode d'anode mise à la masse 6, et d'accélérer le traitement de surface. <Expérience 1> Dans un dispositif de traitement de surface 21 selon la troisième réalisation, le diamètre du trou traversant llb de l'électrode de cathode 11 est établi entre 2 et 20 mm, la dimension de la longueur T du trou traversant llb est établie entre 2 et 8 mm, la hauteur H de l'intérieur
creux est établie entre 2 et 20 mm, la pression de gaz hy-
drogène est établie à 133 Pa, et une puissance HF de 0,02
W/cm2 à une fréquence de 3,56 MHz est appliquée. En résul-
tat, une décharge d'anode creuse est générée au niveau de la buse à plasma 7, et une décharge de cathode creuse est générée dans les trous traversants 11b de l'électrode de
cathode 11 et dans l'intérieur creux de celle-ci.
A ce moment, même la plus faible valeur de l'auto-
polarisation de l'électrode de cathode 11 est de -9 V. Au contraire, pour le type de décharge normale ordinaire,
dans lequel le diamètre du trou traversant llb de l'élec-
trode de cathode 11 est de 1 mm, et la décharge de cathode creuse n'est pas générée dans le trou traversant llb et dans l'intérieur creux, l'autopolarisation de l'électrode de cathode est de -30 V pour la même pression de gaz et la même puissance HF, et l'auto-polarisation est de -74 V pour le type à plaques planes parallèles ordinaire. Ceci enseigne que, dans le dispositif de traitement de surface
21 selon la réalisation précédemment mentionnée, l'auto-
polarisation de l'électrode de cathode 11 s'est énormément déplacée vers le côté positif. Il est également possible
de changer la polarité de façon à décaler l'auto-
polarisation vers le potentiel positif, en fonction des conditions.
Par ailleurs, dans les conditions précédemment men-
tionnées, lorsque la dimension de la longueur T du trou traversant 11b de l'électrode de cathode 11 est établie à 9 mm, la décharge de cathode creuse n'est pas générée dans le trou traversant 11b, et la décharge de cathode creuse n'est pas non plus générée dans l'intérieur creux de l'électrode de cathode 11. Lorsque la puissance HF est augmentée, en maintenant la dimension de la longueur T du trou traversant 11b à 9 mm, la décharge de cathode creuse est générée dans le trou traversant 11b de l'électrode de cathode 11 et dans l'intérieur creux de celle-ci, à 0,05
W/cm2.
Ensuite, lorsque le diamètre du trou traversant llb de l'électrode de cathode 11 est établi à 5 mm, et que la hauteur H de l'intérieur creux de l'électrode de cathode 11il est établie à 2 mm, la décharge de cathode creuse n'est pas générée dans l'intérieur creux lorsque la puissance HF
est inférieure ou égale à 0,02 W/cm2, mais l'auto-
polarisation de l'électrode de cathode de 11 est de -6 V, ce qui signifie qu'elle s'est énormément décalée vers le côté positif. Lorsque la hauteur H est établie à 9 mm, la
décharge de cathode creuse n'est pas générée dans l'inté-
rieur creux lorsque la puissance HF est inférieure ou
égale à 0,05 W/cm2, mais, dans ce cas également, l'auto-
polarisation de l'électrode de cathode 11 est de -9 V, une
tension qui est plus élevée par rapport au type de dé-
charge normal ou au type plat parallèle normal précédem-
ment mentionnés.
<Expérience 2> En utilisant le dispositif de traitement de surface 21 et du gaz monosilane (SiH4) comme matière première gazeuse sous un débit d'écoulement de 7 cm3/min et en introduisant
du gaz hydrogène comme gaz porteur sous un débit d'écoule-
ment de 105 cm3/min, en établissant la pression de la cham-
bre de formation de film à 29 Pa, la température du subs-
trat entre 150 et 260 C, et en appliquant une puissance à haute fréquence de 0,1 W/cm2 à 13,56 MHz, on effectue un traitement de formation de film sur un substrat en plaque
de verre blanc. En résultat, on forme un film mince cris-
tallin fin sur la surface du substrat même lorsque la tem-
pérature du substrat descend jusqu'à 150 C. Dans cette plage de température, la vitesse maximale de formation de film mince cristallin fin est de 40 A/s, ce qui permet de réaliser une formation de film à vitesse élevée qui n'était pas obtenue avec la technique existante. De plus, une formation de film extrêmement rapide, par exemple de 150 A/s, peut être réalisée en optimisant les conditions de formation de film et en établissant la température du
substrat à 300 C, et, dans une telle formation de film ra-
pide, le film mince est finement cristallisé, de façon à
procurer un film mince qui peut fonctionner de façon suf-
fisante en pile solaire. Il va sans dire que le film peut être formé encore plus rapidement, si l'on doit former un
film mince amorphe.
<Expérience 3> En utilisant le dispositif de traitement de surface
21, en établissant la fréquence de la source d'alimenta-
tion à haute fréquence P à 150 MHz, la pression de la chambre de traitement de substrat 3 entre 10 et 1400 Pa et la température du substrat entre 100 et 450 C, on peut créer un film mince de silicium cristallin non amorphe dans la plage de 0,5<R, R étant le débit d'écoulement de gaz hydrogène/débit d'écoulement de gaz monosilane, ce qui désigne un rapport du débit d'écoulement de gaz hydrogène porteur au débit d'écoulement de gaz monosilane (SiH4) de matière première gazeuse. Une pile solaire à structure p-i-n est fabriquée, pour confirmer qu'elle fonctionne en
pile solaire.
De façon classique, on pensait que la cristallisation était difficile, en particulier si R se trouvait dans la
plage 0,5<R<20; cependant, il a été confirmé par diffrac-
tion aux rayons X ou par spectroscopie de Raman qu'un film
mince cristallin égal ou meilleur à celui du cas dans le-
quel R est élevé, ou, autrement dit, dans lequel le débit
d'écoulement d'hydrogène est supérieur au débit d'écoule-
ment de gaz monosilane, pouvait être obtenu.
Des conditions de traitement et une vitesse de forma-
tion de film spécifiques sous lesquelles un film mince
cristallin peut être formé dans ces conditions sont mon-
trées à titre d'exemples dans le Tableau 1 qui suit.
[Tableau 1]
Pression Température Débit Débit Puissance Vitesse
de cham- du subs- d'écoule- d'écoule- HF de forma-
bre de trat (OC) ment ment de (W/cm2) tion de formation d'hydro- gaz monofilm * de film gène silane (pm/min
(Pa) (cm3 éta- (cm3 éta-
lons) lons) Echan- 80 100 à 30 9 1 0,26 tillon 1 450 Echan- 133 100 à 30 9 1,5 0,56 tillon 2 450 Echan- 55 100 à 10 9 1,25 0,28 tillon 3 450 Echan80 100 à 80 4,5 0,6 0,24 tillon 4 450 * La vitesse de formation de film est une vitesse à
laquelle un film mince cristallin peut être formé.
Tous les films minces cristallins des échantillons 1 à 4 précédemment mentionnés ont été confirmés comme étant un film mince cristallin orienté selon (220) par diffraction aux rayons X.
De plus, lorsque ces films minces doivent être appli-
qués à une pile solaire du type p-i-n, le rendement de la pile solaire est amélioré en stratifiant un type n et un type i (lesdites conditions) puis en stratifiant ensuite
une couche de type i plus mince avec une puissance infé-
rieure et une vitesse inférieure à celles de ladite condi-
tion, avant de stratifier une couche du type p pour réali-
ser une pile solaire. Par exemple, le rendement de la pile solaire a été amélioré de 50% en insérant une couche i ayant une épaisseur comprise entre 5 et 100 nm sous les conditions suivantes: 80 Pa, entre 100 et 450 C, H2: cm3 étalons, SiH4: 1,5 cm3 étalons, puissance HF: 0,25 W/cm2, et en établissant la vitesse de formation de
*film à 0,01 pm/min.
Cette amélioration de la vitesse de formation de film peut être expliquée, premièrement, par la réalisation d'un plasma de densité élevée par la décharge d'anode creuse au niveau de la buse à plasma 7 et la décharge de cathode creuse au niveau du trou traversant llb de l'électrode de cathode 11 et de l'intérieur creux de celle-ci. De plus, l'augmentation de la surface de l'électrode de cathode 11
en contact avec le plasma permet d'amener son auto-
polarisation vers le côté positif, et le plasma est égale-
ment généré au voisinage de l'électrode d'anode, ce qui permet d'amener efficacement le plasma vers la surface du substrat à travers la buse à plasma 7, vers la chambre de traitement de substrat 4. Par ailleurs, comme le contrôle de l'auto-polarisation permet de contrôler le potentiel
spatial de plasma en même temps, on pense que la cristal-
lisation à une vitesse de formation de film élevée est réalisée grâce à l'établissement de ce potentiel spatial de plasma de façon appropriée et grâce au fait que l'on communique un impact d'ions approprié en fonction de la
vitesse de formation de film.
Le dispositif de traitement de substrat 21 mentionné ci-dessus pourrait effectuer le traitement de surface à
une température plus basse, et plus rapidement que précé-
demment, lorsqu'il est appliqué à un traitement de surface autre que la formation de film, par exemple le cendrage,
la gravure, le dopage d'ions, ou analogue.
La figure 6 est une vue schématique d'un dispositif de traitement de substrat 22 selon une quatrième réalisation de la présente invention. La composition du dispositif 22
est identique à celle du dispositif de traitement de subs-
trat 21 de la troisième réalisation précédemment mention-
née, à l'exception du fait que des aimants 10 sont dispo-
sés sur la face de paroi intérieure des trous traversants
llb formés dans l'électrode de cathode 11, qui est un élé-
ment creux, et sur la face de paroi intérieure de la buse
à plasma 7.
Le champ magnétique de l'aimant 10 est de préférence appliqué de telle sorte que les lignes de flux magnétique
soient dirigées parallèlement aux directions axiales res-
pectives du trou traversant llb et de la buse à plasma 7.
L'intensité de l'aimant est de préférence comprise entre 1 et 2000 mT au centre axial respectif du trou traversant llb et de la buse à plasma 7, entre 2 et 2000 mT au niveau
de la face de paroi intérieure et au voisinage de celle-
ci, et, de façon plus préférable, entre 5 et 500 mT au ni-
veau du centre axial, et entre 5 et 1000 mT au niveau de
la face de paroi intérieure et au voisinage de celle-ci.
Cette formation de champ magnétique au niveau du trou
traversant llb et de la buse à plasma 7 permet aux élec-
trons de rester pendant un temps prolongé dans le trou traversant llb et la buse à plasma 7 grâce à l'ajustage de l'orbite des électrons dans le plasma généré à l'intérieur
de ceux-ci. Cet ajustage de l'orbite des électrons accé-
lère la génération d'espèces actives et améliore la vi-
tesse de formation de film, car le temps d'action des électrons sur la matière première gazeuse est prolongé, sans augmentation de l'énergie d'électrons (température d'électrons). Par ailleurs, la formation de champ magnétique par
disposition d'aimants 10 augmente les tolérances de dimen-
sion de la largeur d'ouverture W et de la longueur T du trou traversant llb et de la largeur d'ouverture W de la buse à plasma 7 d'environ 30% par rapport au cas sans la
disposition d'aimant.
Bien que tous les trous traversants llb et les buses à plasma 7 comportent l'aimant 10 dans cette réalisation, l'aimant 10 peut être disposé uniquement sur les trous et buses sélectionnés, au lieu de munir l'ensemble de ceux-ci des aimants 10. Le champ magnétique peut tout à fait être formé par des électro-aimants ou par d'autres moyens. De
plus, l'aimant 10 peut être noyé dans la face de paroi in-
térieure des trous traversants llb et des buses à plasma 7. De plus, il peut également être noyé dans la section de paroi supérieure 11c de l'électrode de cathode 11, qui est un élément creux, comme montré en figure 7A, ou disposé à l'extérieur de l'électrode de cathode 11il et audessus de la section de paroi supérieure 11c, comme montré en figure
7B. La disposition de champ magnétique, y compris la pola-
rité de l'aimant 10 et l'intensité de celui-ci, sont éta-
blies de façon arbitraire, de façon à augmenter la densité
de plasma.
Il est également possible de disposer l'aimant de fa-
çon à former un champ magnétique dans l'intérieur creux également, de telle sorte que la décharge de cathode creuse dans l'intérieur creux devienne plus dense. Dans ce cas, il est préférable de réaliser le champ magnétique de
telle sorte que les lignes de flux magnétique dans l'inté-
rieur creux deviennent parallèles à la surface de l'élec-
trode. Par exemple, comme montré en figure 8A, les aimants
peuvent être disposés dans les sections de paroi supé-
rieure et inférieure llc et lia de l'électrode de cathode 11 et à l'extérieur de la section de paroi périphérique de l'électrode de cathode 11, ou, comme montré en figure 8B, ils peuvent être disposés à l'extérieur de l'électrode de cathode 11, au-dessus de la section de paroi supérieure 1lc, à l'intérieur de la section de paroi inférieure 1lc
de l'électrode de cathode 11, et à l'extérieur de la sec-
tion de paroi périphérique. Ils peuvent tout à fait être noyés à l'intérieur de la section de la paroi périphérique
comme montré en figure 8C. On note que la figure 8C repré-
sente collectivement différents types d'agencements.
Ces dessins ne montrent que des exemples d'agence-
ments, et la position ou le nombre des agencements d'ai-
mants 10 ne sont pas limités à ceux représentés dans les dessins. L'agencement des aimants et l'intensité de champ
magnétique peuvent être établis de façon arbitraire de fa-
çon à augmenter la densité de la décharge de cathode creuse dans l'intérieur creux ou dans le trou traversant llb, en noyant l'aimant 10 à l'intérieur de l'électrode de cathode 11 ou en le disposant à l'extérieur, ou par la combinaison des deux. Il est préférable que ces aimants 10 soient fixés de façon à ne pas être exposés directement au plasma. <Expérience 4> En utilisant le dispositif de traitement de surface 22 selon la quatrième réalisation montrée en figure 6, sous les conditions telles que celles de l'expérience 2 de la troisième réalisation précédemment mentionnée, à savoir en
introduisant du gaz monosilane (SiH4) sous un débit d'écou-
lement de 7 cm3/min et du gaz hydrogène sous un débit d'écoulement de 105 cm3/min, en établissant la pression de la chambre de formation de film à 29 Pa, la température du
substrat entre 150 et 260 C, et en appliquant une puis-
sance à haute fréquence de 0,1 W/cm2 à 13,56 MHz, on effec-
tue le traitement de formation de film sur un substrat en plaque de verre blanc. En résultat, on forme un film mince à 70 A/s, ce qui permet de réaliser une formation de film
à vitesse élevée, de 75% supérieure à celle de la troi-
sième réalisation précédemment mentionnée, et, dans cette
formation de film rapide, le film mince est finement cris-
tallisé, de façon à procurer un film mince qui peut fonc-
tionner de façon suffisante en pile solaire.
A présent, une augmentation pour augmenter la densité du plasma généré par décharge de cathode creuse dans le trou traversant 11b de l'électrode de cathode 11 ou dans
son intérieur creux est montrée en figure 9.
Tout d'abord, du point de vue de la génération effi-
cace de la décharge de cathode creuse dans le trou traver-
sant llb, il est préférable d'augmenter la longueur T du trou traversant 11b, de façon à générer un plasma plus
fort. Toutefois, l'épaisseur de la section de paroi infé-
rieure lla de l'électrode de cathode 11 est de préférence minimale pour résister à la pression de gaz introduite dans l'intérieur creux et à l'électricité appliquée, du
point du vue du coût des matériaux.
Par conséquent, pour augmenter la longueur T du trou traversant 11b, il est préférable de fixer un élément de
buse 12 à la périphérie du trou traversant 11b. Cet élé-
ment de buse 12 peut faire saillie depuis le trou traver-
sant llb vers le côté de la chambre de génération de plas-
ma 3, ou faire saillie à l'intérieur de l'intérieur creux.
Il peut également faire saillie des deux côtés. Le même élément de buse 12 peut également être composé d'un aimant comme montré en figure 9. Toutefois, il est préférable
que l'aimant 10 ne soit pas exposé directement au plasma.
Bien que tous les éléments de buse 12 montrés en fi-
gure 9 soient disposés de façon à aligner leur axe central
avec l'axe du trou traversant 11b, l'axe central de l'élé-
ment de buse 12 et la ligne axiale du trou traversant llb
peuvent faire un certain angle, ou, autrement dit, l'élé-
ment de buse 12 peut être disposé de façon inclinée. Bien
que l'élément de buse 12 montré en figure 9 soit un cylin-
dre ayant une section transversale constante, la forme
n'est pas limitée à cela, mais il peut s'agir d'un cylin-
dre dont la section transversale a une forme augmentant ou
diminuant graduellement. De plus, des éléments de buse tu-
bulaires peuvent être disposés en spirale. Cette variation de l'élément de buse peut également être appliquée à l'élément de buse fixé à la cavité ou à la buse à plasma
précédemment mentionnée.
De plus, pour augmenter la surface de l'électrode de cathode 11 en contact avec le plasma, l'intérieur creux de l'électrode de cathode 11 peut être divisé par une paroi
de séparation lle s'étendant dans la direction de sa hau-
teur. Comme la surface peut être ajustée librement, l'au-
to-polarisation de l'électrode de cathode 11 peut être contrôlée librement. La paroi de séparation lle n'est pas nécessairement en contact avec les sections de séparation
supérieure et inférieure 11c et lla de l'électrode de ca-
thode 11, et les espaces respectifs séparés par un espace-
ment peuvent communiquer entre eux.
Il est préférable que l'espace séparé respectif soit muni respectivement d'un orifice d'entrée de gaz 11d, comme montré en figure 10. D'une autre façon, une entrée de gaz 8 peut être formée dans une position d'ouverture au niveau de la section de paroi périphérique de l'électrode d'anode 6, et une pluralité de ces orifices d'entrée de gaz 8 et lld peuvent être formés sous un certain nombre par combinaison de ceux-ci. L'orifice d'entrée de gaz lld de l'électrode de cathode 11 peut n'introduire que du gaz porteur, et la matière première gazeuse peut également être introduite par l'intermédiaire de l'orifice d'entrée de gaz 8 de l'électrode d'anode 6, ou par l'intermédiaire d'un orifice d'entrée différent installé séparément vers l'intérieur de la chambre de génération de plasma 3, l'in-
térieur de la chambre de traitement de formation de film
4, ou dans le milieu de la buse à plasma 7.
Bien que la figure 9 illustre des formes de la plura-
lité de trous traversants 11b, l'invention n'est pas limi-
tée à la réalisation illustrée dans laquelle tous les trous traversants 11b ont des formes différentes. Tous les
trous traversants 11b peuvent avoir la même forme, ou plu-
sieurs types de trous traversants llb peuvent coexister.
Egalement, la dimension de la longueur de l'élément de
buse 12 peut être identique pour tous les trous traver-
sants 11b ou peut varier de façon appropriée, de façon à uniformiser l'intensité de plasma atteignant la surface du substrat sur la totalité de la surface du substrat. Par
ailleurs, la position et le nombre de la formation des pa-
rois de séparation ne sont pas limités par la figure 9, mais celles-ci peuvent être conçues librement en fonction de l'intensité de plasma requise pour le traitement de surface.
Egalement, on sait que, comme facteur affectant l'in-
tensité de plasma, l'élévation de la fréquence d'alimenta-
tion d'excitation à haute fréquence accélère la cristalli-
sation. Par conséquent, on réalise une expérience en fai-
sant varier la fréquence.
<Expérience 5> Dans les expériences 1, 2 et 4 mentionnées ci-dessus, une fréquence d'alimentation d'excitation d'énergie à haute fréquence est établie à 13,56 MHz; elle est changée
à 105 MHz, et le traitement de formation de film est ef-
fectué dans les mêmes conditions, et, en résultat, le film mince est cristallisé à une vitesse de formation de film atteignant 260 À/s grâce à un effet de la fréquence élevée en plus des effets des expériences respectives. Lorsque la vitesse de formation de film est de 240 A/s, on obtient un film cristallisé qui peut fonctionner de façon suffisante
en pile solaire.
Une décharge de cathode creuse est générée dans pres- que toute la surface de l'intérieur creux de l'électrode de cathode 11, comme montré dans les figures 5, 6 et 9, pour les troisième et quatrième réalisations précédemment
mentionnées et leurs modifications dans lesquelles l'élec-
trode de cathode 11 est un élément creux. Toutefois, la
décharge de cathode creuse n'est pas nécessairement géné-
rée dans toute la surface de l'intérieur creux, en fonc-
tion de la hauteur de l'intérieur creux de l'électrode de
cathode 11, de la forme, de la quantité ou de la disposi-
tion des trous traversants 11d, ou de la disposition des aimants 10, et la décharge de cathode creuse n'est générée que dans une partie de l'intérieur creux, ou la décharge
de cathode creuse peut parfois être générée de façon irré-
gulière dans l'intérieur creux. De façon générale, une dé-
charge creuse plus lumineuse qu'ailleurs est générée dans l'intérieur creux, dans la section creuse au voisinage du
trou traversant générant la décharge creuse.
La figure 11 est une vue schématique d'un dispositif
de traitement de surface 23 selon une cinquième réalisa-
tion de la présente invention. Le dispositif 23 est diffé-
rent de celui de la troisième réalisation précédemment
mentionnée en ce que la face de paroi intérieure de l'in-
térieur creux est composée d'un isolant, ce qui fait que
la décharge de cathode creuse n'est pas générée dans l'in-
térieur creux de l'électrode de cathode 11', mais que, par
ailleurs, la composition est similaire à celle du disposi-
tif de traitement de surface 21 de la troisième réalisa-
tion précédemment mentionnée.
Toutefois, l'électrode peut être partiellement exposée sur la face intérieure de la section de paroi inférieure lia de l'électrode de cathode 11', et, dans ce cas, le plasma généré dans la chambre de génération de plasma 3 pénètre dans l'intérieur creux par l'intermédiaire des trous traversants llb de façon à cheminer sur cette face d'électrode exposée. Par conséquent, la surface de l'élec-
trode de cathode l' sensiblement en contact avec le plas-
ma augmente, ce qui permet d'augmenter l'auto-
polarisation.
Pour empêcher la décharge de cathode creuse d'être gé-
nérée dans l'intérieur creux de l'électrode de cathode 11', en plus de la composition précédemment mentionnée de la surface de paroi intérieure avec un isolant, la hauteur H de l'intérieur creux peut être accrue, mais, toutefois,
il est plus fiable de composer la surface de paroi inté-
rieure avec un isolant, parce que cette hauteur H peut va-
rier en fonction de la pression HF ou la pression de gaz.
Par conséquent, un plasma peut être généré avec l'in-
tensité correspondant à l'application, parce que non seu-
lement le site de génération de plasma peut être contrôlé, mais, également, la surface de l'électrode de cathode 11'
en contact avec le plasma peut être ajustée, et l'auto-po-
larisation peut être contrôlée.
<Expérience 6> Le traitement de formation de film a été effectué en
utilisant le dispositif de traitement de surface 23 précé-
demment mentionné, sous les conditions de l'expérience 2 précédemment mentionnée, et une décharge de cathode creuse est générée dans les trous traversants llb, une décharge d'anode creuse est générée dans la buse à plasma 7, et la densité de plasma augmente, ce qui permet de former un film mince cristallin fin à une vitesse élevée. Par ailleurs, le film cristallisé obtenu peut fonctionner de
façon suffisante en pile solaire.
La figure 12 est une vue schématique d'un dispositif de traitement de surface 24 selon une sixième réalisation de la présente invention. Le dispositif de traitement de
surface 24 correspond au dispositif de traitement de sur-
face 23 de la cinquième réalisation précédemment mention-
née, dans laquelle des aimants 10 sont disposés sur la face de paroi intérieure du trou traversant llb de l'élec- trode de cathode 11' et sur la face de paroi intérieure de
la buse à plasma 7.
<Expérience 7>
La formation de film est effectuée à l'aide du dispo-
sitif de traitement de surface précédemment mentionné 24 de la sixième réalisation, sous les mêmes conditions que celles de l'expérience 2 précédemment mentionnée, ce qui a pour résultat l'amélioration de la vitesse de formation de
film ou du rendement des batteries de 10% ou plus par rap-
port à l'expérience 6 précédemment mentionnée.
Dans une modification de l'électrode de cathode 11 précédemment mentionnée, celle-ci constituant l'élément
creux, par exemple, l'espace entre la section de paroi in-
férieure 15a comprenant une pluralité de trous traversants 15b communiquant avec l'intérieur creux et la section de paroi supérieure 15c peut être divisé en une pluralité d'étages par une ou plusieurs parois de séparation 15e comprenant un ou plusieurs trous traversants 15d, comme dans l'électrode de cathode 15 qui est un élément creux montré en figure 13A. A ce moment, il est préférable de former des trous traversants respectifs 15b et 15d de
telle sorte qu'une pluralité de trous traversants 15b for-
més au niveau de la section de paroi inférieure 15a, et une pluralité de trous traversants 15d formés au niveau de la paroi de séparation 15e, ne se chevauchent pas, comme dans l'électrode de cathode 15', qui est un élément creux
montré en figure 13B.
Egalement, le nombre de trous traversants 15b de la
section de paroi inférieure 15a peut être différent de ce-
lui des trous traversants 15d de la paroi de séparation
e. La dimension d'ouverture des trous traversants res-
pectifs 15b et 15d peut également être différente. De plus, en ce qui concerne la pluralité de trous traversants
respectifs 15b formés au niveau de la section de paroi in-
férieure 15a, et la pluralité de trous traversants 15d
formés au niveau de la paroi de séparation 15e, la dimen-
sion d'ouverture n'est pas nécessairement uniforme, mais la dimension d'ouverture peut varier de façon à diminuer
ou à augmenter graduellement à partir de la partie cen-
trale dans la direction de la périphérie extérieure.
Comme autre modification de l'électrode de cathode 11 précédemment mentionnée, qui est un élément creux, une pluralité d'éléments d'électrode creux 16a peuvent être reliés sous la forme d'une pluralité d'étages verticaux au
moyen d'un trou de communication 16b, comme dans l'élec-
trode de cathode 16 constituant un élément creux montrée
en figure 13C.
La figure 14 est une vue schématique d'un dispositif de traitement de surface 25 selon une septième réalisation de la présente invention. Dans ce dispositif de traitement de surface 25, l'intérieur de l'enceinte 2 est également
divisé en deux chambres, la chambre de génération de plas-
ma 3 et la chambre de traitement de substrat 4. L'élec-
trode de cathode 5 et une électrode d'anode 6' sont dispo-
sées dans la chambre de génération de plasma 3, et l'élec-
trode d'anode 6' divise la chambre de génération de plasma 3 et la chambre de traitement de substrat 4. Une buse à plasma circulaire 7' est formée au centre de l'électrode d'anode 6', et cette buse à plasma 7' relie la chambre de génération de plasma 3 et la chambre de traitement de
substrat 4.
En ce qui concerne l'électrode de cathode 5, une plu-
ralité de cavités 5a ayant une section transversale circu-
laire sont disposées sur la face de l'électrode de cathode 5 opposée à l'électrode d'anode 6. La largeur d'ouverture
W de cette cavité 5a est établie dans une plage satisfai-
sant soit à W < 5L(e), soit à W 5 20X. Il est plus préféra-
ble d'établir la largeur d'ouverture W dans une plage sa-
tisfaisant à X/5 < W. Une décharge de cathode creuse est générée au niveau de la cavité 5a grâce à l'établissement
du diamètre de la cavité 5a dans cette plage.
La composition précédemment mentionnée de cette réali-
sation est similaire à celle de la première réalisation mentionnée cidessus, mais elle est différente de celle du
dispositif de traitement de substrat 1 de la première réa-
lisation précédemment mentionnée en ce que la décharge creuse n'est pas générée au niveau de la buse à plasma 7', parce que la largeur d'ouverture W de la buse à plasma 7' formée au niveau de l'électrode d'anode 6' est grande ou
que la longueur (épaisseur) T est petite.
Comme la décharge creuse n'est pas générée au niveau de la buse à plasma 7' dans cette réalisation, la qualité
et la vitesse de traitement de surface sont légèrement in-
férieures à celle de la première réalisation précédemment
mentionnée, mais cette vitesse de traitement et cette qua-
lité de traitement sont améliorées par rapport à celles du dispositif de traitement de surface classique, parce que la décharge de cathode creuse est générée au niveau de la
cavité 5a de l'électrode de cathode 5.
La figure 15 est une vue schématique d'un dispositif de traitement de surface 26 selon une huitième réalisation de la présente invention. Dans ce dispositif de traitement de surface 26 également, l'intérieur de l'enceinte 2 est divisée en deux chambres, la chambre de génération de plasma 3 et la chambre de traitement de substrat 4. Une électrode de cathode 5" et une électrode d'anode 6" sont disposées dans la chambre de génération de plasma 3, et
l'alimentation appliquée à l'électrode de cathode 5" di-
vise la chambre de génération de plasma 3 et la chambre de traitement de substrat 4. Une buse à plasma circulaire 7" est formée au centre de l'électrode de cathode 5", et cette buse à plasma 7" relie la chambre de génération de
plasma 3 et la chambre de traitement de substrat 4.
Comme la largeur d'ouverture W de la buse à plasma 7" est établie dans une plage satisfaisant soit à W 5L(e), soit à W s 20X, une décharge de cathode creuse est générée au niveau de la buse à plasma 7". En d'autres termes, la buse à plasma 7" de cette réalisation correspond à la zone de décharge creuse du premier aspect de cette invention,
et correspond en même temps à la zone de décharge de ca-
thode creuse du deuxième aspect de cette invention.
Bien que la chambre de génération de plasma 3 soit disposée au-dessus du dispositif de traitement de surface
et que la chambre de traitement de substrat 4 soit dispo-
sée en dessous de celui-ci dans n'importe laquelle des réalisations précédemment mentionnées, contrairement à ces réalisations, le dispositif peut être composé de façon à
faire s'écouler le plasma du dessous vers le haut en dis-
posant la chambre de génération de plasma 3 en dessous, et la chambre de traitement de substrat 4 au-dessus. De plus, l'enceinte du dispositif de traitement de surface peut être divisée en chambres droite et gauche, et la chambre de génération de plasma et la chambre de traitement de substrat doivent être disposées horizontalement, de façon à composer un dispositif dans lequel le plasma s'écoule dans la direction transversale. Dans tous les cas, le substrat peut être disposé en opposition vis-à-vis de la
buse à plasma et orthogonalement par rapport à la direc-
tion d'écoulement du plasma, ou bien le substrat peut être
disposé parallèlement à la direction d'écoulement du plas-
ma. Les moyens de génération de plasma ne sont pas non plus limités à une paire d'électrodes de génération de
plasma, mais comprennent des moyens de génération de plas-
ma tels que des moyens de décharge comprenant des électro-
des à trois pôles ou davantage, des moyens de décharge à
micro-ondes, des moyens de décharge du type à couplage ca-
pacitif, des moyens de décharge du type à couplage induc-
* tif, des moyens de décharge de magnétron, et des moyens de
décharge par excitation de faisceau d'électrons.
Comme montré dans les figures 16A et 16B, une autre électrode 13 peut être disposée au voisinage du côté
d'anode et/ou du côté opposé au côté des électrodes de ca-
thode 5 et 11 o la décharge de cathode creuse est géné-
rée. L'autre électrode 13 comporte de multiple petits
trous 13a formés sur celle-ci, ayant une largeur d'ouver-
ture inférieure à la largeur d'ouverture W de la cavité 5a formée au niveau de la cathode 5 ou du trou traversant llb formé au niveau de l'électrode de cathode 11 qui constitue l'élément creux. D'une autre façon, l'autre électrode 13 peut être en forme de treillis. Même dans le cas d'une électrode de cathode comportant un trou traversant o la
décharge de cathode creuse est générée, de façon simi-
laire, une autre électrode 13 munie de multiple petits trous plus petits que la largeur d'ouverture W du trou
traversant peut être disposée.
L'autre électrode 13 est polarisée à une tension arbi-
traire comprenant un état flottant, et il est particuliè-
rement préférable qu'elle soit établie à une valeur de tension comprise entre celle de l'électrode d'anode mise à la masse 6 et la valeur maximale du potentiel spatial de plasma, ou qu'elle soit établie à une valeur de tension comprise entre la tension de l'électrode de cathode 5 o est générée la décharge de cathode creuse et la valeur
maximale du potentiel spatial de plasma.
De plus, de nombreux électrons seront définis dans la
zone de décharge de cathode creuse, et une décharge de ca-
thode creuse de densité ultra-élevée, qui est une décharge
ayant un courant électrique beaucoup plus important, de-
vient possible grâce à la formation des petits trous 13a
formés sur l'autre électrode 13 dans une position corres-
pondant à la cavité 5a ou au trou traversant llb des élec-
trodes de cathode 5 et 11, comme montré dans les figures
16A et 16B.
D'une autre façon, les électrons peuvent être piégés efficacement dans une cavité 5a", un trou traversant 11b" ou une partie creuse, qui constituent une zone de décharge de cathode creuse, grâce à la formation de la surface de
partie d'ouverture de telle sorte qu'elle soit suffisam-
ment inférieure à la section transversale des autres par-
ties de la cavité 5a" ou du trou traversant 11b", au ni-
veau de la cavité 5a" formée sur la cathode d'électrode 5" ou du trou traversant 11b" sur l'électrode de cathode 11",
comme montré dans les figures 17A et 17B. Bien que la ca-
vité 5a" ou le trou traversant 11b" ait sa moitié supé-
rieure qui est de forme cylindrique et sa moitié infé-
rieure qui est de forme hémisphérique dans le dessin, el-
les peuvent être coniques, en forme de prisme ou en forme
de tige.
La figure 18 est une vue schématique d'un dispositif de traitement de surface 27 selon une neuvième réalisation
de la présente invention. Ce dispositif 27 est sensible-
ment identique au dispositif de traitement de surface 1 de
la première réalisation précédemment mentionnée, à l'ex-
ception du fait que la partie d'une électrode d'anode 14
opposée à l'électrode de cathode 5 est un élément creux.
La partie de l'électrode d'anode 14 opposée à l'élec-
trode de cathode 5 est un élément creux 14a, et une buse à plasma unique 7 traversant une section de paroi supérieure et une section de paroi inférieure en ligne droite est formée au centre de cet élément creux 14a. De plus, dans cette réalisation, pour faire de l'intérieur de l'élément creux 14a de l'électrode d'anode 14 la zone de
génération de décharge de cathode creuse, la distance en-
tre faces opposées le long de la direction de formation de la buse à plasma 7 de l'élément creux 14a, à savoir la hauteur H qui est verticale dans le dessin, est établie dans une plage satisfaisant soit à H 5 5L(e), soit à
H < 20X. L(e) est un trajet libre moyen d'électrons vis-à-
vis d'espèces atomiques ou moléculaires (espèces actives) du plus petit diamètre parmi les espèces de la matière première gazeuse et d'espèces atomiques ou moléculaires
électriquement neutres (espèces actives) produites à par-
tir de celles-ci par décomposition, sous les conditions de génération de plasma désirées, et X est une épaisseur
d'une couche de gaine générée sous les conditions de géné-
ration de plasma désirées. Il est préférable d'établir la hauteur de l'intérieur creux H dans une plage satisfaisant
à X/20 5 H, et il est de plus préférable d'établir la hau-
teur dans une plage satisfaisant à X/5 5 H. Dans cette réalisation, en plus de la décharge d'anode creuse au niveau de la buse à plasma 7 et de la décharge de cathode creuse au niveau de la cavité 5a de l'électrode de cathode 5, une décharge d'anode creuse est générée à l'intérieur de l'élément creux 14a de l'électrode d'anode
14, et un nouveau plasma est également généré dans l'élé-
ment creux 14a de l'électrode d'anode 14. Par conséquent, la densité du plasma de traitement atteignant le substrat
S augmente encore davantage, les espèces actives contri-
buant au traitement de formation de film augmentent, ce
qui améliore la vitesse de traitement de surface, et, éga-
lement, la qualité de traitement.
Bien que, dans le dessin, la hauteur intérieure H de l'élément creux 14a soit constante, la hauteur H n'est pas nécessairement constante. Il est préférable de réduire la hauteur intérieure H de l'élément creux au voisinage du centre, en augmentant graduellement sa hauteur H dans la
direction périphérique extérieure, ou d'augmenter la hau-
teur intérieure H de l'élément creux au voisinage du cen-
tre, en réduisant graduellement sa hauteur H dans la di-
rection périphérique extérieure en fonction de la fré-
quence de l'alimentation appliquée ou d'une autre condi-
tion, de façon à uniformiser sensiblement la décharge d'anode creuse dans la totalité de la surface de l'élément
creux 14a.
Il n'est pas nécessaire qu'une décharge d'anode creuse soit générée dans la totalité de l'intérieur de l'élément creux 14a, mais l'amélioration de la vitesse de traitement
et de la qualité de traitement de surface ne peut être ob-
servée que si une décharge d'anode creuse est générée au
moins dans une partie de celui-ci.
La figure 19 est une modification de l'électrode
d'anode 14 précédemment mentionnée, qui constitue un élé-
ment creux. Tandis que la buse à plasma unique 7 est for-
mée à travers le centre de l'élément creux 14a dans
l'électrode d'anode 14 précédemment mentionnée, une plura-
lité de trous traversants 14d peuvent être formés sous la forme de buses à plasma, communiquant respectivement avec l'intérieur creux, sur la section de paroi supérieure 14b et la section de paroi inférieure 14c de l'élément creux
14a. Dans ce cas, il est préférable de ne pas aligner ver-
ticalement en ligne droite les trous traversants 14d de la section de paroi supérieure 14b et les trous traversants
14d de la section de paroi inférieure 14c, mais de les dé-
caler. De plus, il est préférable de former les trous tra-
versants 14d selon la disposition des figures 33A à 36B.
La largeur d'ouverture W de la pluralité de trous tra-
versants 14d n'a pas besoin d'être la même pour tous ceux-
ci, mais elle peut être établie de façon appropriée à une
largeur d'ouverture W différente pour uniformiser la dé-
charge d'anode creuse pour la pluralité de trous traver-
sants 14d. En particulier, il est préférable de réduire la largeur d'ouverture W du trou traversant 14d au voisinage
du centre, et d'augmenter graduellement sa largeur d'ou-
verture W dans la direction périphérique extérieure, ou
d'augmenter la largeur d'ouverture W au voisinage du cen-
tre, et de réduire graduellement sa largeur d'ouverture W dans la direction périphérique extérieure en fonction de
la fréquence d'alimentation appliquée ou d'une autre con-
dition. La limite inférieure approximative de la longueur T du trou traversant 14d, à savoir l'épaisseur T de la section de paroi inférieure 14b pour cette réalisation, est de
X/50. La limite supérieure est décidée par les restric-
tions de dimension du dispositif. La longueur T de ce trou traversant 14d est de préférence comprise entre 0,1 et 70 mm pour le diamètre et la pression de gaz précédemment mentionnés.
Bien que le trou traversant 14d ait une section trans-
versale circulaire dans cette réalisation, il peut égale-
ment avoir une forme ovale, rectangulaire, polygonale, in-
définie, ou une autre forme arbitraire. La section trans-
versale n'est pas nécessairement constante, et la section transversale peut changer dans la direction axiale. De plus, le trou traversant 14d peut être une structure de fente ayant une section transversale rectangulaire, ou une structure de fente ayant une extension en deux dimensions
telle qu'une forme de volute ou une forme sinueuse. Lors-
que cette structure de fente est adoptée, la largeur d'ou-
verture W de ce trou traversant 14d correspond à la lar-
geur de fente, et cette largeur de fente est établie à
l'intérieur de la plage précédemment mentionnée. Egale-
ment, un relief partiel peut être formé sur la face de pa-
roi intérieure du trou traversant 14d. Il est inutile de réaliser la pluralité des trous traversants 14d de telle sorte qu'ils aient des dimensions ou une forme identiques entre eux, et une pluralité de trous traversants 14d ayant
une forme et des dimensions différentes peuvent être for-
més. Sur l'électrode d'anode 14', un orifice d'entrée de
gaz 8' peut être formé dans une position s'ouvrant au ni-
veau d'une section de paroi intérieure du trou traversant 14d ou à l'intérieur de l'élément creux 14a. Par exemple, dans le cas d'un traitement de formation de film, on peut
n'introduire que du gaz porteur dans la chambre de généra-
tion de plasma 3, et l'orifice d'entrée de gaz 8' de
l'électrode d'anode 14' peut introduire une matière pre-
mière gazeuse telle que du monosilane ou analogue, de fa-
çon à empêcher la matière première gazeuse de se décompo-
ser dans l'espace inutile, et à faire contribuer efficace-
ment la matière première gazeuse au traitement de forma-
tion de film. De plus, une pluralité de trous traversants 14d peuvent également être munis de l'orifice d'entrée de gaz 8', ou seuls certains trous traversants 14d peuvent être munis de l'orifice d'entrée de gaz 8'. De plus, une pluralité d'orifices d'entrée de gaz 8' peuvent s'ouvrir
sur la surface de paroi intérieure de l'élément creux 14a.
Les figures 20A et 20B montrent des modifications dans lesquelles la densité de plasma générée par la décharge d'anode creuse à l'intérieur de l'électrode creuse 14a et des trous traversants 14d dans l'électrode d'anode 14' est accrue.
Tout d'abord, du point de vue de la génération effi-
cace d'une décharge d'anode creuse dans le trou traversant 14d, il est préférable d'augmenter la longueur T du trou
traversant 14d, de façon à générer un plasma plus fort.
Toutefois, l'épaisseur des sections de paroi supérieure et
inférieure 14b et 14c de l'électrode d'anode est de préfé-
rence minimale pour résister à la pression de gaz intro-
duite dans l'intérieur creux et à l'électricité appliquée,
du point de vue du coût des matériaux.
Par conséquent, pour augmenter la longueur T du trou traversant 14d, il est préférable de fixer l'élément de
buse 12 à la périphérie du trou traversant 14d de la sec-
tion de paroi intérieure 14c. Cet élément de buse 12 peut faire saillie depuis le trou traversant 14d vers le côté de la chambre de traitement de substrat 4, ou faire
saillie à l'intérieur de l'élément creux 14a. Il peut éga-
lement faire saillie des deux côtés. Le même élément de buse 12 peut également être composé d'un aimant 10, comme montré en figure 20A. A ce moment, il est préférable que
l'aimant 10 ne soit pas exposé directement au plasma.
Bien que tous les éléments de buse 12 montrés en fi-
gure 20A soient disposés de façon à aligner leur axe cen-
tral avec l'axe du trou traversant 14d, l'axe central de l'élément de buse 12 et la ligne axiale du trou traversant 14d peuvent faire un certain angle, ou, autrement dit,
l'élément de buse 12 peut être disposé de façon à être in-
cliné. Bien que l'élément de buse 12 montré en figure 20A soit un cylindre ayant une section transversale constante, la forme n'est pas limitée à cela, mais il peut s'agit d'un cylindre ayant une forme dont la section transversale augmente ou diminue graduellement. De plus, des éléments
de buse tubulaires peuvent être disposés en spirale. De plus, pour augmenter la surface de l'électrode d'anode 14' en contact
avec le plasma, l'intérieur de l'élément creux 14a de l'électrode d'anode 14' peut être
divisé en une pluralité de chambres par des parois de sé-
paration s'étendant verticalement ou horizontalement. Les
trous traversants 14d formés dans chaque chambre de l'in-
térieur divisé peuvent être tous identiques, ou ils peu-
vent être différents. Par ailleurs, les parois de sépara-
tion s'étendant verticalement peuvent comporter des espa-
ces entre les parois et les sections de paroi supérieure et inférieure 14b et 14c de l'élément creux 14a, et les
chambres respectives peuvent être reliées entre elles.
Il est également possible de noyer l'aimant 10, comme montré en figure 20B, dans la surface circonférentielle
intérieure du trou traversant respectif 14d, dans les sec-
tions de paroi supérieure et inférieure 14b et 14c de
l'électrode d'anode 14a ou dans la section de paroi péri-
phérique, ou au voisinage de celles-ci, de façon à commu-
niquer un champ magnétique à l'intérieur du trou traver-
sant 14d, de la buse à plasma, ou de l'élément creux 14a.
Il est préférable de disposer l'aimant 10 de telle sorte que les lignes de flux magnétique deviennent parallèles à la direction axiale du trou traversant 14d ou de telle
sorte que les lignes de flux magnétique deviennent paral-
lèles aux sections de paroi supérieure et inférieure 14b
et 14c.
Cette formation de champ magnétique au niveau du trou
traversant 14d et de l'élément creux 14a permet aux élec-
trons de rester pendant un temps prolongé dans le trou traversant 14d et l'élément creux 14a en ajustant l'orbite
des électrons dans le plasma généré à l'intérieur de ceux-
ci. Cet ajustage de l'orbite des électrons accélère la gé-
nération d'espèces actives et améliore la vitesse de trai-
tement de surface, car le temps d'action des électrons sur la matière première gazeuse est prolongé sans augmentation
de l'énergie d'électrons (température d'électrons).
Les figures 21 à 23 sont des vues schématiques de dis-
positifs de traitement de surface 28 à 30 selon les pre-
mière à troisième modifications de la neuvième réalisation précédemment mentionnée. Le dispositif de traitement de substrat 28 montré en figure 21 est celui dans lequel l'électrode de cathode 5 de la neuvième réalisation est remplacée par l'électrode de cathode 11 de l'élément creux, et l'intérieur creux de l'électrode de cathode 11
et du trou traversant llb formé dans l'électrode de ca-
thode 11 est utilisé comme zone de décharge de cathode
creuse.
Le dispositif de traitement de substrat 29 montré en figure 22 est celui dans lequel l'électrode de cathode 5 de la neuvième réalisation est remplacée par l'électrode de cathode 11' comprenant un élément creux dont la surface de paroi intérieure est isolée, et le trou traversant llb formé dans l'électrode de cathode 11' est utilisé comme
zone de décharge de cathode creuse. Par ailleurs, le dis-
positif de traitement de surface 30 montré en figure 23
est celui dans lequel l'électrode de cathode 5 de la neu-
vième réalisation est remplacée par une électrode en forme de plaque plane simple 5', et la décharge de cathode creuse n'est pas générée par l'électrode de cathode 5', et
seule une décharge d'anode creuse est générée.
Toutes ces modifications sont des combinaisons de la
neuvième réalisation et de l'autre réalisation précédem-
ment mentionnée selon la présente invention, et chacune d'entre elles comporte des fonctions et des effets des
réalisations respectives mentionnées ci-dessus. Par conse-
quent, dans n'importe laquelle de ces modifications, la
densité de plasma de traitement est accrue et le traite-
ment est considérablement accéléré par une décharge
d'anode creuse ou une décharge de cathode creuse.
La figure 24 est une vue schématique d'un dispositif de traitement de surface 40 selon une dixième réalisation de la présente invention. Dans ce dispositif de traitement de surface 40, l'intérieur d'une électrode d'anode creuse
17 constitue une chambre de traitement de substrat 4'.
L'électrode d'anode creuse 17 comporte un trou traver-
sant 17b formé au centre d'une section de paroi supérieure
17a, et ce trou traversant 17b constitue la buse à plasma.
Par ailleurs, la partie centrale de surface intérieure de la partie de paroi inférieure 17c de l'électrode d'anode 17 constitue la table de support de substrat, et, en même temps, une pluralité d'orifices de sortie d'évacuation 17d
sont formés au niveau de la partie périphérique de la par-
tie de paroi inférieure 17c. La partie centrale de la par-
tie de paroi inférieure 17c peut comprendre des moyens de chauffage de substrat. On note que la position de support de substrat dans l'électrode d'anode 17 et la position de formation des orifices de sortie d'évacuation 17d ne sont pas limitées à celles mentionnées ci-dessus, mais qu'une
position arbitraire peut être choisie.
Dans cette réalisation, pour que le trou traversant
17b de l'électrode d'anode 17 constitue la zone de généra-
tion de décharge d'anode creuse, la largeur d'ouverture W
du trou traversant 17b est établie dans une plage satis-
faisant soit à W s 5L(e) soit à W 5 20X. Il est préférable
d'établir la largeur d'ouverture W dans une plage satis-
faisant à X/20 s W, et il est également préférable d'éta-
blir la largeur d'ouverture W dans une plage satisfaisant également à X/5 s W. Egalement, dans cette réalisation,
pour que l'intérieur creux de l'électrode d'anode 17 cons-
titue également la zone de génération de décharge d'anode creuse, la hauteur H de l'intérieur creux est établie dans une plage satisfaisant soit à H s 5L(e) soit à H s 20X. Il
est également préférable d'établir la hauteur H de l'inté-
rieur creux dans une plage satisfaisant à X/20 s H, et, de plus, il est préférable d'établir la hauteur H dans une plage satisfaisant également à X/5 s H. Toutefois, L(e) est un trajet libre moyen d'électrons
vis-à-vis d'espèces atomiques ou moléculaires (espèces ac-
tives) du plus petit diamètre parmi les espèces de la ma-
tière première gazeuse et les espèces atomiques ou molécu-
laires électriquement neutres (espèces actives) produites
à partir de celles-ci par décomposition, sous les condi-
tions de génération de plasma désirées, et X est une
épaisseur d'une couche de gaine générée sous les condi-
tions de génération de plasma désirées.
Dans le dispositif de traitement de surface 40, comme la chambre de traitement de substrat 4' est formée dans
l'intérieur creux de l'électrode d'anode 17, et que la dé-
charge d'anode creuse est générée dans cet intérieur creux
de l'électrode d'anode 17, la densité de plasma contri-
buant au traitement du substrat S augmente considérable-
ment, ce qui améliore de façon remarquable la vitesse de traitement de surface. Cependant, comme les détériorations d'ions du substrat S par le plasma sont considérables, ce dispositif de traitement de surface 40 n'est pas approprié
pour le traitement de formation de film, mais le disposi-
tif 40 est approprié pour le traitement de gravure, de
cendrage ou de dopage d'ions.
Les figures 25A et 25B sont des modifications de
l'électrode d'anode creuse constituant la chambre de trai-
tement de substrat 4'. L'électrode d'anode 17' montrée en
figure 25A est différente de l'électrode d'anode 17 précé-
demment mentionnée en ce que la pluralité de trous traver-
sants 17b composant la buse à plasma sont formés dans la section de paroi supérieure 17a. Les trous traversants 17b sont de préférence formés sous la disposition montrée dans
les figures 33A à 36B.
Bien que la pluralité de trous traversants 17b aient
une section transversale circulaire dans cette réalisa-
tion, ils peuvent également avoir une forme ovale, rectan-
gulaire, polygonale, indéfinie, ou une autre forme arbi-
traire. La section transversale n'est pas nécessairement constante, et la section transversale peut changer dans direction axiale. De plus, le trou traversant 17b peut être une structure de fente ayant une section transversale
rectangulaire, ou une structure de fente ayant une exten-
sion en deux dimensions telle qu'une forme de volute ou une forme sinueuse. Lorsque cette structure de fente est adoptée, la largeur d'ouverture W de ce trou traversant 17b correspond à la largeur de fente, et cette largeur de fente est établie à l'intérieur de la plage précédemment mentionnée. Egalement, un relief partiel peut être formé sur la face de paroi intérieure du trou traversant 17b. Il
est inutile de réaliser une pluralité des trous traver-
sants 17b identiques entre eux du point de vue de leurs
dimensions ou de leur forme, et on peut former une plura-
* lité de trous traversants 17b ayant des dimensions et des
formes différentes.
Il est également possible de noyer l'aimant, comme montré en figure 25B, dans la surface circonférentielle
intérieure du trou traversant 17b et de l'orifice de sor-
tie d'évacuation 17d respectifs, dans les sections de pa- roi supérieure et inférieure 17a et 17c dans l'intérieur
creux de l'électrode d'anode 17" ou dans la section de pa-
roi périphérique de celle-ci, ou au voisinage de celles-
ci, de façon à communiquer un champ magnétique à l'inté-
rieur du trou traversant 17b, de l'orifice de sortie
d'évacuation 17d ou de l'intérieur creux. Il est préféra-
ble de disposer l'aimant 10 de telle sorte que les lignes de flux magnétique deviennent parallèles à la direction axiale du trou traversant 17b ou de l'orifice de sortie d'évacuation 17d, ou de telle sorte que les lignes de flux
magnétique deviennent parallèles aux sections de paroi su-
périeure et inférieure 17a et 17d.
Cette formation de champ magnétique au niveau du trou
traversant 17b et de l'intérieur creux permet aux élec-
trons de rester pendant un temps prolongé dans le trou
traversant 17b et l'intérieur creux par ajustage de l'or-
bite des électrons dans le plasma généré à l'intérieur de ceux-ci. Cet ajustage de l'orbite des électrons accélère la génération d'espèces actives et améliore la vitesse de traitement de surface, car le temps d'action des électrons
sur la matière première gazeuse est prolongé sans augmen-
tation de l'énergie d'électrons (température d'électrons).
Les figures 26A à 26D montrent des modifications des-
tinées à faciliter la décharge creuse dans différents trous traversants. Les figures 26A à 26D illustrent la buse à plasma 7 formée au niveau de l'électrode d'anode 6
à titre d'exemple.
Dans une modification montrée en figure 26A, un iso-
lant en forme de plaque 18 est disposé en contact étroit avec la surface inférieure de l'électrode d'anode 6, et une autre électrode 19 constituée d'une plaque métallique est disposée sur la surface inférieure de l'isolant 18. La
buse à plasma 7 est formée de façon à traverser l'élec-
trode d'anode 6, l'isolant 18 et l'autre électrode 19. Une polarisation en courant continu ou une polarisation en courant alternatif (comprenant une haute fréquence ou des impulsions) est appliquée à cette autre électrode 19, de telle sorte que son potentiel soit inférieur au potentiel
de l'électrode d'anode.
Le potentiel de plasma est déterminé par le potentiel d'une électrode en contact avec la plus grande partie de
ce plasma, à savoir, dans ce cas, le potentiel de l'élec-
trode d'anode 6. Par rapport à la surface de cette élec-
trode d'anode 6, la surface de contact avec le plasma de la buse à plasma 7 est extrêmement petite, mais la tension différentielle entre le potentiel de plasma et la buse à
plasma peut être contrôlée à volonté en appliquant une po-
larisation à cette buse à plasma 7. Par conséquent, même dans le cas d'une décharge de faible puissance avec laquelle, ordinairement, la tension différentielle entre
le potentiel de plasma et l'électrode d'anode 6 est ré-
duite, et la décharge de faible puissance ne peut pas gé-
nérer une décharge de plasma creuse au niveau de la buse à plasma 7, la tension différentielle entre le plasma et la
buse à plasma 7 peut être augmentée en appliquant une po-
larisation à l'autre électrode 19, et une décharge de plasma creuse peut être induite au niveau de la buse à
plasma 7.
En ce qui concerne un autre exemple de disposition de l'autre électrode pour établir à volonté le potentiel de la buse à plasma 7, de plus, comme montré en figure 26B, un isolant annulaire 18a et une autre électrode annulaire
19a peuvent être disposés de façon à se chevaucher unique-
ment au niveau de la face inférieure de la partie de for-
mation de la buse à plasma 7 dans l'électrode d'anode 6.
Comme montré en figure 26C, une autre électrode annu-
laire 19b peut être disposée sur la surface de paroi inté-
rieure de la buse à plasma 7 dans l'électrode d'anode 6 par l'intermédiaire d'un isolant annulaire 18b, ou, comme montré en figure 26D, une autre électrode en forme de buse cylindrique 19c peut être disposée sur la surface de paroi intérieure de la buse à plasma 7 dans l'électrode d'anode
6 par l'intermédiaire de l'isolant annulaire 18b.
Cette structure peut être appliquée de façon similaire au cas dans lequel une pluralité de trous traversants sont
formés sur l'électrode d'anode, ou différents trous tra-
versants sont formés, tels que des trous traversants for-
més à travers l'électrode de cathode.
Bien que, dans les différentes réalisations et modifi-
cations précédemment mentionnées, une énergie à haute fré-
quence délivrée par une alimentation de haute fréquence P soit entrée sur l'électrode de génération de plasma, une tension continue peut être appliquée par une alimentation en courant continu. Ou alors, une polarisation peut être appliquée respectivement par une alimentation en courant continu ou en courant alternatif, ou par une alimentation
à impulsions.
De plus, il est également possible de constituer un type triode en installant des électrodes en forme de treillis entre le substrat S disposé sur la chambre de
traitement de surface 4 et la buse à plasma 7, et d'appli-
quer différentes polarisations.
Bien que l'intérieur de l'enceinte 2 du dispositif de traitement de surface soit verticalement divisé en deux chambres, la chambre de génération de plasma 3 du dessus et la chambre de traitement de substrat 4 du dessous, à l'aide d'une électrode d'anode 6 dans chaque réalisation
mentionnée ci-dessus, la présente invention n'est pas li-
mitée à un tel dispositif.
Les figures 27 à 32 sont des coupes transversales ho-
rizontales d'un dispositif de traitement de surface selon d'autres réalisations de la présente invention. Dans un dispositif de traitement de surface 41 selon une onzième réalisation de la présente invention montré en figure 27, une enceinte 32 se compose d'un cylindre comportant un fond, et la surface intérieure de paroi périphérique est utilisée comme table de support de substrat 9. Dans ce
cas, une électrode de cathode 35 constituée par un cylin-
dre de petit diamètre et une électrode d'anode 36 consti-
tuée par un cylindre dont le diamètre est supérieur à ce-
lui de l'électrode de cathode 35 sont disposées dans l'en-
ceinte 32 avec leurs axes centraux alignés.
Une pluralité de buses à plasma 37 ayant une forme et une disposition prédéterminées sont formées au niveau de l'électrode d'anode 36, la zone entre l'électrode d'anode 36 et l'enceinte 32 constituant une chambre de traitement
de substrat 34 selon la présente invention, et la zone en-
tre l'électrode de cathode 35 et l'électrode d'anode 36 constituant une chambre de génération de plasma 33 selon la présente invention. De plus, une pluralité de cavités a parallèles à la direction axiale sont formées sur la face de paroi périphérique de l'électrode de cathode 35
avec une différence de phase prédéterminée. De plus, lors-
que l'électrode de cathode 35 est un élément creux, un trou traversant peut être formé à la place de la cavité
a, et on peut délivrer à son intérieur creux un gaz por-
teur et une matière première gazeuse.
D'une autre façon, dans un dispositif de traitement de
surface 42 d'une douzième réalisation de la présente in-
vention montrée en figure 28, le cylindre de diamètre maximal peut constituer l'électrode de cathode 35, et l'électrode d'anode 36 constituée par un cylindre peut être disposée à l'intérieur de celui-ci avec leurs axes alignés, et, de plus, un cylindre de plus petit diamètre 39 peut être disposé au centre de celles-ci. Dans ce cas,
la surface circonférentielle extérieure du cylindre cen-
tral 39 constitue une table de support pour le substrat W. Une pluralité des cavités 35a parallèles à la direction
axiale sont formées sur la surface circonférentielle inté-
rieure de l'électrode de cathode 35 avec une différence de phase prédéterminée. Une pluralité des buses à plasma 37 ayant une forme et une disposition prédéterminées sont formées au niveau de l'électrode d'anode 36. De plus, l'enceinte peut être disposée davantage à l'extérieur de
l'électrode de cathode 35.
Dans les onzième et douzième réalisations montrées dans les figures 27 et 28, également, une décharge d'anode creuse est générée au niveau de la buse à plasma 37 grâce à l'établissement de la largeur d'ouverture de la buse à
l'intérieur de la plage prescrite par la présente inven-
tion. Egalement, une décharge de cathode creuse est géné-
rée au niveau de la cavité 35a, grâce à l'établissement de la largeur d'ouverture de la cavité 35a à l'intérieur de
la plage prescrite par la présente invention.
De plus, du fait que l'on forme un élément creux à
l'aide de l'élément d'anode 35 et de l'électrode de ca-
thode 36 et que l'on forme un trou traversant sur la sur-
face opposée de l'électrode respective, une décharge creuse peut être générée au niveau de ce trou traversant, et, de plus, une décharge creuse peut être générée au moins dans une partie de l'intérieur creux. Dans ce cas, le plasma contribuant au traitement de surface devient plus dense, ce qui améliore la vitesse de traitement de surface. Ce dispositif dans lequel l'électrode d'anode 35 et l'électrode de cathode 36 sont constituées par un cylindre est utile pour appliquer un traitement de surface à un
substrat cylindrique tel qu'un tambour photosensible.
D'une autre façon, il est préférable, dans un traitement de formation de film continu d'un rouleau à l'autre, de gravure ou dans un autre traitement de surface appliqué à un substrat constitué par un élément de film en forme de bande, de tirer parti de la surface courbe d'une partie du cylindre, parce que l'espace requis pour le dispositif peut être réduit. Les électrodes de génération de plasma respectives peuvent être sphériques et avoir une forme de section
transversale comme montré dans les figures 27 et 28 précé-
demment mentionnées. Ou alors, les électrodes de généra-
tion de plasma respectives 35 et 36 peuvent être formées
de telle sorte que leur section transversale soit une par-
tie d'une surface courbe telle qu'un cylindre semi-
circulaire ou une hémisphère, comme dans les dispositifs de traitement de surface 43 et 44 selon les treizième et quatorzième réalisations de la présente invention montrées dans les figures 29 et 30. Par conséquent, lorsque l'on réalise l'électrode de génération de plasma sous la forme d'une surface sphérique, hémisphérique ou partiellement
courbe, un traitement de surface uniforme peut être appli-
qué à des substrats de forme spéciale tels qu'un semicon-
ducteur sphérique.
De plus, dans les dispositifs de traitement de surface et 46 selon les quinzième et seizième réalisations de la présente invention montrées dans les figures 31 et 32, les électrodes de génération de plasma 35 et 36 peuvent être un cylindre ayant une section transversale carrée. Ou alors, elles peuvent avoir une forme cylindrique avec une
section transversale polygonale ou une forme polyédrique.
Si l'on donne aux électrodes de génération de plasma 35 et 36 une forme de prisme, l'encombrement du dispositif peut être réduit. De plus, si l'on constitue ces électrodes de génération de plasma 35 et 36 sous une forme variable à
l'aide d'un élément creux, et si l'on forme un trou tra-
versant à la surface opposée d'électrodes respectives, une décharge creuse peut être générée au niveau de ce trou
traversant, et, de plus, une décharge creuse peut être gé-
nérée dans au moins une partie de l'intérieur creux, et le
plasma peut devenir plus dense.
Les figures 37 et 38 montrent un dispositif de traite-
ment de surface 50 selon une dix-septième réalisation de la présente invention. Dans cette réalisation, des numéros
de référence identiques sont données aux éléments identi-
ques à ceux de la réalisation précédemment mentionnée, et
une description détaillée de ceux-ci sera omise.
Une paire d'électrodes de génération de plasma 11 et
51 sont disposées en parallèle verticalement dans la cham-
bre de génération de plasma 3. L'électrode supérieure (électrode de cathode) 11, connectée à une alimentation à haute fréquence P, de la paire d'électrodes 11 et 51, est
fixée à la paroi supérieure 2a de l'enceinte 2 par l'in-
termédiaire d'un isolant 2c, tandis que l'électrode infé-
rieure mise à la masse (électrode d'anode) 26 sépare la
chambre de génération de plasma 3 et la chambre de traite-
ment de substrat 4. L'électrode d'anode 51 est fixée à la paroi supérieure 2a de l'enceinte mise à la masse 2, mais sans être limitée à cela, et elle peut être fixée dans
n'importe quelle position de l'enceinte 2.
Une buse à plasma en forme de fente 52 comportant une
surface supérieure en forme de volute comme montré en fi-
gure 38 est montrée au centre de l'électrode d'anode 51, et la chambre de génération de plasma 3 et la chambre de traitement de substrat 4 sont reliées l'une à l'autre par l'intermédiaire de cette buse à plasma 52. Ici, séparément
de l'électrode d'anode 51, une plaque de séparation desti-
née à définir la chambre de génération de plasma 3 et la chambre de traitement de substrat 4 peut être disposée, et
une buse à plasma peut être formée sur la plaque de sépa-
ration. Dans cette réalisation, il est important que la buse à plasma 52 soit en forme de volute, ou, autrement dit,
qu'elle soit mise sous une forme de fente allongée sensi-
blement continue qui peut être tracée d'un simple trait de pinceau. De plus, la largeur de fente W de cette buse à plasma 52 est longitudinalement uniforme, et l'intervalle de volute L est rendu égal à la largeur de fente W. de préférence, la largeur de fente W est établie dans une plage satisfaisant soit à W 5L(e) soit à W 20X, et il
est plus préférable de l'établir dans une plage satisfai-
sant à X/5 5 W. L(e) est un trajet libre moyen d'électrons
vis-à-vis d'espèces atomiques ou moléculaires (espèces ac-
tives) du plus petit diamètre parmi les espèces de la ma-
tière première gazeuse et les espèces atomiques ou molécu-
laires électriquement neutres (espèces actives) produites
à partir de celles-ci par décomposition, sous les condi-
tions de génération de plasma désirées, et X est une
épaisseur d'une couche de gaine générée sous les condi-
tions de génération de plasma désirées.
Dans cette dix-septième réalisation, une décharge lu-
minescente d'anode creuse est induite dans la buse à plas-
ma en forme de volute 52. En ce qui concerne l'induction de plasma dans la volute formée sous une forme de fente allongée sensiblement continue qui peut être tracée d'un
simple trait de pinceau, on pense qu'une décharge lumines-
cente d'anode creuse est induite dans une position arbi-
traire à l'intérieur de la buse à plasma 52, et que la dé-
charge luminescente d'anode creuse se propage dans la to-
talité de l'intérieur de la buse à plasma 52 par réaction
en chaîne.
La densité du plasma introduit dans la chambre de traitement de substrat 4 est accrue, parce que la décharge luminescente d'anode creuse est induite dans la buse à plasma 52. De plus, dans cette réalisation, la buse à plasma 52 est formée sensiblement sur une large plage de l'électrode d'anode 51, grâce à la mise en forme de la buse à plasma 52 sous une forme de volute, et, de plus, un
traitement de surface sensiblement uniforme peut être réa-
lisé sur une large plage du substrat S, parce que l'on fait jaillir le plasma depuis la totalité de la longueur
de la buse à plasma 52.
Dans cette réalisation, la génération d'une décharge luminescente d'anode creuse au niveau de la buse à plasma 52 est encore davantage accélérée, parce que la largeur de fente W de la buse à plasma 52 est établie dans une plage
satisfaisant soit à W/5 s 5L(e) soit à W5/ s 20x.
De plus, comme l'énergie d'électrons dans le plasma
généré dans la chambre de génération de plasma 3 est ré-
duite de façon appropriée à une intensité suffisante pour générer des espèces actives et insuffisante pour générer des ions lorsqu'ils traversent la buse à plasma 52 qui constitue la zone de génération de décharge d'anode creuse, le plasma introduit dans la chambre de traitement
de substrat 4 a son nombre d'espèces contribuant à la for-
mation de film qui augmente encore davantage, et il aug-
mente de densité, ce qui augmente de façon remarquable la vitesse de formation de film. De plus, également, comme l'énergie d'ions dans le plasma chute également lorsqu'il traverse la buse à plasma 7 dans laquelle est générée la décharge luminescente d'anode creuse, le plasma introduit dans la chambre de traitement de substrat 4 contient moins
d'ions endommageant le substrat par collision avec celui-
ci, ce qui permet par conséquent d'obtenir une formation
de film de qualité élevée.
A présent, l'effet de l'invention selon la dix-
septième réalisation va être décrit avec des exemples et
par comparaison avec des exemples comparatifs.
"Exemple 1"
Dans le dispositif de traitement de surface 50, lors-
que le traitement de formation de film mince de silicium est réalisé avec l'anode 51 ayant une épaisseur de 7,0 mm, une largeur de fente W de 8,0 mmde la buse à plasma en forme de volute 52 formée sur l'électrode d'anode 51, et un intervalle de volute L de 8,0 mm, le film de silicium obtenu cristallise même lorsque la vitesse de traitement de film est accrue. La largeur de fente utilisée pour le traitement de formation de film satisfait aux conditions
d'induction de décharge creuse.
"Exemple comparatif" Lorsque le traitement de formation de film mince de silicium est effectué de façon similaire à l'exemple 1 avec une anode de 7,0 mm d'épaisseur, dans laquelle une buse à plasma circulaire unique de 50 mm de diamètre est formée au centre, à la place de l'anode 51 du dispositif de traitement de surface 50, le film de silicium obtenu est amorphe lorsque la vitesse de traitement de film est accrue, et on ne peut pas obtenir un film de silicium
cristallin. Le diamètre d'orifice utilisé pour ce traite-
ment de formation de film ne satisfait pas aux conditions
d'induction de décharge creuse.
[Tableau 2]
Exemple 1 Exemple comparatif
Forme de la buse à Forme de fente en Diamètre circu-
plasma volute laire: 5,0 mm Largeur de fente W: 8,0 mm
Intervalle de vo-
lute L: 8,0 mm Epaisseur d'élec- 7,0 mm 7,0 mm trode d'anode Conditions de lar- Satisfaites Non satisfaites geur de fente W s (e) ou W s 20X Vitesse de forma- 6,0 A/s 5,0 A/s tion de film Nature du film Cristallin Amorphe
Bien que, dans la dix-septième réalisation précédem-
ment mentionnée, l'électrode d'anode 51 soit mise à la
masse, une polarisation peut cependant être appliquée res-
pectivement sur les électrodes 11 et 51 par une alimenta-
tion en courant continu ou en courant alternatif, ou par une alimentation à impulsions. Bien que la chambre de gé-
nération de plasma 3 et la chambre de traitement de subs-
trat 4 soient définies par l'électrode d'anode 51 dans la réalisation mentionnée ci-dessus, une plaque de séparation destinée à définir la chambre de génération de plasma 3 et la chambre de traitement de substrat 4 peut être disposée,
séparément de l'électrode d'anode 51.
Lorsqu'un traitement de cendrage, de gravure ou un au-
tre traitement de surface est effectué à l'aide du dispo-
sitif de traitement de surface précédemment mentionné, le traitement de surface peut être effectué à une température
plus basse et à une vitesse plus élevée que précédemment.
A présent, une modification préférée de la buse à
plasma qui constitue une partie caractéristique de la pré-
sente invention va être décrite.
De façon similaire à la buse à plasma précédemment
mentionnée 52, une buse à plasma 53 montrée dans les figu-
res 39A et 39B a également une face supérieure en forme de volute, et des nervures 53a pour relier la largeur de la fente en une pluralité de points sont formées. La forme de la buse à plasma 53 peut être maintenue de façon stable en formant les nervures 53a en une pluralité de points, même lorsque la plaque de séparation (électrode d'anode 51),
dans laquelle, par exemple, la buse à plasma 53 est for-
mée, est mince.
Pour la formation de cette nervure 53a, il est impor-
tant que la buse à plasma 53 soit sensiblement continue.
Autrement dit, il est important de ne pas diviser le plas-
ma généré dans la buse à plasma 53, en réduisant les di-
mensions dans la direction de l'épaisseur de la nervure 53a de telle sorte qu'elle soit inférieure à l'épaisseur de la plaque, ou en réduisant la dimension de la largeur
de la nervure 53a.
Une buse à plasma 54 montrée en figure 40 a une sur-
face supérieure de forme sinueuse en zig-zag. Cette buse à plasma 54 a une symétrie ponctuelle par rapport au centre
de la plaque de séparation (électrode d'anode 51).
Des buses à plasma 55, 55 montrées en figure 41 ont
également une surface supérieure de forme sinueuse en zig-
zag. Il s'agit de la forme de la buse à plasma 54 montrée dans la figure 40 précédemment mentionnée, et divisée au niveau de la partie centrale de la plaque de séparation (électrode d'anode 51). Les deux buses à plasma 55, 55 sont formées avec une symétrie ponctuelle par rapport au
centre de la plaque de séparation (électrode d'anode 51).
Une buse à plasma 56 montrée en figure 42 a une sur-
face supérieure sensiblement en forme de U reliant des li-
gnes droites. De plus, la section d'extrémité ouverte peut
être reliée pour avoir une forme rectangulaire, et mainte-
nue à l'aide d'une nervure comme mentionné ci-dessus, de
telle sorte que la partie centrale ne puisse pas tomber.
Une buse à plasma 57 montrée en figure 43 a une sur-
face supérieure en forme de volute, et, de plus, sa lar-
geur de fente W est réduite graduellement d'une largeur de fente W1 au voisinage du centre de la plaque de séparation (électrode d'anode 51) à la largeur de fente de périphérie
extérieure W2. Dans cette modification, par exemple, lors-
que du plasma est généré par application d'une alimenta-
tion à haute fréquence dont la fréquence est de 13,56 MHz, si la largeur de fente W de la buse à plasma en forme de volute 52 est rendue constante, comme dans le dispositif de traitement de surface 50 montré dans les figures 37 et
38 précédemment mentionnées, le plasma atteignant le subs-
trat S tend à être faible au niveau de la partie centrale,
et devient plus fort en direction d'une partie circonfé-
rentielle extérieure. Lorsque la densité de plasma est in-
égale comme dans ce cas, la densité de plasma atteignant
effectivement la surface du substrat S peut être uniformi-
sée par réduction graduelle de la largeur de fente W du
voisinage du centre de la plaque de séparation à la cir-
conférence extérieure comme montré en figure 43, et une qualité de film et une distribution d'épaisseur de film stables peuvent être obtenues à une vitesse de formation
de film élevée.
"Exemple 2"
La buse à plasma 57 montrée en figure 43 est adoptée pour un traitement de formation de film mince de silicium comme dans l'exemple 1, en établissant la largeur de fente Wl au voisinage du centre de la plaque de séparation à 8,0
mm, la largeur de fente W2 au voisinage de la circonfé-
rence extérieure à 6,0 mm, et l'intervalle de volute D à 8,0 mm. En résultat, un film mince de silicium cristallin est obtenu, et sa distribution d'épaisseur de film est
plus uniforme que dans l'exemple 1.
*[Tableau 3]
Exemple 1 Exemple 2 Forme de la buse à Forme de fente de Forme de fente de plasma volute volute Largeur de fente Largeur de fente W: constante W: variable 8,0 mm Wl1: 8,0 mm Intervalle de vo- W2: 6,0 mm
lute L: 8,0 mm Intervalle de vo-
lute L: 8,0 mm Epaisseur d'élec- 7,0 mm 7,0 mm trode d'anode Distribution 0,75 1,00 d'épaisseur de film (uniformité)* Nature du film Cristallin Cristallin * La distribution d'épaisseur de film est normalisée en divisant la partie la plus mince du film formé par la
partie la plus épaisse.
Une buse à plasma 58 montrée dans les figures 44A et 44B a une surface supérieure en forme de volute et une largeur de fente constante W, et, de plus, son épaisseur de fente D, à savoir la dimension d'épaisseur de sa plaque
de séparation (électrode d'anode 51), augmente graduelle-
ment du centre à la périphérie extérieure. Avec la buse à plasma 58 montrée dans les figures 44A et 44B, la densité de plasma atteignant effectivement la surface du substrat S peut être uniformisée par augmentation graduelle de la profondeur de fente D du voisinage du centre de la plaque
de séparation à la circonférence extérieure, et une quali-
té de film et une distribution d'épaisseur de film stables peuvent être obtenues à une vitesse de formation de film élevée. Avec la buse à plasma 57 montrée dans la figure 43 précédemment mentionnée, la largeur de fente W est réduite graduellement du centre de l'électrode d'anode 51 o est formée la buse à plasma 57 à la périphérie extérieure, tandis que la profondeur de fente D de la buse à plasma 58 montrée dans les figures 44A et 44B augmente graduellement
à partir du centre jusqu'à la largeur de fente de périphé-
rie extérieure W2. Il s'agit là d'une mesure contre une
tendance qui est que, lorsque du plasma est généré par ap-
plication d'une alimentation à haute fréquence dont la fréquence est de 13,56 MHz comme mentionné ci-dessus, la densité de plasma atteignant le substrat S tend à être faible au niveau de la partie centrale, et devient plus
forte vers la partie circonférentielle extérieure.
Cependant, lorsque la fréquence est multipliée ap-
proximativement par 8, lorsqu'elle est par exemple d'envi-
ron 100 MHz, contrairement à la tendance précédemment men-
tionnée, on observe que la densité de plasma tend à dimi-
nuer du centre à la périphérie extérieure. Dans ce cas, il est préférable d'accroître la largeur de fente de la buse
à plasma W du centre à la périphérie extérieure, ou de ré-
duire la profondeur de fente D du centre à la périphérie extérieure. De toutes façons, la largeur de fente et la profondeur de fente de la buse à plasma doivent être éta-
blies de façon appropriée selon la densité de plasma at-
teignant le substrat S en fonction de différentes condi-
tions de génération de plasma telles que la fréquence de
l'énergie appliquée, la pression de la chambre, la tempé-
rature, ou autres.
Claims (19)
1. Dispositif de traitement de surface (26, 30) pour réaliser un plasma de matière première gazeuse en générant un plasma, dans une enceinte (2) comportant des moyens de génération de plasma (5", 6"; 5', 14), un orifice d'en-
trée de matière première gazeuse (8) et une table de sup-
port de substrat (9), à l'aide des moyens de génération de plasma (5", 6"; 5', 14) et pour faire subir un traitement
au plasma à la surface d'un substrat (S) disposé sur la-
dite table de support de substrat (9), caractérisé en ce que: ladite enceinte (2) est définie par deux chambres, une chambre de génération de plasma (3) munie desdits moyens de génération de plasma (5", 6"; 5', 14) et une chambre de traitement de substrat (4) comportant ladite table de support de substrat (9); ladite chambre de traitement de substrat (4) et ladite
chambre de génération de plasma (3) sont reliées par l'in-
termédiaire d'une ou plusieurs buses à plasma (7, 7"); et
au moins l'une desdites buses à plasma (7, 7") consti-
tue une zone de génération de décharge creuse.
2. Dispositif de traitement de surface (25) pour réa-
liser un plasma de matière première gazeuse en générant un
plasma, dans une enceinte (2) comportant des moyens de gé-
nération de plasma (5, 6'), un orifice d'entrée de matière première gazeuse (8) et une table de support de substrat (9), à l'aide des moyens de génération de plasma (5, 6"), et pour faire subir un traitement au plasma à la surface
d'un substrat (S) disposé sur la table de support de subs-
trat (9), caractérisé en ce que: ladite enceinte (2) est définie par deux chambres, une chambre de génération de plasma (3) comportant lesdits moyens de génération de plasma (5, 6') et une chambre de
traitement de substrat (4) comportant ladite table de sup-
port de substrat (9); ladite chambre de traitement de substrat (4) et ladite
chambre de génération de plasma (3) sont reliées par l'in-
termédiaire d'une ou plusieurs buses à plasma (7'); et
une électrode de génération de plasma creuse (5) com-
prenant une ou plusieurs zones de génération de décharge creuse (5a) est disposée dans ladite chambre de génération
de plasma (3).
3. Dispositif de traitement de surface (1, 21 à 24, 27
à 29, 40 à 46, 50) pour réaliser un plasma de matière pre-
mière gazeuse en générant un plasma, dans une enceinte (2, 32) comportant des moyens de génération de plasma (5, 6; 11, 6; 5, 14; 11, 14; 11, 17; 35, 36; 11, 51), un orifice d'entrée de matière première gazeuse (8, 11d) et une table de support de substrat (9, 39), à l'aide des moyens de génération de plasma (5, 6; 11, 6; 5, 14; 11, 14; 11, 17; 35, 36; 11, 51) et pour faire subir un
traitement au plasma à la surface d'un substrat (S) dispo-
sé sur la table de support de substrat (9, 17c, 39), ca-
ractérisé en ce que: ladite enceinte (2, 32) est définie par deux chambres, une chambre de génération de plasma (3, 33) comportant lesdits moyens de génération de plasma (5, 6; 11, 6; 5, 14; 11, 14; 11, 17; 35, 36; 11, 51) et une chambre de traitement de substrat (4, 34) comportant ladite table de support de substrat (9, 17c, 39); ladite chambre de traitement de substrat (4, 34) et
ladite chambre de génération de plasma (3, 33) sont re-
liées par l'intermédiaire d'une ou plusieurs buses à plas-
ma (7, 17b, 37, 52 à 58); au moins l'une desdites buses à plasma (7, 17b, 37, 52 à 58) constitue une zone de génération de décharge creuse; et une électrode de génération de plasma creuse (5, 11,
) comprenant une ou plusieurs zones de génération de dé-
charge creuse (5a, 11b, 35a) est disposée dans ladite
chambre de génération de plasma (3, 33).
4. Dispositif de traitement de surface selon l'une des
revendications 1 à 3, caractérisé en ce qu'une largeur
d'ouverture W(1) de la plus petite partie sur au moins l'une des buses à plasma (7, 7", 17, 37, 52) est établie dans une plage satisfaisant soit à W(1) < 5L(e) soit à
W(1) < 20X;
o L(e) est un trajet libre moyen d'électrons vis-à-
vis d'espèces atomiques ou moléculaires (espèces actives) du plus petit diamètre parmi les espèces de la matière première gazeuse et d'espèces atomiques ou moléculaires
électriquement neutres (espèces actives) produites à par-
tir de celles-ci par décomposition, sous les conditions de génération de plasma désirées; et X est une épaisseur d'une couche de gaine générée sous
les conditions de génération de plasma désirées.
5. Dispositif de traitement de surface selon l'une des
revendications 1 à 3, caractérisé en ce que ladite buse à
plasma (52 à 58) forme une forme de fente allongée et sen-
siblement continue qui peut être tracée d'un simple trait
de pinceau.
6. Dispositif de traitement de surface selon la reven-
dication 5, caractérisé en ce que ladite buse à plasma
(52, 53, 57, 58) est en forme de volute.
7. Dispositif de traitement de surface selon la reven-
dication 5, caractérisé en ce que ladite buse à plasma
(54, 55) est de forme sinueuse.
8. Dispositif de traitement de surface selon la reven-
dication 5, caractérisé en ce que ladite buse à plasma
(56) est en forme de lignes droites reliées.
9. Dispositif de traitement de surface selon la reven-
dication 5, caractérisé en ce que ladite buse à plasma
(54, 55) est formée symétriquement par rapport à son cen-
tre.
10. Dispositif de traitement de surface selon la re-
vendication 5, caractérisé en ce qu'une largeur de fente W de la buse à plasma (52 à 58) est établie dans une plage satisfaisant soit à W < 5L(e) soit à W < 20X;
o L(e) est un trajet libre moyen d'électrons vis-à-
vis d'espèces atomiques ou moléculaires (espèces actives) du plus petit diamètre parmi les espèces de la matière première gazeuse et d'espèces atomiques ou moléculaires
électriquement neutres (espèces actives) produites à par-
tir de celles-ci par décomposition, sous les conditions de génération de plasma désirées; et X est une épaisseur d'une couche de gaine générée sous
les conditions de plasma désirées.
11. Dispositif de traitement de surface selon la re-
vendication 5, caractérisé en ce que ladite buse à plasma (57) a sa largeur de fente qui varie d'un centre à une
circonférence extérieure de celle-ci.
12. Dispositif de traitement de surface selon la re-
vendication 5, caractérisé en ce que ladite buse à plasma (58) a sa profondeur de fente qui varie d'un centre à une
circonférence extérieure de celle-ci.
13. Dispositif de traitement de surface selon la re-
vendication 2 ou 3, caractérisé en ce que ladite électrode de génération de plasma creuse (5, 35) comprend une ou plusieurs cavités (5a, 35a) sur une surface opposée au plasma généré par les moyens de génération de plasma (5, 6; 35, 36), et en ce qu'au moins l'une des cavités (5a,
a) constitue la zone de génération de décharge creuse.
14. Dispositif de traitement de surface selon la re-
vendication 2 ou 3, caractérisé en ce que ladite électrode de génération de plasma creuse (11) est un corps creux, en ce que l'électrode (11) comprend un ou plusieurs trous traversants (11b) communiquant avec un intérieur creux d'une partie opposée au plasma généré par les moyens de génération de plasma (6), et en ce qu'au moins l'un
desdits trous traversants (llb) constitue la zone de géné-
ration de décharge creuse.
15. Dispositif de traitement de surface selon la re-
vendication 13 ou 14, caractérisé en ce qu'une largeur d'ouverture W(2) de la plus petite partie de la cavité (5a, 35a) ou du trou traversant (11b) est établie dans une plage satisfaisant soit à W(2) s 5L(e) soit à W(2) s 20X;
o L(e) est un trajet libre moyen d'électrons vis-à-
vis d'espèces atomiques ou moléculaires (espèces actives) du plus petit diamètre parmi les espèces de la matière première gazeuse et d'espèces atomiques ou moléculaires
électriquement neutres (espèces actives) produites à par-
tir de celles-ci par décomposition, sous les conditions de génération de plasma désirées; et X est une épaisseur d'une couche de gaine générée sous
les conditions de génération de plasma désirées.
16. Dispositif de traitement de surface selon la re-
vendication 2, 3 ou 14, caractérisé en ce que ladite élec-
trode de génération de plasma creuse (11) est un corps
creux, en ce que ladite électrode (11) comprend un ou plu-
sieurs trous traversants (11b) communiquant avec un inté-
rieur creux sur une partie opposée au plasma généré par les moyens de génération de plasma (11, 6), et en ce
qu'une zone de génération de décharge creuse est consti-
tuée dans au moins une partie de l'intérieur creux.
17. Dispositif de traitement de surface selon la re-
vendication 16, caractérisé en ce qu'une distance entre
faces opposées H dans l'intérieur creux le long de la di-
rection de formation dudit trou traversant (11b) de
l'électrode de génération de plasma creuse (11) est éta-
blie dans une plage satisfaisant soit à H s 5L(e) soit à H s 20X;
o L(e) est un trajet libre moyen d'électrons vis-à-
vis d'espèces atomiques ou moléculaires (espèces actives) du plus petit diamètre parmi les espèces de la matière première gazeuse et d'espèces atomiques ou moléculaires
électriquement neutres (espèces actives) produites à par-
tir de celles-ci par décomposition, sous les conditions de génération de plasma désirées; et X est une épaisseur d'une couche de gaine générée sous les conditions de génération de plasma désirées.
18. Dispositif de traitement de surface selon l'une
des revendications 1 à 17, caractérisé en ce qu'un champ
magnétique est formé au voisinage de ladite buse à plasma (7) et/ou au voisinage de ladite cavité (5a), dudit trou
traversant (llb), et/ou dans l'intérieur creux.
19. Dispositif de traitement de surface selon l'une
des revendications 1 à 17, caractérisé en ce que ledit
dispositif comprend des moyens d'application de potentiel
pour appliquer un potentiel désiré au substrat (S).
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP34710899 | 1999-12-07 | ||
JP2000037482A JP2001230208A (ja) | 2000-02-16 | 2000-02-16 | 表面処理装置 |
JP2000066106A JP4212210B2 (ja) | 1999-12-07 | 2000-03-10 | 表面処理装置 |
Publications (1)
Publication Number | Publication Date |
---|---|
FR2801813A1 true FR2801813A1 (fr) | 2001-06-08 |
Family
ID=27341235
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
FR0015934A Withdrawn FR2801813A1 (fr) | 1999-12-07 | 2000-12-07 | Dispositif de traitement de surface par plasma, en particulier pour former un film sur un substrat |
Country Status (3)
Country | Link |
---|---|
US (4) | US20010006093A1 (fr) |
DE (1) | DE10060002B4 (fr) |
FR (1) | FR2801813A1 (fr) |
Families Citing this family (223)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000026975A (ja) * | 1998-07-09 | 2000-01-25 | Komatsu Ltd | 表面処理装置 |
US6502530B1 (en) * | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
US20040224504A1 (en) * | 2000-06-23 | 2004-11-11 | Gadgil Prasad N. | Apparatus and method for plasma enhanced monolayer processing |
US6664740B2 (en) | 2001-02-01 | 2003-12-16 | The Regents Of The University Of California | Formation of a field reversed configuration for magnetic and electrostatic confinement of plasma |
US6611106B2 (en) * | 2001-03-19 | 2003-08-26 | The Regents Of The University Of California | Controlled fusion in a field reversed configuration and direct energy conversion |
US7115184B2 (en) * | 2001-03-28 | 2006-10-03 | Tadahiro Ohmi | Plasma processing device |
US6548416B2 (en) * | 2001-07-24 | 2003-04-15 | Axcelis Technolgoies, Inc. | Plasma ashing process |
MXPA04000297A (es) * | 2001-07-24 | 2004-05-04 | Toppan Printing Co Ltd | Pelicula depositada en fase vapor. |
US6887341B2 (en) * | 2001-11-13 | 2005-05-03 | Tokyo Electron Limited | Plasma processing apparatus for spatial control of dissociation and ionization |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
DE10211332B4 (de) * | 2002-03-14 | 2009-07-02 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Vorrichtung und Verfahren zur Aktivierung von Gasen im Vakuum sowie Verwendung der Vorrichtung |
DE10326135B4 (de) * | 2002-06-12 | 2014-12-24 | Ulvac, Inc. | Entladungsplasma-Bearbeitungsanlage |
JP5160730B2 (ja) * | 2002-09-19 | 2013-03-13 | ジェネラル・プラズマ・インコーポレーテッド | ビーム状プラズマ源 |
US7408225B2 (en) * | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
DE112005003336T5 (de) * | 2005-01-05 | 2007-11-22 | ULVAC, Inc., Chigasaki | Verfahren zum Herstellen magnetischer mehrfachgeschichteter Filme |
US8031824B2 (en) * | 2005-03-07 | 2011-10-04 | Regents Of The University Of California | Inductive plasma source for plasma electric generation system |
US9607719B2 (en) * | 2005-03-07 | 2017-03-28 | The Regents Of The University Of California | Vacuum chamber for plasma electric generation system |
US9123512B2 (en) | 2005-03-07 | 2015-09-01 | The Regents Of The Unviersity Of California | RF current drive for plasma electric generation system |
KR101133090B1 (ko) * | 2005-03-30 | 2012-04-04 | 파나소닉 주식회사 | 불순물 도입 장치 및 불순물 도입 방법 |
JP5055114B2 (ja) * | 2005-03-30 | 2012-10-24 | パナソニック株式会社 | プラズマドーピング方法 |
BRPI0611436B1 (pt) * | 2005-05-04 | 2018-04-24 | Oerlikon Trading Ag, Trübbach | Amplificador de plasma para instalação de tratamento de plasma |
CN101228288B (zh) * | 2005-07-26 | 2011-12-28 | Psm有限公司 | 注射型等离子体处理设备和方法 |
US8328982B1 (en) * | 2005-09-16 | 2012-12-11 | Surfx Technologies Llc | Low-temperature, converging, reactive gas source and method of use |
DE102005049266B4 (de) * | 2005-10-14 | 2007-12-06 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Vorrichtung und Verfahren zur Plasmabehandlung von Objekten |
KR101019293B1 (ko) * | 2005-11-04 | 2011-03-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마-강화 원자층 증착 장치 및 방법 |
JP5280861B2 (ja) * | 2006-01-19 | 2013-09-04 | エーエスエム アメリカ インコーポレイテッド | 高温aldインレットマニホールド |
JP4497323B2 (ja) * | 2006-03-29 | 2010-07-07 | 三菱電機株式会社 | プラズマcvd装置 |
US7603963B2 (en) * | 2006-05-02 | 2009-10-20 | Babcock & Wilcox Technical Services Y-12, Llc | Controlled zone microwave plasma system |
US8632651B1 (en) | 2006-06-28 | 2014-01-21 | Surfx Technologies Llc | Plasma surface treatment of composites for bonding |
US20080156264A1 (en) | 2006-12-27 | 2008-07-03 | Novellus Systems, Inc. | Plasma Generator Apparatus |
JP5168907B2 (ja) | 2007-01-15 | 2013-03-27 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
US7622721B2 (en) * | 2007-02-09 | 2009-11-24 | Michael Gutkin | Focused anode layer ion source with converging and charge compensated beam (falcon) |
FR2912864B1 (fr) * | 2007-02-15 | 2009-07-31 | H E F Soc Par Actions Simplifi | Dispositif pour generer un plasma froid dans une enceinte sous vide et utilisation du dispositif pour des traitements thermochimiques |
US8528498B2 (en) * | 2007-06-29 | 2013-09-10 | Lam Research Corporation | Integrated steerability array arrangement for minimizing non-uniformity |
US9105449B2 (en) * | 2007-06-29 | 2015-08-11 | Lam Research Corporation | Distributed power arrangements for localizing power delivery |
KR20150011014A (ko) * | 2007-11-01 | 2015-01-29 | 오를리콘 트레이딩 아크티엔게젤샤프트, 트뤼프바흐 | 처리된 표면의 제조방법 및 진공 플라즈마 소스 |
MY163723A (en) * | 2008-01-15 | 2017-10-13 | First Solar Inc | System and method for depositing a material on a substrate |
US8192806B1 (en) * | 2008-02-19 | 2012-06-05 | Novellus Systems, Inc. | Plasma particle extraction process for PECVD |
US8110068B2 (en) * | 2008-03-20 | 2012-02-07 | Novellus Systems, Inc. | Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes |
US9591738B2 (en) * | 2008-04-03 | 2017-03-07 | Novellus Systems, Inc. | Plasma generator systems and methods of forming plasma |
CN102017056B (zh) * | 2008-05-02 | 2013-11-20 | 东电电子太阳能股份公司 | 用于衬底的等离子体处理的等离子体处理设备和方法 |
EP2319087A1 (fr) * | 2008-06-11 | 2011-05-11 | Solar Implant Technologies Inc. | Fabrication de cellule solaire avec facettage et implantation ionique |
KR100978859B1 (ko) * | 2008-07-11 | 2010-08-31 | 피에스케이 주식회사 | 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치 |
US8168268B2 (en) * | 2008-12-12 | 2012-05-01 | Ovishinsky Innovation, LLC | Thin film deposition via a spatially-coordinated and time-synchronized process |
US8916022B1 (en) | 2008-09-12 | 2014-12-23 | Novellus Systems, Inc. | Plasma generator systems and methods of forming plasma |
TWI380743B (en) * | 2008-12-12 | 2012-12-21 | Ind Tech Res Inst | Casing and jet type plasma system |
JP2010238871A (ja) * | 2009-03-31 | 2010-10-21 | Sanyo Electric Co Ltd | 太陽電池の製造方法及びプラズマ処理装置 |
DE102009018912A1 (de) * | 2009-04-28 | 2010-11-18 | Leybold Optics Gmbh | Verfahren zur Erzeugung eines Plasmastrahls sowie Plasmaquelle |
US8749053B2 (en) * | 2009-06-23 | 2014-06-10 | Intevac, Inc. | Plasma grid implant system for use in solar cell fabrications |
US10049859B2 (en) * | 2009-07-08 | 2018-08-14 | Aixtron Se | Plasma generating units for processing a substrate |
US9177761B2 (en) | 2009-08-25 | 2015-11-03 | Semiconductor Energy Laboratory Co., Ltd. | Plasma CVD apparatus, method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device |
KR20110021654A (ko) * | 2009-08-25 | 2011-03-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 미결정 반도체막의 제조방법, 및 반도체장치의 제조방법 |
JP5367522B2 (ja) * | 2009-09-24 | 2013-12-11 | 東京エレクトロン株式会社 | プラズマ処理装置及びシャワーヘッド |
US9111729B2 (en) | 2009-12-03 | 2015-08-18 | Lam Research Corporation | Small plasma chamber systems and methods |
JP5212346B2 (ja) | 2009-12-11 | 2013-06-19 | 株式会社デンソー | プラズマ発生装置 |
EP2814051A1 (fr) * | 2010-02-09 | 2014-12-17 | Intevac, Inc. | Système de implantation à masque perforé |
FI124414B (fi) * | 2010-04-30 | 2014-08-29 | Beneq Oy | Lähde ja järjestely substraatin käsittelemiseksi |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US20140057388A1 (en) * | 2010-07-27 | 2014-02-27 | Amtech Systems, Inc. | Systems and Methods for Depositing and Charging Solar Cell Layers |
US9155181B2 (en) | 2010-08-06 | 2015-10-06 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9449793B2 (en) * | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
US9967965B2 (en) * | 2010-08-06 | 2018-05-08 | Lam Research Corporation | Distributed, concentric multi-zone plasma source systems, methods and apparatus |
JP5702968B2 (ja) * | 2010-08-11 | 2015-04-15 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ制御方法 |
EP2638959A4 (fr) * | 2010-11-09 | 2015-02-11 | Samsung Electronics Co Ltd | Générateur de plasma et procédé de génération de plasma |
US8765232B2 (en) | 2011-01-10 | 2014-07-01 | Plasmasi, Inc. | Apparatus and method for dielectric deposition |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9070760B2 (en) * | 2011-03-14 | 2015-06-30 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8802545B2 (en) * | 2011-03-14 | 2014-08-12 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US9129778B2 (en) * | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
US8697198B2 (en) * | 2011-03-31 | 2014-04-15 | Veeco Ald Inc. | Magnetic field assisted deposition |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
MY175007A (en) | 2011-11-08 | 2020-06-02 | Intevac Inc | Substrate processing system and method |
PT3223284T (pt) | 2011-11-14 | 2019-05-30 | Univ California | Processos de formação e de manutenção de um frc de elevado desempenho |
US9177762B2 (en) | 2011-11-16 | 2015-11-03 | Lam Research Corporation | System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing |
US10283325B2 (en) | 2012-10-10 | 2019-05-07 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9388492B2 (en) | 2011-12-27 | 2016-07-12 | Asm America, Inc. | Vapor flow control apparatus for atomic layer deposition |
US20130287963A1 (en) * | 2012-04-26 | 2013-10-31 | Varian Semiconductor Equipment Associates, Inc. | Plasma Potential Modulated ION Implantation Apparatus |
CN104380435B (zh) * | 2012-05-29 | 2018-04-06 | 周星工程股份有限公司 | 基板加工装置及基板加工方法 |
US9299956B2 (en) | 2012-06-13 | 2016-03-29 | Aixtron, Inc. | Method for deposition of high-performance coatings and encapsulated electronic devices |
US10526708B2 (en) | 2012-06-19 | 2020-01-07 | Aixtron Se | Methods for forming thin protective and optical layers on substrates |
KR102070400B1 (ko) | 2012-06-29 | 2020-01-28 | 주성엔지니어링(주) | 기판 처리 장치 및 기판 처리 방법 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
KR102003768B1 (ko) * | 2012-11-13 | 2019-07-26 | 삼성디스플레이 주식회사 | 기상 증착 장치 및 유기 발광 표시 장치 제조 방법 |
MY178951A (en) | 2012-12-19 | 2020-10-23 | Intevac Inc | Grid for plasma ion implant |
KR102061749B1 (ko) * | 2012-12-27 | 2020-01-02 | 주식회사 무한 | 기판 처리 장치 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US10577968B2 (en) * | 2013-05-31 | 2020-03-03 | General Electric Company | Dry steam cleaning a surface |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
BR112016006680B1 (pt) | 2013-09-24 | 2021-01-26 | Tae Technologies, Inc. | método para geração e manutenção de um campo magnético |
DE102013111360B3 (de) | 2013-10-15 | 2015-03-12 | Von Ardenne Gmbh | Hohlkathodensystem, Vorrichtung und Verfahren zur plasmagestützten Behandlung von Substraten |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US20160298237A1 (en) * | 2013-11-22 | 2016-10-13 | Toray Industries, Inc. | Plasma electrode, plasma processing electrode, cvd electrode, plasma cvd device, and method for manufacturing substrate with thin film |
US10800092B1 (en) | 2013-12-18 | 2020-10-13 | Surfx Technologies Llc | Low temperature atmospheric pressure plasma for cleaning and activating metals |
US10032609B1 (en) | 2013-12-18 | 2018-07-24 | Surfx Technologies Llc | Low temperature atmospheric pressure plasma applications |
US9406485B1 (en) | 2013-12-18 | 2016-08-02 | Surfx Technologies Llc | Argon and helium plasma apparatus and methods |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
EP2937890B1 (fr) * | 2014-04-22 | 2020-06-03 | Europlasma nv | Appareil de revêtement au plasma avec un diffuseur de plasma et procédé prévenant la décoloration d'un substrat |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US10410889B2 (en) * | 2014-07-25 | 2019-09-10 | Applied Materials, Inc. | Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9406535B2 (en) * | 2014-08-29 | 2016-08-02 | Lam Research Corporation | Ion injector and lens system for ion beam milling |
US10825652B2 (en) | 2014-08-29 | 2020-11-03 | Lam Research Corporation | Ion beam etch without need for wafer tilt or rotation |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
HRP20211230T1 (hr) | 2014-10-13 | 2022-01-21 | Tae Technologies, Inc. | Postupak za fuzioniranje i komprimiranje kompaktnih torusa |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
DE102014115492A1 (de) * | 2014-10-24 | 2016-04-28 | Cemecon Ag | Verfahren und Vorrichtung zur Erzeugung einer elektronischen Entladung |
PT3589083T (pt) | 2014-10-30 | 2022-10-11 | Tae Tech Inc | Sistemas e métodos para formação e manutenção de um frc de alto desempenho |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) * | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US20160329192A1 (en) * | 2015-05-05 | 2016-11-10 | Eastman Kodak Company | Radial-flow plasma treatment system |
SI3295459T1 (sl) | 2015-05-12 | 2021-04-30 | Tae Technologies, Inc. | Sistemi in postopki za zmanjšanje neželenih vrtinčnih tokov |
DE102015110562A1 (de) * | 2015-07-01 | 2017-01-05 | Von Ardenne Gmbh | Plasmaquelle, Prozessanordnung und Verfahren |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
ES2672245T3 (es) * | 2015-08-31 | 2018-06-13 | Total S.A. | Aparato generador de plasma y procedimiento de fabricación de dispositivos con patrones usando procesamiento de plasma resuelto espacialmente |
CN108352199B (zh) | 2015-11-13 | 2022-09-09 | 阿尔法能源技术公司 | 用于frc等离子体位置稳定性的系统和方法 |
JP6584927B2 (ja) * | 2015-11-13 | 2019-10-02 | 住友重機械イオンテクノロジー株式会社 | イオン注入装置、およびイオン注入装置の制御方法 |
US10440808B2 (en) | 2015-11-17 | 2019-10-08 | Southwest Research Institute | High power impulse plasma source |
TWI733712B (zh) * | 2015-12-18 | 2021-07-21 | 美商應用材料股份有限公司 | 用於沉積腔室的擴散器及用於沉積腔室的電極 |
US10354845B2 (en) | 2016-02-18 | 2019-07-16 | Southwest Research Institute | Atmospheric pressure pulsed arc plasma source and methods of coating therewith |
US9779955B2 (en) | 2016-02-25 | 2017-10-03 | Lam Research Corporation | Ion beam etching utilizing cryogenic wafer temperatures |
US10827601B1 (en) | 2016-05-03 | 2020-11-03 | Surfx Technologies Llc | Handheld plasma device |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP6240712B1 (ja) * | 2016-05-31 | 2017-11-29 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
WO2018081724A1 (fr) | 2016-10-28 | 2018-05-03 | Tae Technologies, Inc. | Systèmes et procédés améliorant la durabilité de frc haute performance à niveaux d'énergies élevés utilisant des injecteurs de faisceau neutre à énergies de faisceau réglables |
KR20190073544A (ko) | 2016-11-04 | 2019-06-26 | 티에이이 테크놀로지스, 인크. | 다중 스케일 포집 타입 진공 펌핑을 갖는 고성능 frc의 개선된 지속성을 위한 시스템들 및 방법들 |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
EP3542600A1 (fr) | 2016-11-15 | 2019-09-25 | TAE Technologies, Inc. | Systèmes et procédés pour la conservation améliorée d'une configuration à champ inversé et le chauffage d'électrons par des ondes rapides et à hautes harmoniques dans une configuration à champ inversé à haute performance |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
KR102532562B1 (ko) | 2016-12-27 | 2023-05-15 | 에바텍 아크티엔게젤샤프트 | Rf 용량성 결합 에칭 반응기 |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10950500B2 (en) | 2017-05-05 | 2021-03-16 | Applied Materials, Inc. | Methods and apparatus for filling a feature disposed in a substrate |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
KR102455231B1 (ko) * | 2017-10-23 | 2022-10-18 | 삼성전자주식회사 | 픽셀화된 플라즈마를 생성하는 할로우 캐소드, 반도체 소자의 제조장치 및 그의 제조방법 |
KR102455239B1 (ko) * | 2017-10-23 | 2022-10-18 | 삼성전자주식회사 | 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법 |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US11532464B2 (en) * | 2018-02-15 | 2022-12-20 | Applied Materials, Inc. | Reactor design for large-area VHF plasma processing with improved uniformity |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US11201035B2 (en) * | 2018-05-04 | 2021-12-14 | Tokyo Electron Limited | Radical source with contained plasma |
KR102516885B1 (ko) * | 2018-05-10 | 2023-03-30 | 삼성전자주식회사 | 증착 장비 및 이를 이용한 반도체 장치 제조 방법 |
JP7126381B2 (ja) * | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
CN112639195A (zh) * | 2018-09-04 | 2021-04-09 | Surfx技术有限责任公司 | 用于对电子材料进行等离子体处理的装置和方法 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN111321391A (zh) * | 2018-12-13 | 2020-06-23 | 夏泰鑫半导体(青岛)有限公司 | 用于半导体制造的喷头 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP2022522419A (ja) | 2019-02-28 | 2022-04-19 | ラム リサーチ コーポレーション | 側壁洗浄によるイオンビームエッチング |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
US11332827B2 (en) * | 2019-03-27 | 2022-05-17 | Applied Materials, Inc. | Gas distribution plate with high aspect ratio holes and a high hole density |
KR20210048408A (ko) | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 증착 반응기 매니폴드 |
US11749488B2 (en) | 2020-02-10 | 2023-09-05 | IonQ, Inc. | Atomic ovens based on electric discharge |
US11373845B2 (en) * | 2020-06-05 | 2022-06-28 | Applied Materials, Inc. | Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes |
CA3209128A1 (fr) * | 2021-01-23 | 2022-07-28 | Thomas J. SHEPERAK | Generateur de gaz plasma |
US12002659B2 (en) | 2022-06-13 | 2024-06-04 | Applied Materials, Inc. | Apparatus for generating etchants for remote plasma processes |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3756511A (en) * | 1971-02-02 | 1973-09-04 | Kogyo Kaihatsu Kenyusho | Nozzle and torch for plasma jet |
JPS6132417A (ja) * | 1984-07-24 | 1986-02-15 | Mitsubishi Electric Corp | 薄膜形成装置 |
US4911814A (en) * | 1988-02-08 | 1990-03-27 | Nippon Telegraph And Telephone Corporation | Thin film forming apparatus and ion source utilizing sputtering with microwave plasma |
US4979467A (en) * | 1988-05-06 | 1990-12-25 | Fujitsu Limited | Thin film formation apparatus |
US5007373A (en) * | 1989-05-24 | 1991-04-16 | Ionic Atlanta, Inc. | Spiral hollow cathode |
DE4039930A1 (de) * | 1990-12-14 | 1992-06-17 | Leybold Ag | Vorrichtung fuer plasmabehandlung |
DE4109619C1 (fr) * | 1991-03-23 | 1992-08-06 | Leybold Ag, 6450 Hanau, De | |
JPH04297578A (ja) * | 1991-03-26 | 1992-10-21 | Shimadzu Corp | プラズマ処理装置 |
EP0536664B1 (fr) * | 1991-10-07 | 1997-01-15 | Sumitomo Metal Industries, Ltd. | Procédé pour la formation d'une couche mince |
US5543588A (en) * | 1992-06-08 | 1996-08-06 | Synaptics, Incorporated | Touch pad driven handheld computing device |
US5248371A (en) * | 1992-08-13 | 1993-09-28 | General Signal Corporation | Hollow-anode glow discharge apparatus |
JPH06291064A (ja) * | 1993-04-01 | 1994-10-18 | Kokusai Electric Co Ltd | プラズマ処理装置 |
CA2126731A1 (fr) * | 1993-07-12 | 1995-01-13 | Frank Jansen | Reseau de cathodes creuses et methode de nettoyage de feuilles avec ce reseau |
US5543688A (en) * | 1994-08-26 | 1996-08-06 | Applied Materials Inc. | Plasma generation apparatus with interleaved electrodes and corresponding method |
US5686789A (en) * | 1995-03-14 | 1997-11-11 | Osram Sylvania Inc. | Discharge device having cathode with micro hollow array |
US5683548A (en) * | 1996-02-22 | 1997-11-04 | Motorola, Inc. | Inductively coupled plasma reactor and process |
JP3164019B2 (ja) * | 1997-05-21 | 2001-05-08 | 日本電気株式会社 | 酸化シリコン膜およびその形成方法と成膜装置 |
JP3690772B2 (ja) * | 1997-11-10 | 2005-08-31 | キヤノン株式会社 | 光起電力素子の形成装置及び形成方法 |
JP3129265B2 (ja) * | 1997-11-28 | 2001-01-29 | 日新電機株式会社 | 薄膜形成装置 |
US6066826A (en) * | 1998-03-16 | 2000-05-23 | Yializis; Angelo | Apparatus for plasma treatment of moving webs |
DE19814805A1 (de) * | 1998-04-02 | 1999-10-07 | Bosch Gmbh Robert | Beschichtungsverfahren eines Wischergummis |
JPH11293469A (ja) * | 1998-04-13 | 1999-10-26 | Komatsu Ltd | 表面処理装置および表面処理方法 |
JP2990668B2 (ja) * | 1998-05-08 | 1999-12-13 | 日新電機株式会社 | 薄膜形成装置 |
US6250250B1 (en) * | 1999-03-18 | 2001-06-26 | Yuri Maishev | Multiple-cell source of uniform plasma |
-
2000
- 2000-12-02 DE DE10060002.6A patent/DE10060002B4/de not_active Expired - Fee Related
- 2000-12-06 US US09/730,813 patent/US20010006093A1/en not_active Abandoned
- 2000-12-07 FR FR0015934A patent/FR2801813A1/fr not_active Withdrawn
-
2002
- 2002-10-04 US US10/264,504 patent/US20030106643A1/en not_active Abandoned
-
2005
- 2005-01-28 US US11/046,273 patent/US20050126487A1/en not_active Abandoned
-
2015
- 2015-05-26 US US14/721,772 patent/US20150332893A1/en not_active Abandoned
Non-Patent Citations (1)
Title |
---|
None |
Also Published As
Publication number | Publication date |
---|---|
US20030106643A1 (en) | 2003-06-12 |
DE10060002A1 (de) | 2001-07-12 |
US20050126487A1 (en) | 2005-06-16 |
US20150332893A1 (en) | 2015-11-19 |
DE10060002B4 (de) | 2016-01-28 |
US20010006093A1 (en) | 2001-07-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
FR2801813A1 (fr) | Dispositif de traitement de surface par plasma, en particulier pour former un film sur un substrat | |
EP1496727B1 (fr) | Accélérateur à plasma à dérive fermée d'électrons | |
EP0200651A1 (fr) | Source d'ions du type triode à une seule chambre d'ionisation à excitation haute fréquence et à confinement magnétique du type multipolaire | |
EP2201593B1 (fr) | Procede de traitement de surface d'au moins une piece au moyen de sources elementaires de plasma par resonance cyclotronique electronique | |
EP2353347B1 (fr) | Dispositif et procede de production et/ou de confinement d'un plasma | |
FR2484463A1 (fr) | Procede et appareil de revetement par pulverisation ionique sans gaz | |
EP2044816B1 (fr) | Dispositif et procédé de production et/ou de confinement d'un plasma | |
FR2797372A1 (fr) | Procede de production de plasmas elementaires en vue de creer un plasma uniforme pour une surface d'utilisation et dispositif de production d'un tel plasma | |
EP2875517B1 (fr) | Dispositif de traitement d'un objet par plasma | |
EP1774055A2 (fr) | Implanteur ionique fonctionnant en mode plasma pulse | |
EP1763891B1 (fr) | Alimentation d'implanteur ionique prevue pour une limitation de l'effet de charge | |
FR2756098A1 (fr) | Excitation d'onde helicoidale pour produire des electrons a haute energie pour fabriquer des semi-conducteurs | |
FR2600269A1 (fr) | Procede et agencement pour pulveriser une matiere par decharge a haute frequence | |
EP0685143A1 (fr) | Source micro-onde lineaire pour le traitement de surfaces par plasma. | |
EP2338318A1 (fr) | Source de plasma gazeux basse puissance | |
EP2718958B1 (fr) | Machine d'implantation ionique en mode immersion plasma pour procédé basse pression | |
FR2904177A1 (fr) | Dispositif et procede de production et de confinement d'un plasma. | |
EP1094494A1 (fr) | Procédé de production d'un plasma par décharges à barrière multipolaire de type capacitif, et dispositif pour la mise en oeuvre d'un tel procédé | |
EP1451846B1 (fr) | Source d'electrons | |
JP5585294B2 (ja) | プラズマ処理装置およびそれを用いた薄膜の製造方法 | |
FR2799920A1 (fr) | Procede de production d'un plasma par decharges distribuees de type capacitif, et dispositif pour la mise en oeuvre d'un tel procede | |
FR2732818A1 (fr) | Procede et dispositif de controle de la polarisation d'un corps plonge dans un plasma |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
ST | Notification of lapse |