CN114678326A - 用于在金属填充工艺期间防止线弯曲的方法 - Google Patents

用于在金属填充工艺期间防止线弯曲的方法 Download PDF

Info

Publication number
CN114678326A
CN114678326A CN202210124989.1A CN202210124989A CN114678326A CN 114678326 A CN114678326 A CN 114678326A CN 202210124989 A CN202210124989 A CN 202210124989A CN 114678326 A CN114678326 A CN 114678326A
Authority
CN
China
Prior art keywords
tungsten
substrate
feature
deposited
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210124989.1A
Other languages
English (en)
Inventor
亚当·扬德尔
塞马·埃梅兹
劳伦斯·施洛斯
桑杰·戈皮纳特
迈克尔·达内克
西维·尼奥
约瑟亚·科林斯
汉娜·班诺乐克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114678326A publication Critical patent/CN114678326A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明涉及用于在金属填充工艺期间防止线弯曲的方法,具体提供了用于减少线弯曲的方法和装置:在将诸如钨、钼、钌或钴等金属沉积到衬底上的特征中时,在原子层沉积、化学气相沉积或顺序化学气相沉积期间将特征周期性地暴露于氮、氧或氨,以便减少沉积在特征侧壁上的金属之间的相互作用。方法适合于沉积到V形特征内。

Description

用于在金属填充工艺期间防止线弯曲的方法
本申请是申请号为201710700258.6、申请日为2017年8月16日、发明名称为“用于在金属填充工艺期间防止线弯曲的方法”的发明专利申请的分案申请。
技术领域
本发明总体上涉及半导体处理,更具体地涉及用于在金属填充工艺期间防止线弯曲的方法。
背景技术
含钨材料的沉积是许多半导体制造工艺的必不可少的部分。这些材料可用于水平互连件、相邻金属层之间的通孔、金属层和硅衬底上的器件之间的触点、以及高深宽比特征。在半导体衬底上的常规钨沉积工艺中,在真室中将衬底加热至处理温度,并且沉积很薄的部分的钨膜,该钨膜用作种子或成核层。此后,通过将衬底同时暴露于两种反应物,将其余部分的钨膜(本体层)沉积在成核层上。本体层通常比成核层更快地沉积。然而,随着器件收缩和更复杂的图案化方案在工业中使用,薄钨膜的沉积成为一个挑战。
发明内容
本发明提供了用于沉积金属到衬底上的特征内的方法和装置。一方面涉及一种填充在衬底上的特征以形成线的方法,所述方法包括:(a)提供具有多个间隔开的特征的衬底,相邻特征之间的间距为介于约20nm和约40nm之间,每个特征具有特征开口宽度,其中,所述特征的宽度从所述特征的顶部到所述特征的底部变窄;(b)在所述衬底上的所述多个特征中沉积第一量的钨;(c)在沉积所述第一量的钨之后,将所述多个特征中的所述第一量的钨暴露于氮气中;以及(d)在所述多个特征中的所述第一量的钨上沉积第二量的钨。
在多种实施方式中,所述氮气减少在每个特征的侧壁上形成的钨之间的钨-钨键合相互作用。
在多种实施方式中,每个特征的底部的宽度是在0nm和所述每个特征的顶部处的宽度的90%之间。
所述方法还可以包括用钨填充所述特征从而形成所述线,其中由σ=(σ1 22 2)1/2计算的所述衬底内的所述线的总方差小于约5nm,其中σ1是可变的线对线(line-to-line)宽度的方差,而σ2是线内宽度的方差。
在多种实施方式中,所述特征的深度的50%的底部处的宽度在0nm和20nm之间。
在多种实施方式中,所述第一量的钨在小于约500℃的衬底温度下暴露于所述氮气。
在一些实施方式中,在在所述第一量的钨上沉积所述第二量的钨期间,将所述第一量的钨暴露于氮气。
在一些实施方式中,所述第二量的钨通过氢和含钨前体的交替脉冲沉积。所述第一量的钨可以在所述氢的脉冲期间暴露于所述氮气。在一些实施方式中,所述第一量的钨在所述含钨前体的脉冲期间暴露于所述氮气。在一些实施方式中,所述第一量的钨在所述氢和所述含钨前体的所述交替脉冲之间暴露于氩气。当所述特征在所述氢和所述含钨前体的交替脉冲之间暴露于所述氩气时,所述第一量的钨可以暴露于所述氮气。
另一方面涉及一种填充在衬底上的特征以形成线的方法,所述方法包括:(a)提供具有多个间隔开的特征的衬底,相邻特征之间的间距为介于约20nm和约40nm之间,每个特征具有特征开口宽度,其中,所述特征的宽度从所述特征的顶部到所述特征的底部变窄;(b)在所述衬底上的所述多个特征中沉积第一量的金属;(c)在沉积所述第一量的金属之后,将所述多个特征中的所述第一量的金属暴露于抑制气体;以及(d)在所述多个特征中在所述第一量的金属上沉积第二量的所述金属。所述金属可以是钌、钼和钴中的任何一种或多种。所述抑制气体可以是氮气、氧气、氨气和其组合中的任何一种。
在多种实施方式中,所述抑制气体减少在每个特征的侧壁上形成的金属之间的金属-金属键合相互作用。在一些实施方式中,每个特征的底部的宽度在0nm和所述每个特征的顶部处的宽度的90%之间。所述方法还可以包括用所述金属填充所述特征,从而形成所述线,其中由σ=(σ1 22 2)1/2计算的所述衬底内的所述线的总方差小于约5nm,其中σ1是可变的线对线(line-to-line)宽度的方差,而σ2是线内宽度的方差。所述特征的深度的50%的底部处的宽度可以介于0nm和20nm之间。
另一方面涉及一种用于处理半导体衬底的装置,所述装置具有(a)至少一个处理室,其包括被配置为保持衬底的基座;(b)至少一个用于耦合到真空的出口;(c)一个或多个工艺气体入口,其耦合到一个或多个工艺气体源;以及(d)用于控制装置中的操作的控制器,其包括用于以下操作的机器可读指令:提供具有多个间隔开的特征的衬底,相邻特征之间的间距为介于约20nm和约40nm之间,每个特征具有特征开口宽度,其中,所述特征的宽度从所述特征的顶部到所述特征的底部变窄,引入含钨前体和还原剂以在衬底上的多个特征中沉积第一量的钨;在沉积所述第一量的钨之后,引入氮气到多个特征中的第一量的钨,并且引入含钨前体和还原剂以在多个特征中的第一量的钨上沉积第二量的钨。
具体而言,本发明的一些方面可以阐述如下:
1.一种填充在衬底上的特征以形成线的方法,所述方法包括:
(a)提供具有多个间隔开的特征的衬底,相邻特征之间的间距为介于约20nm和约40nm之间,每个特征具有特征开口宽度,其中,所述特征的宽度从所述特征的顶部到所述特征的底部变窄;
(b)在所述衬底上的所述多个特征中沉积第一量的钨;
(c)在沉积所述第一量的钨之后,将所述多个特征中的所述第一量的钨暴露于氮气中;以及
(d)在所述多个特征中的所述第一量的钨上沉积第二量的钨。
2.根据条款1所述的方法,其中所述氮气减少在每个特征的侧壁上形成的钨之间的钨-钨键合相互作用。
3.根据条款1所述的方法,其中每个特征的底部的宽度是在0nm和所述每个特征的顶部处的宽度的90%之间。
4.根据条款1所述的方法,其还包括用钨填充所述特征从而形成所述线,其中由σ=(σ1 22 2)1/2计算的所述衬底内的所述线的总方差小于约5nm,其中σ1是可变的线对线宽度的方差,而σ2是线内宽度的方差。
5.根据条款1所述的方法,其中所述特征的深度的50%的底部处的宽度在0nm和20nm之间。
6.根据条款1至5中任一项所述的方法,其中所述第一量的钨在小于约500℃的衬底温度下暴露于所述氮气。
7.根据条款1至5中任一项所述的方法,其中在在所述第一量的钨上沉积所述第二量的钨期间,所述第一量的钨暴露于氮气。
8.根据条款1至5中任一项所述的方法,其中所述第二量的钨通过氢和含钨前体的交替脉冲沉积。
9.根据条款8所述的方法,其中所述第一量的钨在所述氢的脉冲期间暴露于所述氮气。
10.根据条款8所述的方法,其中所述第一量的钨在所述含钨前体的脉冲期间暴露于所述氮气。
11.根据条款8所述的方法,其中所述第一量的钨在所述氢和所述含钨前体的所述交替脉冲之间暴露于氩气。
12.根据条款11所述的方法,其中当所述特征在所述氢和所述含钨前体的交替脉冲之间暴露于所述氩气时,所述第一量的钨暴露于所述氮气。
13.一种填充在衬底上的特征以形成线的方法,所述方法包括:
(a)提供具有多个间隔开的特征的衬底,相邻特征之间的间距为介于约20nm和约40nm之间,每个特征具有特征开口,其中,所述特征的宽度从所述特征的顶部到所述特征的底部变窄;
(b)在所述衬底上的所述多个特征中沉积第一量的金属;
(c)在沉积所述第一量的金属之后,将所述多个特征中的所述第一量的金属暴露于抑制气体;以及
(d)在所述多个特征中在所述第一量的金属上沉积第二量的所述金属。
14.根据条款13所述的方法,其中所述金属选自钌、钼和钴。
15.根据条款13所述的方法,其中所述抑制气体选自氮气、氧气、氨气和其组合。
16.根据条款13所述的方法,其中所述抑制气体减少在每个特征的侧壁上形成的金属之间的金属-金属键合相互作用。
17.根据条款13-16中任一项所述的方法,其中每个特征的底部的宽度在0nm和所述每个特征的顶部处的宽度的90%之间。
18.根据条款13-16中任一项所述的方法,其还包括用所述金属填充所述特征,从而形成所述线,其中由σ=(σ1 22 2)1/2计算的所述衬底内的所述线的总方差小于约5nm,其中σ1是可变的线对线宽度的方差,而σ2是线内宽度的方差。
19.根据条款13-16中任一项所述的方法,其中所述特征的深度的50%的底部处的宽度在0nm和20nm之间。
这些和其它方面在下文参照附图进行进一步描述。
附图说明
图1是衬底上的示例性膜的示意图。
图2A描绘了包括硅衬底中的掩埋字线(bWL)的动态随机存取存储器(DRAM)架构的示例的示意图。
图2B描绘了线弯曲的示意图。
图2C示出了拉链现象的示意图。
图2D是示出与钨-钨键半径成函数关系的原子间力的曲线图。
图3A-3I是根据某些公开的实施方式可以沉积诸如钨之类的金属的各种结构的示意性示例。
图4A-4D是描绘根据某些公开的实施方式执行的方法的操作的工艺流程图。
图5A-5J和图6是根据某些公开的实施方式的用于沉积膜的机构的示例的示意图。
图7-11是示出根据某些公开的实施方式的各种方法中的示例性循环的时序图。
图12是用于执行所公开的实施方式的示例性处理工具的示意图。
图13是用于执行所公开的实施方式的示例性站的示意图。
图14描绘了多种时序图。
图15、图16、图17、图18A-18B和图19A-19B是实验结果的图表。
具体实施方式
在以下的描述中,阐述了许多具体细节以提供对所呈现的实施方式的充分理解。所公开的实施方式可以在没有这些具体细节中的一些或全部的情况下实施。在其他实例中,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方式。虽然将结合具体实施方式描述所公开的实施方式,但应当理解的是其并不旨在限制所公开的实施方式。
特征的金属填充(例如钨(W)填充)通常用于半导体器件制造中以形成电触点。随着器件缩小到较小的技术节点并且使用更复杂的图案结构,钨填充存在各种挑战。一个挑战是降低沉积的钨膜中的氟浓度或含量。与较大的特征相比,钨膜中的氟浓度与较大特征的钨膜中的氟浓度相同的较小特征更显著地影响了器件的性能。例如,特征越小,沉积的薄膜越薄。结果,沉积的钨膜中的氟更可能通过较薄的膜扩散,从而可能导致器件失效。
防止氟扩散的一种方法包括在沉积钨之前沉积一个或多个阻挡层以防止氟从钨扩散到衬底的诸如氧化物层之类的其它层。例如,图1示出沉积在衬底190上的层的示例性堆叠。衬底190包括硅层192、氧化物层194(例如氧化钛(TiOx)、原硅酸四乙酯(TEOS)氧化物等)、阻挡层196(例如氮化钛(TiN))、钨成核层198和主体钨层199。阻挡层196被沉积以防止氟从主体钨层199和钨成核层198扩散到氧化物层。然而,随着器件收缩,阻挡层变薄,氟可能仍然从沉积的钨层扩散。尽管在较高温度下进行的主体钨的化学气相沉积导致较低的氟含量,但是这种膜具有差的阶梯覆盖度。
另一个挑战是降低沉积的钨膜的电阻。较薄的膜往往比较厚的膜具有较高的电阻。随着特征变小,由于在较薄的钨膜中的散射效应,钨触点或线电阻增加。低电阻率钨膜最小化集成电路设计中的功率损耗和过热。钨成核层通常比覆盖的主体层具有较高的电阻率。沉积在触点、通孔和其它特征中的阻挡层也可以具有高电阻率。此外,薄的阻挡和钨成核膜占据较小特征的较大百分比,从而增大了特征中的总体电阻。钨膜的电阻率取决于所沉积的膜的厚度,使得由于边界效应,电阻率随着厚度减小而增大。
另一个挑战是降低沉积膜的应力。较薄的钨膜倾向于具有增大的拉伸应力。通过化学气相沉积沉积主体钨膜的常规技术对于200埃的膜具有大于2.5GPa的拉伸应力。高热拉伸应力导致衬底卷曲,这使得后续处理变得困难。例如,后续工艺可能包括化学机械平面化、材料沉积和/或将衬底夹持到衬底保持器以在室中执行工艺。然而,这些工艺通常依赖于衬底是平坦的,并且卷曲的衬底导致不均匀的处理或不能处理衬底。尽管存在用于减少其它材料的膜中的应力的现有方法,例如退火,但是钨不具有表面迁移率,该表面迁移率使得晶粒(grain)一旦沉积,则由于其高熔点而移动或改变。
另一个挑战是减少线弯曲,一种例如在具有窄间距的多个特征的衬底中或在具有多个彼此相邻的高深宽比特征的衬底中发现的现象。在钨填充期间,动态随机存取存储器(DRAM)掩埋字线(bWL)结构中的线弯曲被认为是由晶界合并引起的(其可以称为“拉伸机制(zipping mechanism)”)。当形成晶界时,相邻钨表面(例如特征侧壁上生长的钨膜)之间的钨-钨键合引起导致分离bWL的硅片(线)的弯曲的应变。传统的ALD和化学气相沉积(CVD)钨填充技术导致bWL结构的严重弯曲。该线弯曲将导致下游工艺中的钨凹陷不均匀性和触点着陆(contact landing)问题,从而导致DRAM产量损失。
常规的2-D生长可以通过ALD显示低应力、低氟和低电阻率的钨膜,但仅在允许这种生长的表面上。随着器件收缩和特征变窄,可能存在拉伸机制,其可引起拉伸应力、氟的高掺入和对电阻率的影响,从而导致粗糙的形态。
特定的实施方式涉及用于形成在存储器件中的钨字线的方法和相关装置。图2A描绘了包括硅衬底9中的掩埋字线(bWL)11的DRAM架构的示意性示例。bWL11形成在蚀刻在硅衬底9中的沟槽中。bWL11是沉积在硅衬底9中的钨并且被SiN钝化物5覆盖。作为沟槽衬里的是保形阻挡层12和设置在保形阻挡层12和硅衬底9之间的绝缘层13。在图2A的示例中,绝缘层13可以是栅氧化层,其由诸如氧化硅之类的材料形成。保形阻挡层的实例包括氮化钛(TiN)阻挡层和含钨阻挡层。在2006年2月10日提交的名称为“TUNGSTEN FOR WORDLINEAPPLICATIONS”的美国专利申请公布No.2016/0233220(序列No.15/040,561)中描述了含钨保形阻挡层,其通过引用并入本文。
用于DRAM bWL沟槽的常规沉积工艺倾向于使沟槽变形,使得最终沟槽宽度和电阻R显著不均匀。图2B示出了DRAM bWL的典型的未填充(201)和填充(205)的窄的非对称沟槽结构。如图所示,在衬底上描绘了多个特征。这些特征可以间隔开,其中相邻特征具有在约20nm和约40nm之间的间距。间距被定义为一个特征的中轴与相邻特征的中轴之间的距离。未填充的特征通常为V形,如特征203所示,其具有倾斜的侧壁,其中,特征的宽度从特征的顶部到特征的底部变窄。特征从特征的底部213b到特征的顶部213a变宽。在钨填充之后,在205中显示的衬底中观察到严重的线弯曲。不受特定理论的约束,相信沟槽的相对表面之间的内聚力将沟槽侧面拉到一起,如箭头207所示。这种现象在图2C示出,并且可以被表征为“拉上”(“zipping up”)特征。当特征203被填充时,从特征203的中心轴线299施加更多的力,从而引起线弯曲。特征203的侧壁上沉积的钨243a和243b由此紧邻地相互作用,其中钨-钨键合半径r较小,从而在钨的平滑生长表面之间引起原子间的内聚力并将侧壁拉在一起,从而引起线弯曲。图2D示出了作为钨-钨键半径r的函数的原子间力。可以看出,在某些r值存在内聚力。
直到最近,bWL弯曲被认为是由填充期间内在的钨膜应力引起的。然而,如上所述,通过常规ALD工艺沉积的低应力钨膜可能在填充期间引起严重的线弯曲。提出了一种基于晶界拉伸机理(grain boundary zipping mechanism)的替代解释,以解释线弯曲。
这里描述了用金属填充特征的方法以及相关系统和装置,其用于使用抑制气体来减少金属-金属键合的形成并由此减少线弯曲。根据待沉积的金属以及用于沉积要沉积的金属的条件和化学物质,抑制气体包括氮、氧、氨及其组合。多种实施方式涉及将具有部分填充金属的特征暴露于抑制气体而没有等离子体,以减少特征中金属-金属键合的形成。如本文所述,某些公开的实施方式特别适用于填充V形特征。
某些公开的实施方式在钨填充期间利用添加的氮气(N2)以破坏钨-钨键合的形成,从而降低了bWL结构中的应变。可以以脉冲形式(例如,在循环沉积技术,例如原子层沉积(ALD)或顺序化学气相沉积(CVD)中的H2共-反应物脉冲或清扫脉冲期间,其进一步描述如下)进行氮添加或在任何合适的沉积技术期间(例如在ALD循环期间)以连续形式进行氮添加。虽然本文中关于钨描述了多种实施例和实施方式,但是应当理解,所公开的实施方式适用于沉积各种金属,包括但不限于钌、钼、钴等。应用实例包括逻辑和存储器触点填充、DRAM掩埋字线填充、垂直集成存储器栅极/字线填充以及利用通硅通孔(TSV)的3-D集成。本文描述的方法可用于填充垂直特征,例如钨通孔,以及水平特征,例如3D-NAND字线。这些方法可用于保形和自下而上或自内向外的填充。
在CVD和脉冲成核层(PNL)工艺期间加入氮气在2008年8月29日提交并于2013年10月8日授权的题为“METHOD FOR REDUCING TUNGSTEN ROUGHNESS AND IMPROVINGREFLECTIVITY”的美国专利No.8,551,885中有描述,其通过引用整体并入本文。如上所述,可以加入氮气以控制膜的粗糙度并改善钨的填充。
这里描述的是通过添加诸如氮气之类的抑制气体来防止线弯曲的方法。添加氮在ALD钨填充和顺序CVD钨填充期间特别有效,因为借助2-D机制的膜生长增强了晶粒拉伸机理。
公开的实施方式可以在使用氮分子的bWL填充工艺中阻挡生长的钨膜的表面。当生长的膜的相邻表面合并时,W-N2键合削弱了W-W相互作用,从而减小否则将导致硅线偏转的应变。可以调节工艺条件以最少化并入到膜中的氮(N)以保持钨填充物的低电阻率。
氮与含钨前体WF6组合使用,以允许吸附的N2分子在晶界合并期间破坏W-W键合的相互作用,使得该相互作用不会引起膜上的应力增大。用于将含钨前体转化为钨的H2投配反应以产生HF,其从室中解吸并除去。在顺序CVD的后续循环中,弱键合的N2分子可以保留在钨表面上,但通常可用于减少晶界处的W-W键合的相互作用,以促进间隙填充进程,而不会对沉积的钨膜产生应力。
公开的实施方式包括使用顺序CVD工艺结合暴露于诸如氮气之类的抑制气体来沉积具有低氟浓度的钨膜以减少线弯曲的方法。沉积的膜也可能具有低应力。某些方法包括循环引入氢和含钨前体,如六氟化钨。公开的实施方式可以与其它钨沉积工艺结合以沉积比通过常规CVD沉积的膜具有显著较低的氟含量的低应力钨膜。例如,顺序CVD工艺可以与在低压的成核层沉积、无氟钨层沉积和/或非顺序CVD工艺相结合。公开的实施方式具有广泛的应用。可以使用方法将钨沉积到具有高阶梯覆盖度的特征中,并且还可用于将钨沉积到3D NAND结构中,包括具有深沟槽的那些结构中。此外,可以通过在该工艺中添加氮来针对否则可能易于线弯曲的架构来实施所述方法。
顺序CVD工艺与非顺序CVD、脉冲CVD、原子层沉积(ALD)以及成核层沉积区分开来。非顺序CVD工艺涉及同时暴露两种反应物,使得两种反应物在沉积过程中同时流动。例如,可以通过将衬底同时暴露于氢(H2)和六氟化钨(WF6)持续足以填充特征的时间段来沉积主体钨。氢和WF6在暴露期间反应以将钨沉积到特征中。在脉冲化CVD工艺中,一种反应物连续流动,而另一种反应物被脉冲式输送,但是衬底在沉积期间暴露于两种反应物以在每个脉冲期间沉积材料。例如,当WF6被脉冲式输送时,衬底可以暴露于H2的连续流动,并且在脉冲期间WF6和H2反应以沉积钨。
相比之下,顺序CVD工艺对每种反应物实施单独的暴露,使得反应物在沉积期间不会同时流入室中。相反,每种反应物流在时间上以分离的脉冲方式被依次引入到容纳衬底的室中,在循环中重复一次或多次。一般来说,循环是用于执行一次表面沉积反应的最小操作集合。一个循环的结果是在衬底表面上产生至少部分膜层。顺序CVD的循环在下面进一步详细描述。
ALD和成核层沉积还涉及将衬底以在时间上分离的脉冲方式循环暴露于两种反应物。例如,在ALD循环中,第一反应物流入室中,室被清扫,第二反应物流入室,并且室被再次清扫。通常重复这样的循环以形成膜的厚度。在常规ALD和成核层沉积循环中,第一反应物流在自限制反应中构成第一“投配”。例如,衬底包括有限数量的活性位点,由此第一反应物被吸附到衬底上的活性位点上并在表面上达到饱和,并且第二反应物与吸附层反应以逐层地循环沉积材料。
然而,在顺序CVD中,反应物不一定吸附在衬底上的活性位点上,在一些实施方式中,反应可能不是自限制性的。例如,顺序CVD中使用的反应物可能具有低吸附速率。此外,当引入第二反应物时,衬底的表面上的反应物可能不一定与第二反应物反应。相反,在顺序CVD的一些实施方式中,衬底上的一些反应物在循环期间保持未反应,并且直到随后的循环才反应。由于化学计量特性、空间位阻或其他影响,一些反应物可能不会反应。
本文描述的方法可以在可以容纳在室中的衬底上进行。衬底可以是硅晶片,例如200mm晶片、300mm晶片、或450mm晶片,包括上面沉积有一层或多层材料(例如介电、导电、或半导电材料)的晶片。衬底具有诸如通孔或接触孔之类的特征,其可以由V形侧壁,窄和/或内凹的开口、特征内的收缩部和高深宽比中的一或多种表征。特征可形成在上述层中的一个或多个中。例如,此特征可至少部分地形成在介电层中。在某些实施方式中,特征可具有至少约2:1、至少约4:1、至少约6:1、至少约10:1或更高的深宽比。特征的一个实例是在半导体衬底或该衬底上的层中的孔或通孔。特征可以在衬底上间隔开,相邻特征之间的间距为约20nm至约40nm。
图3A-3G是根据所公开的实施方式的内部可以沉积钨的各种结构的示意性示例。图3A示出了要填充钨的垂直特征301的横截面图的示例。特征301可以包括衬底303内的特征孔305。孔305或其他特征可以具有靠近开口的一定尺寸,例如约10nm至500nm之间,例如在约25nm和约300nm之间的开口直径或线宽。特征孔305可以被称为未填充特征或仅仅称为特征。特征301和任何特征可以部分地由轴线318表征,轴线318延伸特征的长度,穿过孔305的中心,其中,垂直取向的特征具有垂直轴线,而水平取向的特征具有水平轴线。
在一些实施方式中,特征是3D NAND结构中的沟槽。例如,衬底可以包括字线结构,其具有至少60行,具有18至48层或数百层,沟槽至少有200埃深或深达许多微米。另一个实例是衬底或层中的沟槽。特征可以是任何深度。在多种实施方式中,该特征可以具有下层,例如阻挡层或粘附层。下层的非限制性实例包括介电层和导电层,例如氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物和金属层。
图3B示出了具有内凹轮廓的特征301的实例。内凹轮廓为从特征的底部、封闭端、或内部往特征开口变窄的轮廓。根据各种实施例,此轮廓可逐渐变窄并且/或者在特征开口处包括突出部。图3B示出了后者的实例,下层313加衬于特征301的特征孔305的侧壁或内部表面。下层313可以是例如扩散屏障层、粘合层、成核层、其组合、或任何其他能适用材料。下层的非限制性实例可包括介电层与导电层,例如硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物、以及金属层。在特定实施方案中,下层可为Ti、TiN、WN、TiAl、以及W中的一个或多个。下层313形成突出部315,以使下层313在特征301的开口处附近比在特征301内部更厚。
在某些实施方案中,可填充在特征内具有一个或多个收缩部的特征。图3C示出了具有收缩部的各种已填充特征的视图的实例。图3C中的每一个实例(a)、(b)以及(c)在此特征内的中点处都包括收缩部309。收缩部309的宽度可以例如在约15nm至20nm之间。在使用常规技术在特征中沉积钨的期间,收缩部可能会引起夹断(pinch-off),在特征的部分被填充之前,所沉积的钨会妨碍通过收缩部的进一步的沉积,从而在特征中造成空隙。实例(b)在特征开口处进一步包括衬垫/阻挡突出部315。这种突出部也可能是潜在的夹断点。实例(c)包括收缩部312,其比实例(b)中的突出部315更远离场区域。
也可以填充例如3-D存储器结构之类的水平特征。图3D示出了包括收缩部351的水平特征350的实例。例如,水平特征350可以是3DNAND结构中的字线。
在某些实施方案中,可能会因为在3D NAND或其他结构中存在有支柱而引起收缩部。例如,图3E示出了3D NAND或垂直集成存储器(VIM)结构348中的支柱325的平面图,而图3F则示出了支柱325的横截面简化示意图。图3E中的箭头代表沉积材料;当支柱325配置在区域327与气体入口或其他沉积源之间时,邻接的支柱可能会造成收缩部351,这些收缩部会对区域327的无空隙填充提出挑战。
例如,可以通过在衬底300上沉积交替的层间介电层329与牺牲层(未图示)的堆栈并且选择性蚀刻牺牲层而形成结构348。例如,这些层间介电层可以是氧化硅和/或氮化硅层,并且这些牺牲层可以是用蚀刻剂选择性蚀刻的材料。在此之后,可进行蚀刻与沉积工艺以形成支柱325,其可包括已完成的内存器件的沟道区域。
衬底300的主表面可在x与y方向上延伸,而支柱325朝z方向。在图3E与3F的实例中,支柱325以偏移方式进行排列,以使在x方向上紧邻的支柱325在y方向上互相偏移,反之亦然。根据各种实施方案,可以用许多方式来排列这些支柱(以及因邻接支柱所形成的对应收缩部)。此外,支柱325可以是包括圆形、方形等的任何形状。支柱325可包括环状半导电材料,或圆形(或方形)半导电材料。栅极电介质可包围此半导电材料。可以以钨来填充每个层间介电层329之间的区域;因此,结构348具有多个待填充的堆叠的水平取向的特征,这些水平取向的特征在x和/或y方向上延伸。
图3G提供例如包括支柱收缩部351的3D NAND或其他结构的水平视角特征的另一实例。图3G的实例为开放式,待沉积的材料能够如箭头所示水平地从两侧进入。(应注意到图3G的实例可被视为这种结构的3-D特征的2-D绘图,且图3G为待填充区域的横截面图,并且此图中所示的支柱收缩部表示可在平面图而非横截面图观看到的收缩部。)在某些实施例中,3-D结构可利用沿着二或三维空间(例如在图3F的实例中在x与y或x、y与z方向上)延伸的待填充区域来表征,并且可能会提出比填充沿着一维或二维空间延伸的孔或沟槽更多的填充挑战。例如,当沉积气体可从多个维度进入特征时,控制3-D结构的填充会具有挑战性。
图3H提供了V形特征的横截面视图的示例。图3H包括要填充钨的特征301,其包括衬底303中的特征孔305。孔具有靠近开口的尺寸(例如,开口直径或线宽w,其可以在约10nm和约20nm之间,或约15nm)。宽度按特征的侧壁之间的距离来测量。宽度可以从特征开口的特征顶部(开口直径或线宽w)到特征底部变化。特征孔305部分地由轴线318表征。V形特征301包括可以在约80nm至约120nm之间或约100nm的深度350。在多种实施方式中,侧壁在特征的底部处的点395处相交,或者在一些实施方式中,该特征高原(plateaus)的底部到平坦的底部表面可以具有从一个侧壁到另一个之间的距离,该距离介于约0.1w和约0.9w之间,或占开口处的线宽度w的百分比在宽度w的约10%至宽度w的约90%之间。特征可以具有2:1至约10:1之间的深宽比,或者约6:1至约8:1之间、或约6:1、或约8:1的深宽比。线的间距可以在约20nm和约40nm之间。特征的底部(其以特征的深度的50%至70%处的底部内的区域表征)可以具有介于0nm至约20nm之间的在侧壁之间的宽度。
图3I提供了V形特征的横截面视图的另一示例。如本文所述的V形特征是指具有从衬底的顶部场水平到特征的底部逐渐变窄的宽度的特征。图3I包括用诸如钨之类的金属待填充的特征301,其包括衬底303中的特征孔305。孔具有靠近开口的尺寸(例如,开口直径或线宽w,其可以在约10nm和约20nm之间,或约15nm)。特征396的底部的宽度窄于宽度w。例如,特征396的底部可以具有宽度w的1%至90%之间的宽度,或宽度w的1%至50%之间或10%至20%之间的宽度。
在各种公开的实施方式中,多个V形特征存在于衬底上,如图2B所示。衬底上的多个特征被定义为彼此之间的距离不大于20nm和40nm之间的相邻特征。在多种实施方式中,这样的多个特征包括所有V形特征,其可以具有如图3H或3I所示的形状。
以下说明水平取向和垂直取向特征的特征的填充的实例。应注意,这些实例可应用在水平取向或垂直取向特征两者。此外,还应注意到在以下说明中,“横向”一词可用于指代基本上与特征轴正交的方向,而“垂直”一词则指代基本上沿着特征轴的方向。
尽管以下描述集中在钨特征填充,但是本发明的多个方面也可以在使用其他材料填充特征中实施。例如,使用本文描述的一种或多种技术的特征填充可以用于使用其他材料填充特征,其他材料包括其他含钨材料(例如,氮化钨(WN)和碳化钨(WC))、含钛材料(例如,钛(Ti)、氮化钛(TiN)、硅化钛(TiSi)、碳化钛(TiC)和铝化钛(TiAl))、含钽材料(例如,钽(Ta)和氮化钽(TaN))和含镍材料(例如,镍(Ni)和硅化镍(NiSi))。此外,本文公开的一些方法和装置不限于特征填充,而是可以用于在任何适当的表面上沉积钨,包括用于在平坦表面上形成覆盖膜。
图4A提供了根据某些公开的实施方式执行的方法的工艺流程图。执行图4A的操作402-410以通过ALD沉积钨成核层。操作495涉及将衬底暴露于氮气。根据多种实施方式,执行操作402、404、406、408、495和410以沉积钨成核层。在本文描述的各种实施方式中,相比操作480,在较低的压强下执行操作402-410。例如,可以在小于约10托的低压下执行操作402-410。在一些示例中,操作402-410在约10托的压强或约3托的压强执行。不受特定理论的束缚,认为当薄膜沉积时,以低压执行操作402-410由于在室中的含氟前体的较低的分压而降低沉积的钨膜中的氟浓度,使得较少的氟被引入到膜中。在低压下沉积钨成核层以在沉积的钨中实现低氟浓度的工艺的实例进一步描述于2015年5月27日提交的美国专利申请序列No.14/723,275(代理人案卷No.LAMRP183/3623-1US)中。
在操作402中,将衬底暴露于含钨前体,例如WF6。为了本文描述的目的,尽管使用WF6作为含钨前体的实例,但应当理解,其它含钨前体可以适用于执行公开的实施方式。例如,可以使用含金属有机钨前体。还可以使用有机金属前体和不含氟的前体,例如MDNOW(甲基环戊二烯基-二羰基亚硝酰基-钨)和EDNOW(乙基环戊二烯基-二羰基亚硝酰基-钨)。可以使用含氯的钨前体(WClx),如五氯化钨(WCl5)和六氯化钨(WCl6)。
在该示例中,含钨前体可以包括这些化合物的组合。在一些实施方式中,在操作402期间,诸如氮气(N2)、氩气(Ar)、氦气(He)或其它惰性气体之类的载气可以流动。在一些实施方式中,携带含钨前体的载气可以在输送到衬底之前被转向。
虽然本文描述了钨,但是应当理解,在一些实施方式中,可以通过使用合适的含金属的前体来沉积另一种金属,以替代钨。例如,为了将钼沉积到特征中,可以使用含钼前体,例如四氯化钼(MoCl4)。
操作402可以在任何合适的持续时间和任何合适的温度下进行。在一些示例中,操作402可以执行介于约0.25秒至约30秒、约0.25秒至约5秒、或约0.5秒至约3秒之间的持续时间。这种操作可以在一些实施方式中执行足以使在衬底表面上的活性位点达到饱和的持续时间。
在操作404中,室可选地被清扫以去除不吸附到衬底表面上的多余的WF6。可以通过使惰性气体以固定压强流动来执行清扫,从而降低室的压强并在开始另一种气体暴露之前对室重新加压。
在操作406中,将衬底暴露于还原剂以沉积钨成核层。还原剂可以是硼烷、硅烷或锗烷。示例性的硼烷包括硼烷(BH3)、乙硼烷(B2H6)、三硼烷、烷基硼烷、氨基硼烷、碳硼烷和卤代硼烷。示例性的硅烷包括硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、烷基硅烷、氨基硅烷、碳硅烷和卤代硅烷。锗烷包括GenHn+4、GenHn+6、GenHn+8和GenHm,其中n是1至10的整数,并且n是不同于m的整数。也可以使用其它的锗烷,例如烷基锗烷、氨基锗烷、碳锗烷和卤代锗烷。一般来说,卤代锗烷可能不具有显著的还原电位,但可能存在适用于使用卤代锗烷的形成膜的工艺条件和含钨前体。
操作406可以进行任何合适的持续时间。在一些示例中,示例性的持续时间包括约0.25秒至约30秒之间、约0.25秒至约5秒之间、或约0.5秒至约3秒之间。在一些实施方式中,该操作可以足以与衬底表面上的WF6的吸附层反应。操作406可以进行在这些示例范围之外的持续时间。在一些实施方式中,可以使用载气,例如氩气(Ar)、氦气(He)或氮气(N2)。
在操作406之后,可以有任选的清扫步骤,以清除仍然在气相中的过剩的还原剂,其不与特征的表面上的WF6反应。可以通过使固定压强的惰性气体流动来进行清扫,从而降低室的压强并在引发另一种气体暴露之前对室重新加压。
在操作408中,清扫室以除去任何反应副产物。可以通过引入诸如惰性气体之类的清扫气体来清扫室,或者可以通过清空室来进行。示例性惰性气体包括但不限于氢、氩气和氦气。
在操作495中,将衬底暴露于氮气。氮气钝化衬底,从而可以减少衬底上的特征的侧壁上的钨-钨键合。在一些实施方式中,惰性气体可以与氮气流到衬底。示例性的惰性气体包括氩气、氦气和氢气。在引入氮和氢的组合的实施方式中,氮和氢的混合物可以包括至少约10%的氮、或介于约10%至约100%之间的氮气。
操作495在低于约500℃或低于约450℃的温度下进行。在500℃以上的温度下,可以将不需要的氮原子并入特征中的钨膜中。操作495可以在与操作402-408中使用的压强相同的压强下进行。在一些实施方式中,在与操作402-408中使用的压强不同的压强下执行操作495,并且在每个循环在这两种压强之间调节压强。
在各种实施方式中,可以使用其它抑制气体来代替氮气。在一些实施方式中,在各种实施方式中,可以使用氧代替氮。在一些实施方式中,根据待沉积的金属和用于沉积的含金属的前体,抑制气体可以是氮、氧、氨或其组合。例如,在一些实施方式中,氨(NH3)可以代替氮或与氮一起流动,以防止来自衬底的侧壁上的沉积材料的金属-金属键合。如果使用氨,则含钨前体不与氨共流,以防止含钨前体和氨之间的反应。例如,如下面进一步描述的,在一些实施方式中,连续地或以脉冲方式引入氮。在使用六氟化钨作为含钨前体的情况下,氨仅以脉冲形式或者仅当六氟化钨未引入衬底时引入。
在操作410中,确定钨成核层是否已经沉积到足够的厚度。如果不是,则重复操作402-408,直到期望厚度的钨成核层沉积在特征的表面上。另外,操作495可以在每个重复的循环中,或每2个循环中,或每3循环中,或每4个循环中执行,或更不频繁地执行。操作402-408的每个重复可以被称为ALD“循环”。在一些实施方式中,操作402和406的顺序可以颠倒,使得还原剂首先被引入。
在钨成核层被沉积到足够的厚度之后,在操作499中,衬底可以暴露于氮气(或氧气或含氮气体,例如氨)中。在操作499中暴露于氮气之后,在操作480中,主体钨通过顺序CVD沉积。虽然本文描述了顺序CVD,但在一些实施方式中,可以通过任何合适的方法(例如CVD或ALD)沉积主体钨。在多种实施方式中,可以在大于操作402-410期间的压强的压强下执行操作480。例如,操作480可以在大于或等于约10托,例如约10托、或约40托的压强下进行。
图4B提供了在操作499中暴露于氮气之后可以在操作480期间执行的操作的工艺流程图。应当理解,操作499可以连续执行,使得氮气在通过顺序CVD在沉积主体钨期间连续流动,或在一些实施方式中可以周期性地脉冲式输送,例如仅在还原剂暴露期间脉冲式输送,或仅在清扫气体操作期间脉冲式输送,或仅在一次清扫气体操作期间脉冲式输送,或仅在含钨前体投配期间脉冲式输送,或在一个或更多的上述操作期间脉冲式输送。施以脉冲可以在每个循环期间、或每2个循环期间、或每3个循环期间、或每4个循环期间、或根据需要不太频繁地发生。在一些实施方式中也可以使用连续暴露和脉冲式暴露的组合。此外,如上所述,当使用氨来减轻沉积在特征的相对侧壁上的钨之间的反应时,在含钨前体暴露期间,例如在暴露于六氟化钨期间,氨不以连续也不以脉冲式投配方式引入。
注意,可以在不执行图4A的操作的情况下执行图4B的操作。在图4B中,在操作482中,将衬底暴露于诸如H2之类的还原剂。该操作可以被称为“脉冲式输送”或“投配”,其可以在本文中互换使用。在本文描述的一些实施方式中,提供H2作为示例性还原剂,但是应当理解,可以使用其它还原剂,其它还原剂包括硅烷、硼烷、锗烷、膦、含氢气体及其组合。不像非顺序CVD,H2是被脉冲式输送的,而没有另一种反应物流动。在一些实施方式中,载气可以流动。载气可以是上文关于图4A中的操作404(例如氩气或氦气)所描述的那些载气中的任何一种。操作482可以进行任何合适的持续时间。在一些示例中,示例性的持续时间包括介于约0.25秒和约30秒之间、约0.25秒至约5秒之间、或约0.5秒至约3秒之间。
返回到图4B,在操作484中,室被清扫。在一些实施方式中,清扫是任选的。这种清扫操作可以去除保留在气相中的过量的H2。通过使惰性气体以固定压强流动来进行清扫,从而降低室的压强并在引发另一种气体暴露之前对室重新加压。可以将室清扫任何合适的持续时间,例如持续约0.1秒和约3秒之间的持续时间。
返回到图4B,在操作486中,将衬底暴露于含钨前体(例如WF6),以在衬底上形成膜的亚单层。在一些实施方式中,可以使用其它含钨前体。虽然WF6用作含钨前体的实例,但是应当理解,其它含钨前体可能适合于实施所公开的实施方式。例如,可以使用含金属有机钨的前体。还可以使用有机金属前体和不含氟的前体,例如MDNOW(甲基环戊二烯基-二羰基亚硝酰基-钨)和EDNOW(乙基环戊二烯基-二羰基亚硝酰基-钨)。可以使用含氯的钨前体(WClx),如五氯化钨(WCl5)和六氯化钨(WCl6)。
在该示例中,含钨前体可以包括这些化合物的组合。在一些实施方式中,诸如氮气(N2)、氩气(Ar)、氦气(He)或其它惰性气体之类的载气可以流动。在一些实施方式中,载气可以在含钨前体输送到衬底之前被转向。
虽然本文描述了钨,但是应当理解,在一些实施方式中,可以通过使用合适的含金属的前体来沉积另一种金属,以替代钨。例如,为了将钼沉积到特征中,可以使用含钼前体,例如四氯化钼(MoCl4)。
为了本示例的目的,使用WF6。在多种实施方式中,WF6在该操作过程中流动到室中持续介于约0.1秒至约3秒之间或约0.5秒的持续时间。在一些实施方式中,WF6可以被转移以填充气体管线并在投配之前管线变化。在一些实施方式中,WF6流到室,但不与衬底表面上的所有H2分子完全反应。
在图4B的操作486期间,一些WF6可能与来自先前投配的保留在表面上的H2反应。在图4B的操作486期间,一些WF6可能与来自先前投配的保留在表面上的H2不完全反应。在图4B的操作486期间,一些WF6可能完全不与H2发生反应,而是可以被物理地吸附到衬底的表面上,其中没有H2物理吸附或保留在衬底表面上。在一些实施方式中,WF6可以保留在衬底表面上,但不能被物理吸附或化学吸附到该表面。以下参照示例性机理图中的图5A-5J描述这些示例。
在许多实施方式中,图4B的操作486因此可形成亚单层的钨。例如,可以在执行操作482-486之后沉积具有约0.3埃厚度的亚单层。
在一些实施方式中,操作486和482可以颠倒,使得在482之前执行操作486。在一些实施方式中,操作482可以在操作486之前执行。
在图4B的操作488中,清扫室以从气室中除去反应的副产物和气相中的WF6。在一些实施方式中,在操作488中过短的清扫持续时间会增加不连续的CVD反应特性,使得较高的应力膜将被沉积。在一些实施方式中,清扫持续时间在约0.1秒至约2秒之间,并且可能由于WF6对钨表面的低吸附率而阻止从衬底表面去除所有WF6。在一些实施方式中,清扫持续时间为介于约0.1秒至约15秒之间,例如约7秒。例如,为了制造3D NAND结构,在操作488期间,室可以被清扫约7秒。清扫持续时间取决于衬底和应力。
在图4B的操作490中,确定主体钨是否已经沉积到足够的厚度。如果不是,则重复操作482-488直到所需的厚度被沉积。在一些实施方式中,重复操作482-488直到特征被填充。在一些实施方式中,当通过顺序CVD重复沉积循环以沉积主体钨时,操作499也与操作482-488结合重复。
图4C提供了根据所公开的实施方式执行的方法的工艺流程图。在操作480中,通过顺序CVD沉积主体钨。工艺条件和化学物质可以是上面关于图4B和5A-5J描述的那些中的任何一种。在操作498中,通过非顺序CVD沉积主体钨。在非顺序CVD期间,将衬底同时暴露于含钨前体和还原剂以沉积主体钨。示例性的含钨前体包括含氟前体(例如WF6)、含氯前体(例如WClx)和六羰基钨(W(CO)6)。示例性还原剂包括氢。在一些实施方式中,通过将衬底暴露于WF6和H2来执行非顺序CVD。可以顺序地执行操作480和498,或者可以在执行操作498之前或之后,执行操作480中的任何操作一次或多次。在一些实施方式中,操作480和498以脉冲执行,使得每执行操作480二个或更多循环,执行操作498。因此,可以使用顺序CVD和非顺序CVD的组合来沉积主体钨。在操作499中,将衬底暴露于氮气。在一些实施方式中,操作499结合操作480、操作498或两者执行。在多种实施方式中,在操作480、操作498或两者期间,将衬底连续暴露于氮气。在多种实施方式中,在操作480、操作498或两者期间,将衬底暴露于氮的脉冲。在多种实施方式中,使用氧气或其它含氮气体(如氨)来代替氮气,或与氮气组合使用。可以顺序地执行操作480、操作498和操作499,或者可以在执行操作498之前或之后执行操作480或操作499中的任一个一次或多次。在一些实施方式中,操作480和操作498和操作499以脉冲执行,使得操作480每执行2个或更多个循环就执行操作498,操作499每执行2个或更多个循环就执行操作480。因此,可以使用连续暴露于氮气、氧气及其组合,利用顺序CVD和非顺序CVD的组合来沉积主体钨。因此,可以使用暴露于氮气、氧气、氨气及其组合的周期性脉冲,利用顺序CVD和非顺序CVD的组合来沉积主体钨。
图4D提供了根据所公开的实施方式执行的方法的工艺流程图。在操作420中,提供具有相邻V形特征的衬底。V形特征如上面参照图3H和3I所定义。衬底上相邻特征之间的距离不大于约20nm和约40nm之间的距离。在操作430中,在V形特征中沉积第一量的金属。在多种实施方式中,金属是钨。在一些实施方式中,金属是钌、或钴、或钼。使用诸如CVD、ALD、顺序CVD等任何合适的技术沉积金属。在一些实施方式中,金属是通过使用含钨前体(例如WF6、WCl6或WCl5)的顺序CVD沉积的钨。在操作439中,将衬底暴露于抑制气体,抑制气体可以是氮气、氧气、氨气或其组合,具体取决于要沉积的金属、用于沉积该金属的技术以及用于沉积该金属的前体。例如,在一些实施方式中,抑制气体是氮气,并且沉积的金属是钨,使用WF6作为含钨前体。如上所述,应当理解,如果使用氨作为抑制气体,并且WF6作为用于沉积钨的含钨前体,则用以沉积钨的暴露于抑制气体和暴露于WF6在时间上是分离的以减少氨和WF6之间的反应。应当理解,操作439可以是操作430的一部分,使得在第一量的金属的沉积期间,衬底周期性地或连续地暴露于抑制气体。下面参照图8-11进一步描述这些实施例。在操作440中,在第一量的金属上沉积第二量的金属。可以使用任何合适的沉积技术。在一些实施方式中,在执行439之后执行操作440。例如,在一个实施方式中,通过CVD沉积第一量的钨,将沉积的钨暴露于氮气,并将第二量的钨沉积在暴露于氮气的沉积的钨上以填充V形特征。在一些实施方式中,执行操作439作为操作440的一部分。例如,当通过顺序CVD沉积第二量的金属时,抑制气体可以与氢脉冲、或与氩脉冲、或与含钨前体脉冲、或在整个顺序CVD循环中连续地流动,其中顺序CVD循环包括暴露于氢、暴露于氩气、暴露于含钨前体、和暴露于氩气的操作。
公开的实施方式适用于减少线弯曲。通过测量填充有金属(即钨)的沟槽的线宽和粗糙度来进行线弯曲分析。线弯曲分析涉及使用平面视角显微镜对器件开口顶部的金属进行成像,并测量多条线上多个点处的金属宽度。对于每条线,线宽度在100点测量。然后,根据每条线,计算平均线宽和线宽的变化,其也可以定义为粗糙度。“线宽平均值”是分析期间测得的所有单个的线的平均线宽的平均值。
对于线弯曲,两个主要指标定义如下:(i)线对线(LTL)变化是平均线宽的标准偏差,从而捕获在图像上不同线的线宽变化的变量,以及(ii)线宽粗糙度(LWR)是来自所有测量的线的线粗糙度(每条线中线宽的变量)的平均值,从而捕获单条线内的平均线宽变量。这两个指标LTL和LWR被组合为单变量指标总σ,其由σ=(σ1 22 2)1/2确定。此外,LTL和总σ相对于线宽平均值归一化,描述为LTL%和总σ%。
在多种实施方式中,所公开的实施方式产生衬底,其中总方差小于约5nm、或小于约1.5nm、或以百分比计,小于约7.2%的衬底,其中通过平均线宽将总方差归一化来计算总方差百分比。为了针对自上而下的SEM/沟槽的顶部图像确定膜厚度与线弯曲的关系进行的实验表明,随着V形特征或沟槽(如上文参照图3H和3I所示和描绘的)的金属厚度增加,线弯曲现象变得更加严重。这个分析是基于自上而下的SEM/沟槽的顶部分析。
可以在沉积钨成核层和/或主体钨期间使用氮暴露以减少线弯曲。例如,参考图4A,可以在操作402、404、406、408和其组合中的任何操作期间、或者在所有操作402-408期间执行氮暴露。参考图4B,可以在操作482、484、486、488和其组合中的任何操作期间、或者在所有操作482-488期间执行氮暴露。在一些实施方式中,在衬底上的特征被主体钨填充时,在通过顺序CVD沉积主体钨期间执行氮暴露以减少线弯曲。
图5A-5J是顺序CVD的循环的示例性机理的示意图。应当理解,图5A-5J不包括氮暴露的示例性机理;这种示例在图6中提供。
图5A描绘了一示例性机理,其中将H2引入到上面沉积有钨成核层501的衬底500。引入在气相中的氢(511a和511b),并且一些H2(513a和513b)在钨成核层501的表面上,但可能不一定吸附在表面上。例如,H2可能不一定化学吸附到成核层501上,但是在一些实施方式中,H2可以物理吸附到成核层501的表面上。
图5B示出了一示例图,其中先前在气相(图5A中的511a和511b)中的H2被从室中清扫,并且先前在表面(513a和513b)上的H2保留在钨成核层501的表面上。
图5C示出了图4B的操作486的示例性示意图。在图5C中,衬底暴露于WF6,其中一些处于气相(531a和531b)中,其中一些位于或靠近衬底的表面(523a和523b)。
如上文关于图4B的操作486所述,并且如图5D所示的示例所示,WF6可以与H2反应以暂时形成中间体543b,由此在图5E中,中间体543b完全反应以将钨590留在衬底500的表面上的成核层501上以及气相中的HF(例如551a和551b)。
如上文参照图4B的操作486所述,并且如图5D所示,WF6可以部分地与H2反应以形成中间体543a,由此在图5E中,中间体543a在成核501上在衬底500的表面上保持部分地反应。由于活化能垒和空间效应,因而涉及WF6和H2的反应机理可能比用于沉积钨成核层的在硼烷或硅烷或锗烷与WF6之间的反应慢。例如,不受特定理论的约束,WF6的化学计量可以使用至少三个H2分子与一个WF6分子反应。WF6与H2的分子部分反应,可能不是形成钨,而是形成中间体。例如,基于化学计量学原理(例如,使用3个H2分子与一个WF6分子反应),这在没有足够的H2在WF6附近与其反应的情况下可能发生,从而在衬底的表面上留下中间体543a。
图5F提供了当室被清扫时衬底的示例性示意图。注意,化合物543c可以是形成的但没有完全反应的中间体,而一些钨590可以形成在衬底上。每个循环由此在衬底上形成亚单层的钨。
例如,图5G示出了重复循环中图4B的操作482,由此气相中的H2 511c被引入衬底中,衬底上具有沉积的钨590和部分反应的中间体543d。注意,所引入的H2现在可以完全与衬底上的中间体543d反应,使得如图5H所示,反应的化合物543d离开沉积的钨590b和590c,并且副产物HF551c和551d形成为气相。一些H2 511c可以保持气相,而一些H2 513c可以保留在钨层590a上。在图5I中,清扫室(由此对应于图4B的操作484),留下沉积的钨590a、590b和590c以及一些H2 513c。在图5J中,WF6再次在投配中引入,使得分子531c和523c然后可以吸附和/或与H2和衬底反应。图5J可以对应于图4B的操作486。在WF6投配之后,室可以再次被清扫并且循环可以再次重复,直到沉积所需的钨厚度。
使用所公开的实施方式沉积的钨膜比通过非顺序CVD沉积的钨具有低的氟浓度,例如低约两个数量级的氟浓度。诸如温度、脉冲时间和其它参数等沉积条件可以根据硬件或工艺修饰而变化。膜的全部拉伸应力可能小于约1GPa。
图6示出了具有V形特征603的衬底的示例,其中沿着特征603的侧壁在沉积的钨650的表面上的氮670防止钨-钨键合,从而减少线弯曲。不受特定理论的约束,相信当沉积另外的钨时,氮解吸并因此很少甚至没有氮掺入沉积的钨膜中。公开的实施方式适用于将诸如钨之类的金属沉积到多个特征中,所述特征在衬底上间隔开,其中相邻特征之间的间距在约20nm和约40nm之间。
图7-11根据各种实施方式提供了示例性时序图,其用于针对连续和脉冲式的氮暴露的变化执行某些公开的实施方式的示例性循环。
图7提供了描绘在工艺700中的顺序CVD的示例性循环的时序图,其包括在每个顺序CVD循环期间周期性氮暴露。图7中所示的实例中描述的阶段包括各种工艺参数,例如载气或清扫气流、氢气流、WF6流(用作沉积钨的含钨前体的实例;其它合适的含金属的前体可用于沉积合适的金属,如钌、或钴、或钼)和氮气流。线表示流何时打开和关闭。注意,在多种实施方式中,等离子体不被点燃,并且未被描绘为工艺参数。图7中未示出但可根据需要进行调制的附加工艺参数包括衬底温度和处理室压强。
工艺700包括两个沉积循环711A和711B,但是应当理解,在某些公开的实施方式中可以使用多于两个的沉积循环。沉积循环711A包括五个阶段,包括氮气投配799A、氢气投配720A、清扫阶段740A、WF6投配760A和清扫阶段770A。氮气投配799A可对应于图4B的操作499。在氮气投配799A期间,可以导通载气流。关闭氢气流和WF6气流并导通氮气流。氢气投配720A可对应于图4B的操作482。在氢气投配720A期间,载气流可以被导通。氢气流导通,而WF6气流和氮气流关闭。清扫阶段740A可对应于图4B的操作484。在清扫阶段740A期间,载气可以继续流动以充当清扫气体。在一些实施方式中,这涉及允许载气流入室而不是将其转移,其可以在氮气、氢气或WF6气体流动期间被转移。在清扫阶段740A期间,氢、WF6和氮气流被关闭。六氟化钨投配760A可对应于图4B的操作486。虽然图7中描绘了WF6,但是应当理解,可以使用其它含钨前体。另外,虽然结合图7提及了钨,但是应当理解,可以使用其它含金属的前体来沉积其它金属。例如,可以使用含钌的前体来沉积钌,可以使用含钼的前体来沉积钼,并且可以使用含钴的前体来沉积钴。在WF6投配760A期间,载气可以流动以将WF6气体引入室中,并且WF6流也被导通。在该投配期间,氢流和氮流被关闭。清扫阶段770A可对应于图4B的操作488。在清扫阶段770A期间,当载气用作清扫气体时,载气流被导通,同时氢气流、WF6气体流和氮气流被关闭。
确定如图4B的操作490中所描绘的,不足够的钨已经沉积,并且重复沉积循环,如沉积循环711B中所描绘的。沉积循环711B包括氮气投配799B、氢气投配720B、清扫阶段740B,WF6投配760B和清扫阶段770B。在氮气投配799B期间,氮气流和载气流被导通,而氢气流和WF6气流关闭。在氢气投配720B期间,载气流和氢气流被导通,而WF6气流和氮气流被关闭。在清扫阶段740B期间,载气流保持导通,而氢气流、WF6气体流和氮气流被关闭。在WF6投配760B期间,导通载气流和WF6气流,而氢气流和氮气流关闭。在清扫阶段770B期间,载气流保持导通,而氢气流、WF6气流和氮气流关闭。
图8-11显示了示例性的钨沉积循环脉冲序列。这样的循环可以是ALD沉积循环或顺序CVD沉积循环。虽然描述了四个示例,但是这些示例不是限制性的。虽然在这些实施例中描述了N2,但是应当理解,在一些实施方式中,可以使用氧气或氨气来替代。尽管在图8-11中描绘了WF6,但是应当理解,可以使用其它含钨前体。另外,虽然结合图8-11提及了钨,但是应当理解,可以使用其它含金属的前体来沉积其它金属。例如,可以使用含钌的前体来沉积钌,可以使用含钼的前体来沉积钼,并且可以使用含钴的前体来沉积钴。
图8所示的序列示出了工艺800,其中N2在顺序CVD期间连续流动,由此含钨前体和还原剂H2被交替地脉冲式输送,其中清扫气体或载气(如氩气)在脉冲之间流动。图8描绘了具有两个沉积循环811A和811B的工艺800。沉积循环811A包括氢气投配820A、清扫阶段840A、WF6投配860A和清扫阶段870A。在整个沉积循环811A和811B中,氮气连续流动。在氢气投配820A期间,载气流、氢气流和氮气流导通,而WF6气体流被关闭。在清扫阶段840A期间,载气流和氮气流导通,而H2和WF6气流被关闭。假设在本示例中用于防止钨-钨键合的气体连续流动,并且使用WF6作为示例的含钨前体,则应当理解,为了将钨-钨键合减少,不会使用NH3来连续流动,以避免NH3和WF6之间的可能产生不良副产物的反应。在WF6投配860A期间,载气流、WF6气流和氮气流被导通,而H2气体被关闭。在清扫阶段870A期间,载气流和氮气流被导通,而H2气流和WF6气流被关闭。该循环在沉积循环811B中重复,沉积循环811B包括氢气投配820B、清扫相840B、WF6投配860B和清扫阶段870B。在氢气投配820B期间,如氢气投配820A类似,载气流、氢气流和氮气流导通,而WF6气流被关闭。在清扫阶段840B期间,载气流和氮气流导通,而H2气流和WF6气流被关闭。在WF6投配860B期间,载气流、WF6气流和氮气流导通,而H2气流被关闭。在清扫阶段870B期间,载气和氮气流保持导通,而氢气流和WF6气流被关闭。
图9所示的序列示出了工艺900,其中在还原剂H2投配期间使用N2。图9描绘了具有两个沉积循环911A和911B的工艺900。沉积循环911A包括氢气投配920A、清扫阶段940A、WF6投配960A和清扫阶段970A。在氢气投配920A期间,载气流、氢气流和氮气流被导通,而WF6气流被关闭。在清扫阶段940A期间,载气流被导通,而H2气流、WF6气流和氮气流被关闭。在WF6投配960A期间,载气流和WF6气流被导通,而氢气流和氮气流保持关闭。在清扫阶段970A期间,载气流保持导通,而H2气流、WF6气流和氮气流被关闭。该循环在沉积循环911B中重复,沉积循环911B包括氢气投配920B、清扫阶段940B、WF6投配960B和清扫阶段970B。在氢气投配920B期间,载气流、氢气流和氮气流导通,而WF6气流被关闭。在清扫阶段940B期间,载气流保持导通,而H2气流、WF6气流和N2气流被关闭。在WF6投配960B期间,载气流和WF6气流导通,而H2气流和氮气流被关闭。在清扫阶段970B期间,载气流保持导通,而H2气流、WF6气流和N2气流被关闭。
图10所示的序列示出了工艺1000,其中在还原剂H2投配之后且在含钨前体投配之前使用的氩脉冲期间使用N2。沉积循环1011A包括氢气投配1020A、清扫阶段1040A、WF6投配1060A和清扫阶段1070A。在氢气投配1020A期间,载气流和氢气流导通,而氮气流和WF6气流关闭。在清扫阶段1040A期间,载气流和氮气流被导通,而氢气流和WF6气流被关闭。在WF6投配1060A期间,载气流和WF6气流被导通,而氢气流和氮气流被关闭。在清扫阶段1070A期间,载气流保持导通,而H2气流、WF6气流和N2气流被关闭。该循环在沉积循环1011B中重复,沉积循环1011B包括氢气投配1020B、清扫阶段1040B、WF6投配1060B和清扫阶段1070B。在氢气投配1020B期间,载气流和氢气流被导通,而WF6气流和氮气流保持关闭。在清扫阶段1040B期间,载气和氮气流动,而H2气流和WF6气流被关闭。在WF6投配1060B期间,载气流和WF6气流导通,而氢气流和氮气流被关闭。在清扫阶段1070B期间,载气流导通,而H2气流、WF6气流和N2气流关闭。
图11所示的序列示出了工艺1100,其中在还原剂H2投配之前且在含钨前体投配之后使用的氩脉冲期间使用N2。沉积循环1111A包括氢气投配1120A、清扫阶段1140A、WF6投配1160A和清扫阶段1170A。在氢气投配1120A期间,载气流和氢气流被导通,而WF6气流和氮气流被关闭。在清扫阶段1140A期间,载气流导通,而H2气流、WF6气流和N2气流被关闭。在WF6投配1160A期间,载气流和WF6气流被导通,而氢气流和氮气流被关闭。在清扫阶段1170A期间,载气流和氮气流被导通,而氢气流和WF6气流被关闭。该循环在沉积循环1111B中重复,沉积循环1111B包括氢气投配1120B、清扫阶段1140B、WF6投配1160B和清扫阶段1170B。在氢气投配1120B期间,载气流和氢气流被导通,而WF6气流和氮气流被关闭。在清扫阶段1140B期间,载气流保持导通,而H2气流、WF6气流和氮气流被关闭。在WF6投配1160B期间,载气流和WF6气流导通,而氢气流和氮气流关闭。在清扫阶段1170B期间,载气流和氮气流被导通,而氢气流和WF6气流被关闭。
虽然在这些实施例中描绘了WF6,但是应当理解,可以使用其它含钨前体,例如WCl6和/或WCl5。此外,为了沉积其它金属,如钌、钼或钴,可以相应地使用合适的含钌前体、含钼前体或含钴前体。
公开的实施方式在钨沉积工艺中可以具有多种应用。例如,在一些实施方式中,可以通过以下方式填充特征:通过用还原剂(例如,硼烷、硅烷或者锗烷)和WF6的交替脉冲(其中周期性暴露于氮气)的ALD循环来沉积钨成核层,随后通过顺序CVD以周期性暴露于氮气进行主体钨沉积,如上文参考图4B所述。
在另一个实例中,在一些实施方式中,可以使用还原剂和WF6的ALD循环沉积钨成核层,然后使用利用还原剂和无氟含钨前体(例如,金属-有机钨前体)的无氟钨的CVD和如上文参照图4B所述的顺序CVD的组合进行主体钨沉积,其中衬底周期性地暴露于氮气以防止线弯曲。无氟钨前体还可以包括羰基钨(W(CO)6)和氯化钨(WClx),如五氯化钨(WCl5)和六氯化钨(WCl6)。
在另一实例中,钨成核层可以通过还原剂和WF6的交替脉冲的ALD循环沉积在特征上,并且主体钨可以通过如上文参照图4B所述的顺序CVD和非顺序CVD之间的交替来沉积,其中衬底周期性地暴露于氮气以防止线弯曲。例如,可以在非顺序CVD的预定的持续时间之间使用多个顺序CVD的循环来沉积主体钨。在具体实例中,主体钨可以按以下方式沉积:使用约5个循环的顺序CVD,随后是5秒的非顺序CVD,然后是5个循环的顺序CVD,另外5秒的非顺序CVD。
在另一个实例中,可以通过以下方式来填充特征:首先通过还原剂和WF6的交替脉冲的ALD循环沉积钨成核层,然后使用顺序CVD部分地填充该特征,并通过非顺序CVD填充特征的其余部分,其中衬底周期性地暴露于氮气以防止线弯曲。
在另一个实例中,可以通过以下方式来填充特征:通过还原剂和WF6的交替脉冲的ALD循环沉积钨成核层,然后通过顺序CVD部分沉积主体钨,并通过无氟钨(例如使用金属-有机钨前体)的CVD完全填充主体,其中衬底周期性地暴露于氮气以防止线弯曲。例如,可以执行顺序CVD的多个循环以用主体钨部分地填充特征,然后通过使用同时暴露于MDNOW和H2的CVD以填充特征的其余部分。注意在一些实施方式中,可以在不沉积成核层的情况下填充特征,但成核层可有助于减少主体钨的生长延迟。
应当理解,本文所述的应用的各种组合可用于沉积钨,并且方法不限于本文提供的实例,其中衬底周期性地暴露于氮气以防止线弯曲。例如,可以使用诸如五氯化钨(WCl5)和六氯化钨(WCl6)之类的含氯钨前体(WClx)代替本文所述的实施方式中的WF6或与WF6组合使用。
在多种实施方式中,浸泡或表面处理操作可以在沉积成核层之前进行。示例性的浸泡或表面处理包括将衬底暴露于硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、锗烷(GeH4)、氩(Ar)、六氟化钨(WF6)、乙硼烷(B2H6)、氢气(H2)、氮气(N2)或其组合。在一些实施方式中,可以使用一种或多种气体浸泡衬底。例如,在一些实施方式中,衬底可以暴露于硅烷持续第一持续时间,然后暴露于乙硼烷持续第二持续时间。这样的操作也可以循环重复。在另一个实例中,衬底可以暴露于乙硼烷持续第一持续时间,然后暴露于硅烷持续第二持续时间。在另一个实例中,衬底可以暴露于乙硼烷持续第一持续时间,然后暴露于氢气持续第二持续时间。在另一个实例中,衬底可以暴露于硅烷持续第一持续时间,然后暴露于氢气持续第二持续时间。在一些实施方式中,可以组合任何上述浸泡工艺,将衬底暴露于氮气中。在任何所公开的实施方式中,容纳衬底的室可以在一个或多个浸泡操作之间清扫。可以通过使诸如氩气之类的惰性气体流入室中来进行清扫。例如,在一个实例中,衬底可以暴露于乙硼烷持续第一持续时间,然后将室清扫,接着可以将衬底暴露于硅烷持续第二持续时间。
根据某些公开的实施方式在沉积主体钨层之前沉积的成核层可以通过在含钨前体和还原剂(例如硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、锗烷(GeH4)、或乙硼烷(B2H6))之间交替来沉积。在一些实施方式中,通过将衬底暴露于含钨前体和硅烷的交替脉冲来沉积成核层。在一些实施方式中,通过将衬底暴露于含钨前体和乙硼烷的交替脉冲来沉积成核层。在一些实施方式中,通过将衬底暴露于含钨前体和硅烷的交替脉冲,然后将衬底暴露于含钨前体和乙硼烷的交替脉冲来沉积成核层。在一些实施方式中,通过将衬底暴露于含钨前体和乙硼烷的交替脉冲,然后将衬底暴露于含钨前体和硅烷的交替脉冲来沉积成核层。在一些实施方式中,通过将衬底暴露于含钨前体和硅烷的交替脉冲,然后将衬底暴露于含钨前体和乙硼烷的交替脉冲,接着将衬底暴露于含钨前体和硅烷的交替脉冲来沉积成核层。在一些实施方式中,通过将衬底暴露于含钨前体和乙硼烷的交替脉冲,然后将衬底暴露于含钨前体和硅烷的交替脉冲,接着将衬底暴露于含钨前体和乙硼烷的交替脉冲来沉积成核层。在任何公开的实施方式中,容纳衬底的室可以在用于沉积成核层的一个或多个投配操作之间被清扫。可以通过将诸如氩气之类的惰性气体流入室中来进行清扫。可以使用任何合适的惰性气体进行清扫。例如,在一些实施方式中,衬底可以暴露于含钨前体的脉冲,然后可以清扫室,接着衬底可以暴露于硅烷的脉冲,并且室可以被再次清扫,并且这样的操作可以循环重复。
可以在上述任何实施方案中使用的成核层沉积可以包括在整个成核沉积工艺期间、或在硅烷投配期间、或在乙硼烷投配期间、或在含钨前体投配(例如WF6投配)期间、或在任何清扫时间期间使氢气(H2)、氩气(Ar)、氮气(N2)或其组合中的任何一种协同流动(co-flowing)。在一些实施方式中,表面处理操作可以在成核生长期间或之后通过将衬底暴露于硅烷、乙硅烷、丙硅烷、锗烷、乙硼烷、氢、六氟化钨、氮气、氩气及其组合中的任何一种进行。例如,在沉积成核层期间,可将衬底暴露于硅烷和WF6的交替脉冲,然后可以将衬底进行硅烷浸泡,接着衬底可以恢复暴露于硅烷和WF6的交替脉冲。这样的操作可以循环进行。例如,在一些实施方式中,可以重复以下循环一次或多次以沉积成核层:SiH4和WF6的交替脉冲并暴露于表面处理。
在一些实施方式中,成核层可以通过以一个或多个循环,按任何序列和顺序,将衬底暴露于含钨前体和以下气体中的任何一种或多种的任何组合来沉积:乙硼烷、硅烷、乙硅烷、丙硅烷、氢、氮和锗烷(GeH4)。例如,在一些实施方式中,可以通过将衬底暴露于乙硼烷、将衬底暴露于六氟化钨、将衬底暴露于硅烷、并将衬底暴露于氢来沉积成核层。这样的操作可以重复一个或多个循环。在另一个实例中,在一些实施方式中,可以通过将衬底暴露于硅烷、将衬底暴露于六氟化钨、并将衬底暴露于氢来沉积成核层。这样的操作可以重复一个或多个循环。在另一个实例中,在一些实施方式中,可以通过将衬底暴露于乙硼烷、将衬底暴露于氢、并将衬底暴露于六氟化钨来沉积成核层。这样的操作可以重复一个或多个循环。在另一个实例中,在一些实施方式中,可以通过将衬底暴露于氮、将衬底暴露于乙硼烷并将衬底暴露于六氟化钨来沉积成核层。这样的操作可以重复一个或多个循环。在另一个实例中,在一些实施方式中,可以通过将衬底暴露于硅烷、将衬底暴露于氮、并将衬底暴露于六氟化钨来沉积成核层。这样的操作可以重复一个或多个循环。在任何所描述的实施方式中,可以在使用任何可用的气体进行成核循环的沉积之前、期间或之后对衬底进行表面处理和/或浸泡操作。在一些实施方式中,在成核沉积工艺的一次或多次暴露期间,附加气体可以与任何上述气体协同流动。在任何公开的实施方式中,容纳衬底的室可以在用于沉积成核层的一个或多个投配操作之间进行清扫。可以通过将诸如氩气之类的惰性气体流入室中来进行清扫。可以使用任何合适的惰性气体进行清扫。应当理解,在一些实施方式中,在沉积钨成核层期间,衬底可以周期性地暴露于氮。
可以使用在本文和在2015年5月27日提交的美国专利申请序列No.14/723,275(代理人案卷No.LAMRP183/3623-1US)中所述的任何公开的实施方式沉积主体钨沉积物,该专利申请通过引用全部并入本文。在任何上述实施方式中,主体钨也可以周期性地沉积,其中在主体沉积之间进行再成核和/或浸泡和/或表面处理和/或常规CVD沉积操作。例如,在一些实施方式中,可以使用上文参照图4B所述的公开的实施方式来沉积主体钨,然后主体钨沉积可以暂停,然后衬底可暴露于硅烷和WF6的交替脉冲,或乙硼烷和WF6的交替脉冲以重新成核衬底的表面,然后可以使用如上参照图4B所述的公开实施方式来恢复主体钨沉积。这样的操作可以重复任何数量的循环。在另一个实例中,在一些实施方式中,可以使用上文参照图4B所述的公开实施方式来沉积主体钨,然后可以暂停主体钨沉积,接着可以通过使硅烷、乙硅烷、丙硅烷、锗烷、乙硼烷、氢、六氟化钨、氮气、氩气及其组合中的任何一种流动来将衬底进行浸泡或表面处理,以处理衬底的表面,然后可以使用如上参照图4B所述的公开实施方式来恢复主体钨沉积。可以通过将衬底暴露于含钨前体(如WF6)和以下气体中的任何一种或多种来进行主体钨沉积:氢、硅烷、乙硅烷、丙硅烷、乙硼烷、氮、氩和锗烷。也可以使用如上所述的顺序CVD和常规CVD的组合来沉积主体钨。常规CVD可以在使用顺序CVD沉积主体钨之前、期间(例如通过在顺序和常规CVD之间循环)或之后进行。应当理解,在一些实施方式中,在沉积钨主体层期间,衬底可以周期性地暴露于氮气。
在一些实施方式中,可以在沉积主体钨之前和沉积成核层之后,在任何合适的温度下对衬底进行退火。在一些实施方式中,可以在沉积主体钨层之后,在任何合适的温度下对衬底进行退火。在一些实施方式中,可以在沉积主体钨期间的中间时间期间,在任何合适的温度下对衬底进行退火。退火可以在任何合适的气体环境中进行,合适的气体环境例如包括以下气体中的一种或多种的环境:含钨气体(例如WF6)、氢、硅烷、乙硅烷、丙硅烷、乙硼烷、氮气、氩气和锗烷。
在多种实施方式中,容纳衬底的室可以在根据如上文参照图4B所述的公开实施方式的用于沉积主体钨的含钨前体和还原剂的投配之前或之后被抽空或清扫。在一些实施方式中,延迟时间可以被并入如本文所述的顺序CVD沉积的投配或清扫步骤中。在一些实施方式中,一种或多种气体可以在使用任何以下气体中的一种或多种的投配或清扫操作期间协同流动:WF6、氢、硅烷、乙硅烷、丙硅烷、乙硼烷、氮气、氩气和锗烷。
成核沉积期间的衬底的温度与上文参照图4B所述的顺序CVD期间的衬底的温度可能不同。衬底的温度将被理解为表示保持衬底的基座所设置的温度。公开的实施方式可以在任何合适的压强下进行,例如在大于约10托的压强或小于约10托的压强下进行。对于多站式室,每个基座可设置在不同的温度。在一些实施方式中,每个基座设置在相同的温度。根据所公开的实施方式,在任何或所有上述操作期间,衬底可以从站到站循环。室压强也可以在某些公开的实施方式的一个或多个操作中进行调制。在一些实施方式中,成核沉积期间的室压强与主体沉积期间的室压强不同。在一些实施方式中,成核沉积期间的室压强与主体沉积期间的室压强相同。
在任何上述暴露期间,气体可以被脉冲化或连续流动。例如,在一些实施方式中,在顺序CVD操作的WF6投配期间,在单投配期间,WF6可以被脉冲化一次或多次。同样地,在一些实施方式中,在清扫期间,惰性气体可以在单次清扫操作期间的一次或多次期间被脉冲化。这种脉冲化操作可以在成核沉积的任何操作或主体沉积的任何操作或其任何组合中进行。在一些实施方式中,可以使用对一个或多个参数(例如压强、流率和温度)的一个或多个变化。在一些实施方式中,可以在成核沉积或主体沉积或二者的任何操作期间移动基座,使得可以调节基座上的在衬底和喷头之间的间隙。移动基座可以与更改一个或多个参数(如压强、温度或流率)组合使用。调节衬底和喷头之间的间隙可以影响根据某些公开的实施方式可以使用的压强、温度或流率。应当理解,本文所述的任何工艺可适用于涉及ALD的技术。
装置
任何合适的室均可用于实施所公开的实施方式。示例性沉积装置包括多种系统,例如
Figure BDA0003500053240000331
Figure BDA0003500053240000332
Max,其可从加州弗里蒙特的Lam Research Corp.获得,或多种其他市售的处理系统中的任何一种。在一些实施方式中,顺序化学气相沉积(CVD)可以在第一站处执行,该第一站是位于单个沉积室内的两个、五个或甚至更多个沉积站中的一个。因此,例如,氢(H2)和六氟化钨(WF6)可以使用在半导体衬底的表面产生局部气氛的单独的气体供给系统交替引入到在第一站处的该衬底表面。另一站可用于无氟钨沉积,或非顺序CVD。另一站可以用于在低压下沉积钨成核层。另一站可以用于周期性氮暴露。在一些实施方式中,与沉积在相同的站中执行周期性氮暴露。可以使用两个或更多个站来以并联处理方式沉积钨。替代地,可以将晶片换位,以顺序地在两个或更多个站上执行沉积操作。
图12是适用于根据本发明的实施方式进行钨薄膜沉积工艺的处理系统的框图。所述系统1200包括传送模块1203。当正被处理的衬底在不同的反应器模块之间移动时,传送模块1203提供干净的加压环境以尽可能减小所述衬底的污染风险。安装在传送模块1203上的是多站式反应器1209,多站式反应器1209能够执行原子层沉积(ALD),以及根据实施方式的利用氮气或抑制气体暴露的顺序CVD。在一些实施方式中,多站式反应器1209也可以用于执行无氟钨沉积和/或非顺序CVD。反应器1209可以包括可以依照所公开的实施方式顺序执行操作的多个站1211、1213、1215和1217。例如,反应器1209可以被配置为使得站1211通过ALD执行成核层沉积,站1213执行顺序CVD,站1215执行无氟钨沉积,并且站1217执行非顺序CVD。站可以被配置为将晶片暴露于周期性脉冲或氮气、氧气或氨气的连续流,以防止衬底上的线弯曲。站可以包括加热基座或衬底支撑件、一个或多个气体入口或喷头或分散板。沉积站1300的实例在图13中示出,其包括衬底支撑件1302和喷头1303。加热器可以设置在基座部分1301内。
也可以安装在所述传送模块1203上的是一个或更多个单或多站式模块1207,其能进行等离子体或化学(非等离子体)预清洗。该模块也可用于多种处理,以例如制备用于沉积工艺的衬底。所述系统1200还包括一个或更多个晶片源模块1201,在处理之前和之后晶片被存储在晶片源模块1201。大气转移室1219中的大气机械手(未示出)可以首先将晶片从源模块1201移动到装载锁1221。传送模块1203中的晶片传移设备(通常为机械手臂单元)将晶片从装载锁1221移动到安装在传送模块1203上的模块上以及将晶片在这些模块之间移动。
在多种实施方式中,采用系统控制器1229控制沉积过程中的工艺条件。所述控制器1229将通常包括一个或更多个存储器器件和一个或更多个处理器。所述处理器可包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
所述控制器1229可控制所有沉积设备的活动。所述系统控制器1229运行系统控制软件,所述系统控制软件包括用于控制时序、气体混合、室压力、室温度、晶片温度、射频(RF)功率电平、晶片卡盘或基座位置和特定工艺的其他参数的指令集。在一些实施方式中,可以使用存储在与控制器1229相关的存储器器件上的其他计算机程序。
通常,将有与控制器1229相关联的用户界面。用户界面可包括显示屏,所述装置和/或工艺条件的图形软件显示器和用户输入设备,例如定点设备、键盘、触摸屏、麦克风等。
系统控制逻辑可以任何合适的方式进行配置。一般情况下,所述逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件提供。所述指令可通过“编程”提供。这样的编程被理解为包括任何形式的逻辑,该逻辑包括数字信号处理器、专用集成电路以及具有作为硬件实施的具体算法的其他设备中的硬编码逻辑。编程也被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以以任何合适的计算机可读编程语言编码。
用于控制工艺序列中的含锗还原剂脉冲、氢气流量、和含钨前体脉冲以及其他工艺的计算机程序代码可以任何常规的计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其它写入。由处理器执行编译后的目标代码或脚本以进行程序中识别的任务。还如所指示的,程序代码可以是硬编码的。
控制器参数涉及工艺条件,诸如例如工艺气体组成和流率、温度、压力、冷却气体压强、衬底温度和室壁温度。这些参数以配方的形式提供给用户,并且可利用用户界面输入。
用于监控工艺的信号可以通过系统控制器1229的模拟和/或数字输入连接来提供。用于控制工艺的信号通过沉积装置1220的模拟和数字输出连接件输出。
所述系统软件可以许多不同的方式进行设计或配置。例如,可以写入多个室组件子程序或控制目标以控制根据公开的实施方式执行沉积工艺所需要的室组件的操作。用于此目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码、和加热器控制代码。
在一些实施方案中,控制器1229是系统的一部分,该系统可以是上述实施例的一部分。这样的系统包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流系统等)。这些系统可以与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些系统的操作。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子部分。根据处理要求和/或系统的类型的不同,控制器1229可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制处理气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、等离子体脉冲频率设置、流体输送设置、位置和操作设置、晶片的进出工具和其他转移工具和/或连接到特定系统的或与该系统接口的加载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种不同的设置(或程序文件)形式输送到控制器或系统的指令,不同的设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片进行特定处理的操作参数。在一些实施方式中,所述操作参数可以是由工艺工程师定义的用以完成在晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或裸芯片的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器1229可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器1229可以在“云端”或者是晶片厂(fab)主计算机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些参数和/或设置然后从远程计算机传输到系统。在一些示例中,控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例将是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的在室内的一个或多个集成电路,它们结合以控制室内的工艺。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、CVD室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联的或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
控制器1229可以包括不同的程序。衬底定位程序可包括用于控制室组件的程序代码,所述室组件用于将衬底加载到基座或卡盘上并控制衬底和室的其他部件例如气体入口和/或靶之间的间隔。工艺气体控制程序可包括用于控制气体组成、流率、脉冲时间以及任选地用于在沉积之前使气体流入室以稳定室中的压力的代码。压力控制程序可包括用于通过调节例如室中的排气系统中的节流阀而控制室中的压力的代码。加热器控制程序可包括用于控制用于加热衬底的加热单元的电流的代码。或者,所述加热器控制程序可控制传热气体例如氦气向晶片卡盘的输送。
可在沉积过程中被监控的室传感器的示例包括质量流量控制器、压力传感器例如压力计和位于基座或卡盘中的热电偶。经适当编程的反馈和控制算法可与来自这些传感器的数据一起用于维持所需的工艺条件。
上述内容描述了在单室或多室半导体加工工具中实施的本发明的实施方式。本文描述的设备和工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
实验
实验1
对于在40托的压强下在395℃下沉积主体钨的四种工艺进行实验。在每种工艺中,使用交替循环乙硼烷(B2H6)和六氟化钨(WF6)的原子层沉积(ALD)将主体钨沉积在所沉积的钨成核层上。图14为这四种工艺中的每一种提供了示例性脉冲方案。在工艺1中,H2和WF6如在传统化学气相沉积(CVD)期间那样同时并连续地流入室。在工艺2中,当WF6被施以脉冲(例如脉冲式CVD)时,H2连续流动。在工艺3中,在H2被施以脉冲(例如,脉冲式CVD)时,WF6连续流动。在工艺4中,使用诸如上述参照图4B所述的方法之类的方法(例如,顺序CVD),H2和WF6被交替施以脉冲。测量使用这四种方法中的每一种沉积的膜的钨成核层的厚度、应力、非均匀性和电阻率,并将其编辑在下表1中。
表1.电阻率和应力
Figure BDA0003500053240000391
如表1所示,使用工艺4沉积的钨膜的应力和电阻率两者都显著低于使用工艺1-3中的任何一种沉积的膜。
实验2
对在两种衬底上沉积主体钨的工艺进行实验,两种衬底都包括氮化钛(TiN)阻挡层和通过交替循环B2H6和WF6的ALD沉积的钨成核层。一种衬底涉及使用非顺序CVD沉积主体钨,该非顺序CVD包括在300℃下将衬底同时暴露于WF6和H2。另一种衬底涉及使用如上文参照图4B描述的顺序CVD沉积主体钨,该顺序CVD包括在10托的室压强下WF6和H2的交替脉冲。测量两种衬底的氟浓度。该实验的条件示于表2中。结果绘制在图15中。
表2.实验2条件
Figure BDA0003500053240000392
线1500表示具有通过非顺序CVD沉积的钨的衬底的氟浓度。线1501显示具有通过顺序CVD沉积的钨的衬底的氟浓度。在约
Figure BDA0003500053240000402
处的W/TiN界面线表示钨成核层和TiN阻挡层之间的界面。在约
Figure BDA0003500053240000403
处的TiN/氧化物界面虚线表示TiN阻挡层和氧化物之间的界面。注意,图的y轴上的氟浓度是数量级,并且顺序CVD的氟浓度1501显著低于非顺序CVD的氟浓度1500-直至在某衬底深度处两种氟浓度的数量级降低。
实验3
对在不同压强下在衬底上沉积主体钨的工艺进行实验。三种衬底各自包含TiN阻挡层。一种衬底涉及通过在10托下交替循环B2H6和WF6的ALD所沉积的钨成核层的沉积,随后是通过在300℃下将衬底暴露于WF6和H2进行的主体钨的CVD,另一种衬底涉及通过在10托下交替循环B2H6和WF6的ALD所沉积的钨成核层的沉积,随后是通过在10托下WF6和H2的交替脉冲进行的主体钨的顺序CVD。第三种衬底涉及通过在3托下交替循环B2H6和WF6沉积的钨成核层的ALD,随后是在10托下使用WF6和H2的交替脉冲进行主体钨的顺序CVD。测量所有三种衬底的氟浓度。该实验的条件示于表3中。结果绘制在图16中。
表3.实验3条件
Figure BDA0003500053240000401
线1600表示第一种衬底的氟浓度,在该第一种衬底上通过非顺序CVD沉积主体钨。短划线1601表示第二种衬底的氟浓度,在10托下在该第二种衬底上沉积成核层,然后通过顺序CVD沉积主体钨。点划线1603表示第三种衬底的氟浓度,在3托下在该第三种衬底上沉积成核层,然后通过顺序CVD沉积主体钨。结果表明,随后进行顺序CVD(1603)的低压成核层比第二种衬底(1601)显示较低的氟浓度,即使在W/TiN界面处以及即使在TiN层(在
Figure BDA0003500053240000411
Figure BDA0003500053240000412
之间)也如此。这表明,由于在钨膜中的氟浓度的量减小,因此扩散到TiN层和氧化物中的氟也会减少。
实验4
对使用钨沉积的不同组合在衬底上沉积主体钨的工艺进行实验。比较了三种衬底。一种衬底包括
Figure BDA0003500053240000413
的热氧化物、
Figure BDA0003500053240000414
的TiN、使用交替WF6和B2H6的脉冲的ALD在3托下沉积的
Figure BDA0003500053240000415
钨成核层,以及使用WF6和H2的脉冲通过顺序CVD在10托下沉积的主体钨。该衬底的氟浓度由图17中的短划线1712表示。另一种衬底包括
Figure BDA0003500053240000416
的热氧化物、
Figure BDA0003500053240000417
的TiN、
Figure BDA0003500053240000418
无氟钨、使用交替WF6和B2H6的脉冲的ALD在3托下沉积的
Figure BDA0003500053240000419
钨成核层,以及使用WF6和H2的脉冲通过顺序CVD在10托下沉积的主体钨。该第二衬底的氟浓度由图17中的线1711表示。第三种衬底包括
Figure BDA00035000532400004110
的TEOS沉积的氧化物、
Figure BDA00035000532400004111
的无氟钨、使用交替WF6和B2H6的脉冲的ALD在3托下沉积的
Figure BDA00035000532400004112
钨成核层,以及使用WF6和H2的脉冲通过顺序CVD在10托下沉积的主体钨。该衬底的氟浓度由图17中的点划线1713表示。该实验的沉积在每个衬底上的层总结在表4中。
表4.实验4条件
Figure BDA0003500053240000421
如图17所示,使用无氟钨,低压成核层和顺序CVD的组合沉积的膜的氟浓度具有较少的氟扩散(参见深度大于
Figure BDA0003500053240000422
的超过W/TiN界面的线1711和线1713)。对于在衬底上沉积更多无氟钨的膜,在成核层附近介于
Figure BDA0003500053240000423
Figure BDA0003500053240000424
之间的氟浓度最低,而使用顺序CVD和低压成核而不使用无氟钨层沉积的膜的主体钨在约
Figure BDA0003500053240000425
Figure BDA0003500053240000426
之间具有较低的氟浓度(见线1712)。这些结果表明,无氟钨的沉积和钨的顺序CVD的组合可能导致钨膜实现极低的氟浓度和降低的氟扩散。
实验5
对于通过顺序CVD与低压成核层沉积结合而沉积的工艺膜和通过顺序CVD与高压成核层沉积结合而沉积的工艺膜的关系进行实验。一种衬底包括使用在10托下交替循环WF6和B2H6的ALD沉积的钨成核层,以及通过如上文根据图4B所述的顺序CVD在10托下使用WF6和H2的交替脉冲沉积的主体钨。膜的应力和电阻率在不同厚度下测量,并且在图18A和18B中示出为线1801“低压成核”。另一种衬底包括使用在40托下交替循环WF6和B2H6的ALD沉积的钨成核层,以及通过如上文根据图4B所述的顺序CVD在10托下使用WF6和H2的交替脉冲沉积的主体钨。膜的应力和电阻率在不同厚度下测量,并且在图18A和18B中示出为线1802“高压成核”。成核和主体层沉积的条件如表5所示。
表5.实验5条件
Figure BDA0003500053240000431
如结果所示,有在低压下沉积的成核层的衬底比有在高压下沉积的成核层的衬底具有显著较低的应力,而电阻率保持大致相同。
实验6
对于通过顺序CVD与低温成核层沉积结合而沉积的工艺膜和通过顺序CVD与高温成核层沉积结合而沉积的工艺膜的关系进行实验。一种衬底包括使用在10托和250℃下交替循环WF6和B2H6的ALD沉积的钨成核层,以及通过如上文根据图4B所述的顺序CVD在10托下使用WF6和H2的交替脉冲沉积的主体钨。膜的应力和电阻率在不同厚度下测量,并且在图19A和19B中示出为线1902“低T成核”。另一种衬底包括使用在10托和300℃下交替循环WF6和B2H6的ALD沉积的钨成核层,以及通过如上文根据图4B所述的顺序CVD在10托下使用WF6和H2的交替脉冲沉积的主体钨。膜的应力和电阻率在不同厚度下测量,并且在图19A和19B中示出为线1904“高T成核”。成核和主体层沉积的条件如表6所示。
表6.实验6条件
Figure BDA0003500053240000441
如结果所示,有在低温下沉积的成核层的衬底比有在高温下沉积的成核层的衬底具有显著较低的应力,而在较高温度下沉积的膜的电阻率略低于在较低温度下沉积的膜的电阻率。这些结果表明,较低温度的成核层沉积与顺序CVD主体沉积结合可以显著降低膜的应力。
实验7
在有氮添加和没有氮添加的情况下进行bWL填充的实验。在氢气暴露期间,加入氮以重复以下循环:含钨前体暴露,使用氩气清扫,还原剂氢气暴露和使用氩气清扫。对于不使用氮的衬底,在430℃下进行沉积,包括如上所述的沉积成核层和沉积钨的重复沉积循环。通过测量填充有金属(即钨)的沟槽的线宽和粗糙度来进行线弯曲分析。线弯曲分析涉及使用平面视角显微镜对器件开口顶部的金属进行成像,并测量多条线上的多个点处的金属宽度。对于每条线,在100点之间测量线宽度。然后根据每条线计算平均线宽和线宽的变化,其有时被定义为粗糙度。“线宽平均值”是分析期间测得的所有单独线的平均线宽的平均值。对于线弯曲,两个主要指标定义如下:(i)线对线(LTL)变化是平均线宽的标准偏差,从而捕获在图像上不同线的线宽变化的变量,以及(ii)线宽粗糙度(LWR)是来自所有测量的线的线粗糙度(每条线中线宽的变量)的平均值,从而捕获单条线内的平均线宽变量。这两个指标LTL和LWR被组合为单变量指标总σ,如上文所述。此外,LTL和总σ相对于线宽平均值归一化,描述为LTL%和总σ%。这些计算的实例在下表7中描述。
对于衬底(其中在还原剂氢气暴露中引入氮气流,其中50%的流率是氮气流),在435℃下进行沉积。沉积成核层,并进行含钨前体、氩气清扫、氢气和氮气协同流动、以及氩清扫的循环。所得的线宽平均值、LTL、和LWR以及总方差、LTL百分比和总方差百分比在下表7中描述。
表7.实验7结果
Figure BDA0003500053240000451
这些结果基于横截面和自上而下的SEM图像分析。所用的脉冲序列涉及在还原剂H2转化过程中的N2暴露。结果表明,填充良好,线弯曲最小化,在主体工艺中为50%的N2。使用N2的衬底中的线弯曲显著小于在不使用N2的衬底中发现的线弯曲。
结论
虽然为了清楚理解的目的,已经在一定程度上详细描述了上述实施方式,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。应当注意,有实现本发明的实施方式的工艺、系统、和设备的许多替代方式。因此,本发明的实施方式应被认为是说明性的而不是限制性的,并且这些实施方式并不受限于这里给出的细节。

Claims (1)

1.一种填充在衬底上的特征以形成线的方法,所述方法包括:
(a)提供具有多个间隔开的特征的衬底,相邻特征之间的间距为介于约20nm和约40nm之间,每个特征具有特征开口宽度,其中,所述特征的宽度从所述特征的顶部到所述特征的底部变窄;
(b)在所述衬底上的所述多个特征中沉积第一量的钨;
(c)在沉积所述第一量的钨之后,将所述多个特征中的所述第一量的钨暴露于氮气中;以及
(d)在所述多个特征中的所述第一量的钨上沉积第二量的钨。
CN202210124989.1A 2016-08-16 2017-08-16 用于在金属填充工艺期间防止线弯曲的方法 Pending CN114678326A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662375856P 2016-08-16 2016-08-16
US62/375,856 2016-08-16
US15/673,320 US10573522B2 (en) 2016-08-16 2017-08-09 Method for preventing line bending during metal fill process
US15/673,320 2017-08-09
CN201710700258.6A CN107768304B (zh) 2016-08-16 2017-08-16 用于在金属填充工艺期间防止线弯曲的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201710700258.6A Division CN107768304B (zh) 2016-08-16 2017-08-16 用于在金属填充工艺期间防止线弯曲的方法

Publications (1)

Publication Number Publication Date
CN114678326A true CN114678326A (zh) 2022-06-28

Family

ID=61192035

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710700258.6A Active CN107768304B (zh) 2016-08-16 2017-08-16 用于在金属填充工艺期间防止线弯曲的方法
CN202210124989.1A Pending CN114678326A (zh) 2016-08-16 2017-08-16 用于在金属填充工艺期间防止线弯曲的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710700258.6A Active CN107768304B (zh) 2016-08-16 2017-08-16 用于在金属填充工艺期间防止线弯曲的方法

Country Status (4)

Country Link
US (3) US10573522B2 (zh)
KR (2) KR20180019487A (zh)
CN (2) CN107768304B (zh)
TW (1) TW201818458A (zh)

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
CN110088875B (zh) * 2016-12-15 2023-09-01 应用材料公司 无成核的间隙填充ald工艺
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10014180B1 (en) * 2017-08-21 2018-07-03 Globalfoundries Inc. Tungsten gate and method for forming
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US20190067003A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US20190067095A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7009615B2 (ja) * 2018-03-26 2022-01-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP7023150B2 (ja) * 2018-03-26 2022-02-21 東京エレクトロン株式会社 タングステン膜の成膜方法及び制御装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20200140391A (ko) * 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
WO2020023790A1 (en) * 2018-07-26 2020-01-30 Lam Research Corporation Deposition of pure metal films
CN112514052A (zh) 2018-07-31 2021-03-16 朗姆研究公司 多层特征填充
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20200038184A (ko) 2018-10-01 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP2022509621A (ja) 2018-11-19 2022-01-21 ラム リサーチ コーポレーション タングステン用モリブデンテンプレート
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
CN113508465A (zh) * 2018-11-30 2021-10-15 朗姆研究公司 存储器应用的线挠曲控制
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN113424300A (zh) * 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11823896B2 (en) * 2019-02-22 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure formed by cyclic chemical vapor deposition
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
CN109904116B (zh) * 2019-03-20 2021-03-02 上海华虹宏力半导体制造有限公司 一种接触孔结构的制作方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20210141762A (ko) 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI724807B (zh) * 2019-07-24 2021-04-11 友達光電股份有限公司 可撓式裝置
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20220047333A (ko) 2019-08-12 2022-04-15 램 리써치 코포레이션 텅스텐 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
US20220349048A1 (en) * 2019-08-21 2022-11-03 Lam Research Corporation Reducing line bending during metal fill process
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US20220375792A1 (en) * 2019-10-15 2022-11-24 Lam Research Corporation Molybdenum fill
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20210126103A1 (en) * 2019-10-29 2021-04-29 Micron Technology, Inc. Apparatus comprising wordlines comprising multiple metal materials, and related methods and electronic systems
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
EP4056731A4 (en) * 2019-11-06 2023-08-30 Creative Coatings Co., Ltd. FILM FORMING METHOD AND FILM FORMING DEVICE
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
US20230130557A1 (en) * 2020-03-04 2023-04-27 Lam Research Corporation Reactant gas pulse delivery
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11594537B2 (en) 2020-07-06 2023-02-28 Applied Materials, Inc. 3-d dram cell with mechanical stability
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN113629004A (zh) * 2020-12-07 2021-11-09 联芯集成电路制造(厦门)有限公司 形成钨接触插塞的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11482452B2 (en) * 2020-12-24 2022-10-25 Wonik Ips Co., Ltd Method of forming a contact plug in a semiconductor integrated circuit device
US11587936B2 (en) 2021-02-26 2023-02-21 Applied Materials, Inc. Low resistivity DRAM buried word line stack
CN115812111A (zh) * 2021-03-15 2023-03-17 朗姆研究公司 减少金属填充期间的线弯曲
JP2022141425A (ja) 2021-03-15 2022-09-29 キオクシア株式会社 半導体製造方法および半導体装置
CN113053809A (zh) * 2021-03-22 2021-06-29 长江存储科技有限责任公司 化学气相沉积方法、三维存储器及制备方法、存储器系统
US12114488B2 (en) * 2021-05-05 2024-10-08 Applied Materials, Inc. Enhancing gapfill performance of dram word line
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230008315A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Features of Semiconductor Devices and Methods of Forming the Same
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024536376A (ja) 2021-10-05 2024-10-04 アプライド マテリアルズ インコーポレイテッド 低抵抗率のタングステン特徴を形成するための方法
CN114420533B (zh) * 2021-12-08 2024-10-18 武汉新芯集成电路股份有限公司 在半导体晶片上制备钨的方法
TWI817445B (zh) * 2022-01-19 2023-10-01 南亞科技股份有限公司 導電特徵及半導體元件的製備方法
US11842925B2 (en) 2022-01-19 2023-12-12 Nanya Technology Corporation Method for fabricating conductive feature and semiconductor device
WO2023164413A1 (en) * 2022-02-24 2023-08-31 Lam Research Corporation Low resistance molybdenum deposition for logic source/drain contacts
US20230402388A1 (en) * 2022-06-08 2023-12-14 Nanya Technology Corporation Semiconductor device with composite contact structure
TWI847524B (zh) * 2022-06-10 2024-07-01 南亞科技股份有限公司 半導體元件的無孔隙導電特徵的製造方法
WO2024129781A1 (en) * 2022-12-14 2024-06-20 Lam Research Corporation Feature fill using inhibition

Family Cites Families (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
EP1069611A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Method and apparatus for forming a conductive via comprising a refractory metal
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
JP2536377B2 (ja) 1992-11-27 1996-09-18 日本電気株式会社 半導体装置およびその製造方法
JP3216345B2 (ja) 1993-04-06 2001-10-09 ソニー株式会社 半導体装置及びその作製方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6103609A (en) 1997-12-11 2000-08-15 Lg Semicon Co., Ltd. Method for fabricating semiconductor device
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100338941B1 (ko) 1999-11-26 2002-05-31 박종섭 반도체소자의 컨택 형성방법
JP2001284360A (ja) 2000-03-31 2001-10-12 Hitachi Ltd 半導体装置
WO2001089017A1 (en) 2000-05-18 2001-11-22 Corning Incorporated High performance solid electrolyte fuel cells
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
AU2003304283B2 (en) 2002-12-23 2009-10-22 Applied Thin Films, Inc. Aluminum phosphate coatings
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP2005026380A (ja) 2003-06-30 2005-01-27 Toshiba Corp 不揮発性メモリを含む半導体装置及びその製造方法
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2005150416A (ja) 2003-11-17 2005-06-09 Hitachi Ltd 半導体集積回路装置及びその製造方法
KR20050054122A (ko) 2003-12-04 2005-06-10 성명모 자외선 원자층 증착법을 이용한 박막 제조 방법
US7115304B2 (en) * 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
KR100615093B1 (ko) 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
EP1728894B1 (en) 2005-06-01 2008-10-15 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
CN101278072A (zh) 2005-08-02 2008-10-01 麻省理工学院 使用nf3除去表面沉积物的方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070066060A1 (en) * 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
KR20080061978A (ko) 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
CN101308794B (zh) 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
KR100890047B1 (ko) 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
WO2009067381A1 (en) 2007-11-21 2009-05-28 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US9217200B2 (en) * 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
WO2009125255A1 (en) 2008-04-11 2009-10-15 Freescale Semiconductor, Inc. Surface treatment in semiconductor manufacturing
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8236691B2 (en) 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
KR20100096488A (ko) 2009-02-24 2010-09-02 삼성전자주식회사 리세스 채널 구조를 갖는 반도체 소자
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US20110020546A1 (en) 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
EP2462148A1 (en) 2009-08-07 2012-06-13 Sigma-Aldrich Co. LLC High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
TW201125028A (en) 2009-09-02 2011-07-16 Ulvac Inc Method for forming co film and method for forming cu wiring film
SG10201407519TA (en) 2009-11-19 2015-01-29 Univ Singapore Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof
US8642797B2 (en) 2010-02-25 2014-02-04 Air Products And Chemicals, Inc. Amidate precursors for depositing metal containing films
KR20130055582A (ko) 2010-03-17 2013-05-28 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법
JP2011199021A (ja) 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US8969823B2 (en) * 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
WO2013095433A1 (en) * 2011-12-21 2013-06-27 Intel Corporation Electroless filled conductive structures
JP6209168B2 (ja) * 2012-01-26 2017-10-04 シグマ−アルドリッチ・カンパニー、エルエルシー モリブデンアリル錯体及び薄膜堆積におけるその使用
WO2013148444A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
JP2014074190A (ja) 2012-10-02 2014-04-24 Tokyo Electron Ltd 成膜装置
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9546419B2 (en) 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP2014160757A (ja) * 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US9048299B2 (en) * 2013-03-12 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning approach to reduce via to via minimum spacing
US9425092B2 (en) 2013-03-15 2016-08-23 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
JP5826782B2 (ja) 2013-03-19 2015-12-02 株式会社東芝 半導体装置の製造方法
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9165824B2 (en) 2013-09-27 2015-10-20 Intel Corporation Interconnects with fully clad lines
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
JP6594304B2 (ja) 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
JP6379550B2 (ja) 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9551074B2 (en) 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
JP2016098406A (ja) 2014-11-21 2016-05-30 東京エレクトロン株式会社 モリブデン膜の成膜方法
US20160168699A1 (en) * 2014-12-12 2016-06-16 Asm Ip Holding B.V. Method for depositing metal-containing film using particle-reduction step
US9502263B2 (en) * 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
KR102344660B1 (ko) 2015-05-27 2021-12-29 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
US10121671B2 (en) 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US9853123B2 (en) * 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US10535558B2 (en) * 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
JP2019510877A (ja) 2016-02-19 2019-04-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung モリブデンカルボニル前駆体を使用したモリブデン薄膜の蒸着
CN109661481B (zh) 2016-07-14 2021-11-30 恩特格里斯公司 使用MoOC14的CVD Mo沉积
WO2018021014A1 (ja) 2016-07-26 2018-02-01 東京エレクトロン株式会社 タングステン膜の成膜方法
TWI613845B (zh) 2016-08-04 2018-02-01 財團法人工業技術研究院 垂直磁化自旋軌道磁性元件
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US20180142345A1 (en) 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
WO2019099997A1 (en) 2017-11-20 2019-05-23 Lam Research Corporation Self-limiting growth
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN112514052A (zh) 2018-07-31 2021-03-16 朗姆研究公司 多层特征填充

Also Published As

Publication number Publication date
TW201818458A (zh) 2018-05-16
US11355345B2 (en) 2022-06-07
KR20230098530A (ko) 2023-07-04
US20200144066A1 (en) 2020-05-07
CN107768304A (zh) 2018-03-06
US10573522B2 (en) 2020-02-25
CN107768304B (zh) 2022-03-04
KR20180019487A (ko) 2018-02-26
US20180053660A1 (en) 2018-02-22
US20220262640A1 (en) 2022-08-18

Similar Documents

Publication Publication Date Title
CN107768304B (zh) 用于在金属填充工艺期间防止线弯曲的方法
US11549175B2 (en) Method of depositing tungsten and other metals in 3D NAND structures
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
KR102397797B1 (ko) 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
CN111357083A (zh) 自限制生长
CN113166929A (zh) 无空隙低应力填充
JP2017008412A5 (zh)
KR20210092840A (ko) 3d nand 구조체 상의 원자 층 증착
US20230122846A1 (en) Feature fill with nucleation inhibition
US20220349048A1 (en) Reducing line bending during metal fill process
JP2023550331A (ja) 低抵抗率コンタクト及びインターコネクト
TW202436661A (zh) 低氟wn沉積的脈衝ald序列
WO2024196896A1 (en) Pulse ald sequence for low fluorine nucleation layer deposition
JP2024147716A (ja) 3d nand構造内にタングステンおよび他の金属を堆積させる方法
WO2023107970A1 (en) Feature fill with nucleation inhibition
CN118383092A (zh) 高纵横比3d nand结构中的钨字线填充
KR20230155949A (ko) 금속 충진 프로세스 동안 라인 벤딩 감소
JP2024534326A (ja) 半導体処理の間のプロセスガスランプ

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination