KR102344660B1 - 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용 - Google Patents

몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용 Download PDF

Info

Publication number
KR102344660B1
KR102344660B1 KR1020217032988A KR20217032988A KR102344660B1 KR 102344660 B1 KR102344660 B1 KR 102344660B1 KR 1020217032988 A KR1020217032988 A KR 1020217032988A KR 20217032988 A KR20217032988 A KR 20217032988A KR 102344660 B1 KR102344660 B1 KR 102344660B1
Authority
KR
South Korea
Prior art keywords
precursor
beta
substrate
thd
chalcogen
Prior art date
Application number
KR1020217032988A
Other languages
English (en)
Other versions
KR20210129230A (ko
Inventor
티나 사르네트
티모 하탄패
미코 리탈라
마르쿠 레스켈래
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Priority to KR1020217042383A priority Critical patent/KR102430540B1/ko
Publication of KR20210129230A publication Critical patent/KR20210129230A/ko
Application granted granted Critical
Publication of KR102344660B1 publication Critical patent/KR102344660B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G39/00Compounds of molybdenum
    • C01G39/06Sulfides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/03Particle morphology depicted by an image obtained by SEM
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N30/00Investigating or analysing materials by separation into components using adsorption, absorption or similar phenomena or using ion-exchange, e.g. chromatography or field flow fractionation
    • G01N30/02Column chromatography
    • G01N30/62Detectors specially adapted therefor
    • G01N30/72Mass spectrometers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

Mo 및 W 함유 박막, 예컨대 MoS2, WS2, MoSe2, 및 WSe2 박막을 형성하는 공정이 제공된다. Mo 또는 W 베타-다이케토네이트 전구체를 합성하기 위한 방법이 또한 제공된다. 추가로, 2D 재료 함유 Mo 또는 W를 형성하기 위한 방법이 제공된다.

Description

몰리브덴 또는 텅스텐 함유 박막의 ALD용 전구체의 합성 및 사용{SYNTHESIS AND USE OF PRECURSORS FOR ALD OF MOLYBDENUM OR TUNGSTEN CONTAINING THIN FILMS}
관련 출원의 상호 참조
본 출원은 35 U.S.C. § 119(e) 하에 2015년 5월 27일에 출원된 미국 가출원 제62/167,220호 및 2015년 6월 17일에 출원된 미국 가출원 제62/167,220호의 우선권의 이익을 주장하며, 이의 전체가 참조로써 본원에 포함되어 있다.
공동 연구 협약의 당사자
본원에 청구된 발명은 헬싱키 대학교와 ASM Microchemistry Oy 간의 공동 연구 협약에 의해, 또는 이를 대신하여, 그리고/또는 이와 관련하여 작성되었다. 상기 협약은 청구된 발명이 작성된 날짜 이전에 효력이 있었으며, 청구된 발명은 협약 범위 내에서 수행된 활동의 결과로서 작성되었다.
본 출원은 일반적으로 원자층 증착법에 의해 몰리브덴 또는 텅스텐을 포함하는 박막을 형성하기 위한 전구체 및 방법에 관한 것이다. 이러한 막은, 예컨대 전자 장치에서 2차원 (2D) 재료로서 용도를 발견할 수도 있다.
몰리브덴을 함유하는 임의의 종류의 박막의 원자층 증착법 (ALD)에 대한 이전의 공정은 선택된 소수의 공지된 몰리브덴 전구체, 예컨대 MoCl5, Mo(CO)6, 및 알킬아민 전구체, 예컨대 Mo(NtBu)2(NMe2)2 및 Mo(NtBu)2(NEt2)2로 제한되었다. MoS2 박막의 증착법에 대해 최근에 보고된 전구체 조합은 Mo(CO)6 및 H2S, Mo(CO)6 및 MeSSMe, 및 MoCl5 및 H2S를 포함한다. 그러나, 이러한 전통적인 몰리브덴 전구체는 작업하기가 어려울 수 있다. 예컨대, Mo(CO)6은 몰리브덴을 함유하는 결정질 박막을 증착하기에는 너무 낮을 수 있는, 증착을 위한 좁은 온도 범위를 갖는 매우 독성이 강한 재료이다. 한편, MoCl5는 MoS2 막을 성공적으로 증착하기 위해 추가 체류 시간을 요구하는 것으로 보인다.
특정 Mo 알킬아민 전구체는 몰리브덴을 함유하는 임의의 종류의 박막의 증착 동안 문제를 일으킬 수 있는 +VI의 산화 상태를 갖는 Mo를 포함할 수 있다. Mo가 보다 바람직한 산화 상태인 +IV를 갖는 Mo 알킬아민 전구체는 일반적으로 불안정하고 사용하기가 어렵다. 또한, Mo 알킬아민 전구체는 상대적으로 온도에 민감하고 저온에서 분해될 수 있다. 통상적으로 결정 막 성장을 촉진하기 위해서 상대적으로 높은 온도가 필요하기 때문에, 이는 Mo 알킬아민 전구체의 분해를 초래할 수 있다. 이러한 분해는 탄소와 같은 불순물을 생성하여 몰리브덴을 함유하는 임의의 종류의 박막의 결정화를 지연시키거나 심지어는 막을 수 있다.
몰리브덴(III) 베타-다이케토네이트는 화학 증기 증착 (CVD) 공정을 사용하여 Mo 함유 박막을 증착시키는데 사용되어 왔지만, ALD 유형의 공정에서의 사용에 대해서는 광범위하게 조사되지 않았다. 몰리브덴(III) 베타-다이케토네이트의 합성에 대해 이전에 개시된 공정은 Mo(CO)6, K3MoCl6 및 (NH4)2[MoCl5(H2O)]를 사용한다. 이러한 화합물 각각은 심각한 단점을 가지며 이를 사용하여 작업하기가 어려울 수 있다. 예컨대, 위에 언급한 바와 같이, Mo(CO)6은 매우 독성이고 휘발성이어서, 이것이 사용되는 절차에서 어려움이 증가된다. K3MoCl6 의 실험실 합성은 힘들고 전기화학적 또는 고온 공정을 필요로 한다.
전이 금속 다이칼코게나이드 재료(transition metal dichalcogenide material), 특히 2D 전이 금속 다이칼코게나이드 재료, 예컨대 Mo 및 W 다이칼코게나이드는 다양한 용도에 대해 바람직한 전자적 특성을 갖는다. 추가로, 그래핀과 달리, 또 다른 2차원 재료, 특정 2차원 전이 금속 다이칼코게나이드는 직접적인 밴드 갭을 가지며 반도체성이다. 따라서, 2차원 전이 금속 다이칼코게나이드 예컨대 Mo 및 W 다이칼코게나이드는 장치 소형화에 대한 응용으로 주목받고 있다.
일부 측면에서, Mo 또는 V 함유 박막을 형성하는 공정이 제공된다. 일부 실시예에서, Mo 또는 W 함유 박막은 반응 챔버에서 기판 상에 적어도 하나의 사이클을 포함하는 공정으로 형성되며, 상기 사이클은 상기 기판을 기상 Mo 또는 W 전구체와 접촉시켜 상기 기판 표면 상에 제1 Mo 또는 W 전구체의 최대한으로 잡아서(at most) 분자 단일층을 형성하는 단계, 과량의 Mo 또는 W 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 제거하는 단계, 상기 기판을 기상 칼코겐 전구체와 접촉시키는 단계, 과량의 칼코겐 전구체 및 존재하는 경우, 반응 부산물을 제거하는 단계, 및 선택적으로 목적하는 두께의 Mo 또는 W 함유 박막이 형성될 때까지 상기 접촉 및 제거 단계를 반복하는 단계를 포함한다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +IV 이하이지만 0은 아닌 산화 상태를 갖는다. 일부 실시예에서, 칼코겐(chalcogen) 전구체는 기판 표면 상의 Mo 또는 W 전구체와 반응한다.
일부 실시예에서, 상기 공정은 원자층 증착법 (ALD) 공정이다. 일부 실시예에서, 상기 공정은 2개 이상의 연속적인 사이클을 포함한다. 일부 실시예에서, Mo 또는 W 함유 박막은 Mo 또는 W 황화물, 셀렌화물, 또는 텔루르화물 박막이다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W의 산화 상태는 +III이다. 일부 실시예에서, 칼코겐 전구체는 H2S, H2Se, H2Te, (CH3)2S, (CH3)2Se, 또는 (CH3)2TSe를 포함한다.
일부 측면에서, Mo 또는 W 황화물, 셀렌화물, 또는 텔루르화물 박막 형성을 위한 원자층 증착법 (ALD) 공정이 제공된다. 일부 실시예에 따르면, Mo 또는 W 황화물, 셀렌화물, 또는 텔루르화물 박막은 반응 챔버에서 기판 상에 적어도 하나의 사이클을 포함하는 ALD 공정으로 형성되며, 상기 사이클은 기판을 기상 Mo 또는 W 전구체와 접촉시켜 기판 표면 상에 제1 MO 또는 W 전구체의 최대한으로 잡아서 분자 단일 층을 형성하는 단계, 과량의 Mo 또는 W 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 제거하는 단계, 상기 기판을 기상 칼코겐 전구체와 접촉시키는 단계, 과량의 칼코겐 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 제거하는 단계, 및 목적하는 두께의 Mo 또는 W 함유 박막이 형성될 때까지 상기 접촉 및 제거 단계를 반복하는 단계를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 적어도 하나의 두자리(bidentate) 리간드를 포함할 수 있다. 일부 실시예에서, 칼코겐 전구체는 기판 표면 상의 Mo 또는 W 전구체와 반응한다.
일부 실시예에서, 두자리 리간드는 O, S, 또는 N 원자를 통해 Mo 또는 W 원자에 결합된다. 일부 실시예에서, 두자리 리간드는 2개의 O 원자를 통해 Mo 또는 W 원자에 결합된다. 일부 실시예에서, 두자리 리간드는 O 원자 및 N 원자를 통해 Mo 또는 W 원자에 결합된다. 일부 실시예에서, 두자리 리간드는 2개의 N 원자를 통해 Mo 또는 W 원자에 결합된다. 일부 실시예에서, 두자리 리간드는 베타-다이케토나토 리간드이다. 일부 실시예에서, 베타-다이케토나토 리간드는 아세틸아세토나토 (acac) 리간드이다. 일부 실시예에서, 베타-다이케토나토 리간드는, 2,2,6,6-테트라메틸-3,5-헵탄다이오나토 (thd) 리간드이다. 일부 실시예에서, Mo 또는 W 전구체는 적어도 2개의 두자리 리간드를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 3개의 두자리 리간드를 포함한다.
일부 측면에서, Mo 또는 W 황화물, 셀렌화물, 또는 텔루르화물 2D 재료를 형성하기 위한 원자층 증착법 (ALD) 공정이 제공된다. 일부 실시예에 따르면, Mo 또는 W 황화물, 셀렌화물, 또는 텔루르화물 2D 재료는 반응 챔버에서 기판 상에 적어도 하나의 사이클을 포함하는 ALD 공정으로 형성되며, 상기 사이클은 기판을 기상 Mo 또는 W 전구체와 접촉시켜 기판 표면 상에 제1 MO 또는 W 전구체의 최대한으로 잡아서 분자 단일 층을 형성하는 단계, 과량의 Mo 또는 W 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 제거하는 단계, 상기 기판을 기상 황, 셀레늄, 또는 텔루륨 전구체와 접촉시키는 단계, 과량의 황, 텔루륨 또는 셀레늄 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 제거하는 단계를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 Mo 또는 W 베타-다이케토네이트 전구체이다. 일부 실시예에서, 황, 셀레늄, 또는 텔루륨 전구체는 기판 표면 상의 Mo 또는 W 전구체와 반응한다.
일부 측면에서, Mo 또는 W 황화물, 셀렌화물, 또는 텔루르화물 2D 재료를 형성하기 위한 공정이 제공된다. 일부 실시예에 따르면, Mo 또는 W 황화물, 셀렌화물, 또는 텔루르화물 2D 재료는 반응 챔버에서 기판 상에 적어도 하나의 사이클을 포함하는 순환 공정으로 형성되며, 상기 사이클은 기판을 기상 Mo 또는 W 전구체와 접촉시켜 기판 표면 상에 MO 또는 W 함유 재료의 최대한으로 잡아서 분자 단일 층, 바람직하게는 약 50% 단일 층 이하, 바람직하게는 약 25%의 단일 층 미만, 보다 바람직하게는 약 10%의 단일 층 미만을 형성하는 단계; 기판을 퍼지 가스에 노출시키는 단계 및/또는 과량의 Mo 또는 W 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 제거하는 단계; 상기 기판을 기상 황, 셀레늄, 또는 텔루륨 전구체와 접촉시키는 단계, 및 기판을 퍼지 가스에 노출시키는 단계 및/또는 과량의 황, 텔루륨 또는 셀레늄 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 제거하는 단계를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 Mo 또는 W 베타-다이케토네이트 전구체이다. 일부 실시예에서, 황, 셀레늄, 또는 텔루륨 전구체는 기판 표면 상에 증착된 Mo 또는 W 함유 재료와 반응한다.
일부 실시예에서, Mo 또는 W 함유 박막은 Mo 또는 W 황화물, 셀렌화물, 또는 텔루르화물 박막이다. 일부 실시예에서, Mo 또는 W 전구체를 포함하는 Mo 또는 W 원자의 산화 상태는 +III이다. 일부 실시예에서, 칼코겐 전구체는 H2S, H2Se, H2Te, (CH3)2S, (CH3)2Se, 또는 (CH3)2Te를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 Mo(thd)3이고 칼코겐 전구체는 H2S이다. 일부 실시예에서, Mo 또는 W 전구체는 W(thd)3이고 칼코겐 전구체는 H2S이다. 일부 실시예에서, 2D 재료는 MoS2를 포함한다.
일부 측면에서, Mo 또는 W 베타-다이케토네이트 전구체의 제조 방법이 제공된다. 일부 실시예에 따르면, Mo 또는 W 베타-다이케토네이트 전구체는, 화학식 MX3(R)n3을 갖는 제1 반응물을 제공하는 단계 (상기 식에서, n은 0 내지 4의 수이고, M은 Mo 또는 W이고, X는 할라이드이고, R은 용매이다), 알칼리 금속 화합물을 베타-다이케토나토 화합물과 반응시킴으로써 제1 생성물을 형성하는 단계, 및 후속하여 제1 생성물을 제1 반응물에 첨가하는 단계에 의해 형성된다. 일부 실시예에서, Mo 또는 W 베타-다이케토네이트 전구체는 화학식 ML3을 가지면서 형성되며, 상기 식에서, M은 Mo 또는 W이고 L은 베타-다이케토나토 리간드이다.
일부 실시예에서, 제1 반응물을 제공하는 단계는 Mo 또는 W 할라이드를 환원제로 환원하여 제1 중간체 생성물을 형성하는 단계, 및 후속하여 용매를 제1 생성물에 첨가하여 제2 중간체 생성물을 형성하는 단계를 추가로 포함할 수 있으며, 이에 따라 제1 반응물이 형성된다. 일부 실시예에서, Mo 또는 W 할라이드는 MoCl5이고, 베타-다이케톤 화합물은 Hthd이고, 형성된 Mo 또는 W 베타-다이케토네이트 전구체는 Mo(thd)3이다.
일부 측면에서, Mo 또는 W 베타-다이케토네이트 화합물을 형성하는 방법이 제공된다. 일부 실시예에 따르면, Mo 또는 W 베타-다이케토네이트 화합물은, 화학식 MX3(R)n3을 갖는 제1 반응물을 제공하는 단계 (상기 식에서, n은 0 내지 4의 수이고, M은 Mo 또는 W이고, X는 할라이드이고, R은 용매이다), 알칼리 금속 화합물을 베타-다이케토나토 화합물과 반응시켜 제1 생성물을 형성하는 단계, 및 후속하여 제1 생성물을 제1 반응물과 반응시키는 단계에 의해 형성된다. 일부 실시예에서, Mo 또는 W 베타-다이케토네이트 화합물은 화학식 ML3을 가지면서 형성되며, 상기 식에서, M은 +III의 산화 상태를 갖는 Mo 또는 W이고, L은 베타-다이케토나토 리간드이다. 일부 실시예에서, Mo 또는 W 베타-다이케토네이트 화합물 중의 Mo 또는 W는 +III의 산화 상태를 갖는다.
일부 측면에서, Mo 또는 W 함유 재료를 형성하는 공정이 제공된다. 일부 실시예에 따르면, Mo 또는 W 함유 재료는 반응 챔버에서 기판 상에 적어도 하나의 증착 사이클을 포함하는 공정에 의해 형성되며, 상기 사이클은 기판을 교대로 및 연속적으로 기상 Mo 또는 W 전구체 및 제2 기상 칼코겐 전구체에 접촉시키는 단계를 포함한다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +IV 이하이지만 0은 아닌 산화 상태를 갖는다.
일부 실시예에서, 증착은 2회 이상 반복된다. 일부 실시예에서, 과량의 Mo 또는 W 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물은 기판을 기상 Mo 또는 W 전구체와 접촉시키는 단계 후 및 기판을 기상 칼코겐 전구체와 접촉시키는 단계 전에 제거된다. 일부 실시예에서, 과량의 칼코겐 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물은 기판을 기상 칼코겐 전구체와 접촉시키는 단계 후 및 또 다른 증착 사이클을 시작하기 전에 제거된다. 일부 실시예에서, 기판은, 기판을 Mo 또는 W 기상 전구체와 접촉시키는 단계 후 및 기판을 기상 칼코겐 전구체와 접촉시키는 단계 전에 퍼지 가스와 접촉된다. 일부 실시예에서, 기판은, 기판을 칼코겐 기상 전구체와 접촉시키는 단계 후 및 또 다른 증착 사이클을 시작하기 전에 퍼지 가스와 접촉된다. 일부 실시예에서, Mo 또는 W 함유 재료는 원소 Mo 또는 W를 포함한다. 일부 실시예에서, Mo 또는 W 함유 재료는 Mo 또는 W 산화물 재료를 포함한다. 일부 실시예에서, Mo 또는 W 함유 재료는 Mo 또는 W 질화물 재료를 포함한다. 일부 실시예에서, Mo 또는 W 함유 재료는 Mo 또는 W 규화물 재료를 포함한다.
본 발명은 상세한 설명 및 첨부된 도면으로부터 보다 잘 이해될 것이며, 이는 설명하기 위한 것이고 본 발명을 제한함을 의미하지 않는다. 여기서,
도 1은 Mo 또는 W 함유 박막의 증착 방법을 일반적으로 설명하는 공정 흐름도이다.
도 2는 금속 베타-다이케토네이트 전구체의 합성 방법을 일반적으로 설명하는 공정 흐름도이다.
도 3은 본원에 기술된 공정에 따라 합성된 Mo(thd)3 샘플의 질량 스펙트럼이다.
도 4는 단결정 x-선 회절법에 의해 측정된 Mo(thd)3의 분자 구조를 나타낸다.
도 5는 Mo(acac)3, Mo(hfac)3, 및 Mo(thd)3의 열 중량 곡선을 나타낸다.
도 6은 MoS2 박막의 전계 방출형 주사 전자 현미경 (FESEM) 이미지이다.
도 7은 MoS2 박막의 사이클 당 성장 속도 대 Mo(thd)3 및 H2S 전구체의 펄스 길이의 그래프이다.
도 8은 막 두께 대 증착 사이클 수의 그래프이다.
도 9는 Mo(thd)3 전구체 펄스 길이를 변화시키며 500℃에서 증착된 MoS2 박막의 일련의 전계 방출형 주사 전자 현미경 (FESEM) 이미지이다.
도 10은 H2S 전구체 펄스 길이를 변화시키며 500℃에서 증착된 MoS2 박막의 일련의 전계 방출형 주사 전자 현미경 (FESEM) 이미지이다.
도 11a는 10 내지 50회 증착 사이클에 의해 500℃에서 증착된 MoS2 박막의 일련의 전계 방출형 주사 전자 현미경 (FESEM) 이미지이다.
도 11b는 100 내지 2000회 증착 사이클에 의해 500℃에서 증착된 MoS2 박막의 일련의 전계 방출형 주사 전자 현미경 (FESEM) 이미지이다.
도 12a는 에너지 분산형 x-선 (EDX) 분석에 의해 측정된 Mo(thd)3 전구체 펄스 길이를 변화시키며 증착된 MoS2 박막 조성의 그래프이다.
도 12b는 에너지 분산형 x-선 (EDX) 분석에 의해 측정된 H2S 전구체 펄스 길이를 변화시키며 증착된 MoS2 박막 조성의 그래프이다.
도 13은 MoS2 막 두께 및 Mo 및 S의 원소 분획 대 증착 사이클의 수를 나타낸다.
도 14는 칼코겐 및 Mo 전구체 펄스 길이를 변화시키며 증착된 MoS2 박막의 스침각 입사 X-선 회절법 (GIXRD) 패턴을 나타낸다.
도 15는 MoS2 박막의 라만 스펙트럼이다.
도 16은 칼코겐 및 Mo 전구체 펄스 길이를 변화시키며 증착된 MoS2의 라만 스펙트럼이다.
도 17은 X-선 광전자 분광법 (XPS)으로 분석된 2개의 MoS2 박막의 원소 조성을 나타낸다.
도 18은 원자간력 현미경 (AFM)을 사용하여 분석된 MoS2 박막의 표면 거칠기를 나타낸다.
도 19는 MoS2 박막 성장 속도 및 Mo 및 S의 원소 분획 대 증착 온도를 나타낸다.
도 20은 350℃ 내지 500℃에서 증착된 MoS2 박막의 일련의 전계 방출형 주사 전자 현미경 (FESEM) 이미지이다.
도 21은 본래의 (native) 산화물을 포함하는 규소 기판 상에 증착된 MoS2 박막의 일탈-교정 주사 터널링 전자 현미경 (AC-STEM) 이미지이다.
하기 논의되는 바와 같이, Mo 및 W 함유 박막은 원자층 증착법 (ALD) 유형 공정에 의해 기판 상에 증착될 수 있다. 일부 실시예에서, Mo 또는 W 칼코게나이드 박막, 특히 Mo 또는 W 황화물 또는 셀렌화물 박막은 ALD 유형 공정에 의해 기판 상에 증착될 수 있다. ALD 유형 공정은 전구체 화학물질의 제어된 표면 반응을 기초로 한다. 기판을 전구체에 교대로 연속적으로 접촉시킴으로써 기상 반응을 회피한다. 기상 반응물은, 예컨대 반응물 펄스 사이의 반응 챔버로부터 과량의 반응물 및/또는 반응물 부산물을 제거함으로써 기판 표면 상에서 서로 분리된다.
적절한 기판 재료는 절연 재료, 유전체 재료, 결정질 재료, 에피택셜(epitaxial), 헤테로에피택셜, 또는 단결정 재료 예컨대 산화물을 포함할 수 있다. 예컨대, 상기 기판은 Al2O3, 사파이어, 규소 산화물, 또는 절연 질화물, 예컨대 AlN을 포함할 수 있다. 또한, 상기 기판 재료 및/또는 기판 표면은 당업자에 의해 그 위의 2차원 결정 성장을 향상, 증가, 또는 최대화하기 위해 선택될 수 있다. 일부 실시예에서, Mo 및 W 함유 박막 또는 재료가 증착되는 기판 표면은 반도체 재료, 예컨대 Si, Ge, III 내지 V 화합물, 예컨대 GaAs 및 InGaAs, 또는 II 내지 VI 화합물을 포함하지 않는다. 일부 실시예에서, Mo 및 W 함유 박막 또는 재료가 증착되는 기판 표면은 절연 재료 외의 재료를 또한 포함할 수 있다. 일부 실시예에서, Mo 또는 W 함유 박막의 증착 후에, Mo 및 W 함유 박막은 절연 재료 외의 재료를 포함하는 기판의 적어도 일부분으로부터 제거된다. 일부 실시예에서, Mo 및 W 함유 박막 또는 재료, 바람직하게는 Mo 또는 W 칼코게나이드 박막 또는 재료가 증착되는 기판 표면은 칼코겐, 예컨대 황, 셀레늄 또는 텔루륨, 가장 바람직하게는 황을 포함한다. 일부 실시예에서, Mo 및 W 함유 박막 또는 재료가 증착되는 기판 표면은 칼코겐을 포함하는 표면 기, 바람직하게는 칼코겐-수소 결합, 예컨대 S-H 기를 갖는 표면 기를 포함한다.
요컨대, 기판은 일반적으로 보다 낮은 압력에서 적절한 증착 온도로 가열된다. 증착 온도는 일반적으로 반응물의 열 분해 온도 이하이지만 반응물의 응축을 피하고 목적하는 표면 반응을 위한 활성화 에너지를 제공하기에 충분히 높은 수준으로 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적절한 온도 범위는 관련된 표면 종단 및 반응 종에 따라 달라질 것이다. 본원에서, 상기 온도는 증착될 막의 유형 및 특정 전구체에 따라 다르지만, 바람직하게는 약 650℃ 이하, 보다 바람직하게는 약 500℃ 이하이다. 상기 온도 범위는 바람직하게는 약 250℃ 내지 약 600℃, 보다 바람직하게는 약 350℃ 내지 약 550℃ 및 가장 바람직하게는 약 375℃ 내지 약 500℃이다. 일부 예시에서, 반응 온도는 약 250℃ 초과, 바람직하게는 약 350℃ 초과 및 가장 바람직하게는 약 375℃ 초과이다.
일부 실시예에서, 증착 온도는 반응물의 분해 온도를 초과할 수 있지만, 막의 합리적인 표면 제어된 성장 및 증착 사이클 당 재료의 약 단일 층 이하의 성장 속도를 유지할 만큼 여전히 충분히 낮다. 일부 실시예에서, 증착 사이클 성장 속도는 사이클 당 증착될 재료의 약 단일 층의 약 50% 이하, 바람직하게는 약 25% 미만, 및 가장 바람직하게는 약 10% 미만일 수 있다.
일부 실시예에서, 증착 공정은 순수한 ALD 공정이 아닐 수 있다. 일부 실시예에서, 칼코겐 전구체는 증착 공정을 통해 반응 공간을 통해 연속적으로 또는 실질적으로 연속적으로 흐를 수 있다. 예컨대, 반응 공간을 통하는 칼코겐 전구체의 유속은 기판이 금속 전구체와 접촉되는 동안 감소될 수 있다. 일부 실시예에서, 칼코겐 전구체가 연속적으로 흐를 수 있는 경우, 금속 전구체의 펄스 당 막의 성장 속도는 증착될 재료의 약 하나의 단일 층 이하이다. 일부 실시예에서, 칼코겐 전구체가 연속적으로 흐르는 경우, 금속 전구체의 펄스 당 성장 속도는 증착될 재료의 단일 층의 약 50% 이하, 바람직하게는 약 25% 미만, 및 가장 바람직하게는 약 10% 미만이다.
일부 실시예에서, Mo 및 W 함유 박막의 성장 속도는 약 2Å/사이클 미만, 약 1.5 Å/사이클 미만, 약 1 Å/사이클 미만, 또는 심지어는 약 0.5Å/사이클 미만이다. 일부 실시예에서, Mo 및 W 함유 다이칼코게나이드 박막의 성장 속도는 약 0.025 Å/사이클 내지 약 0.5 Å/사이클일 수 있다. 다른 실시예에서, Mo 및 W 함유 다이칼코게나이드 박막, 예컨대 MoS2 박막의 성장 속도는 약 0.05 Å/사이클 내지 약 0.3 Å/사이클이다.
일부 실시예에서, 기판 표면은 전처리 공정을 거치게 될 수 있다. 일부 실시예에서, 전처리 공정은 Mo 또는 W 함유 박막을 증착하기 전에 원위치(in situ) 또는 원위치 외(ex situ)에서 기판을 전처리 반응물에 노출시키는 단계를 포함한다. 일부 실시예에서, 전처리 공정은 기판 표면을 다음의 전처리 반응물 중 적어도 하나에 노출시키는 단계를 포함할 수 있다: (NH4)2S, H2S, HCl, HBr, Cl2, 및 HF. 일부 실시예에서, 전처리 공정은 기판 표면을 플라즈마, 원자, 또는 라디칼에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 전처리 공정은 기판 표면을 칼코겐을 포함하는 플라즈마, 원자, 또는 라디칼, 예컨대 황, 셀레늄 또는 텔루륨, 바람직하게는 황을 포함하는 플라즈마, 원자, 또는 라디칼에 노출시키는 단계를 포함한다. 일부 실시예에서, 플라즈마, 원자, 또는 라디칼은 텔루륨을 포함할 수 있다. 일부 실시예에서, 플라즈마, 원자, 또는 라디칼은 셀레늄을 포함할 수 있다. 일부 실시예에서, 전처리 공정은 기판 표면을 후속 증착 공정에 존재하는 칼코겐을 포함하는 플라즈마, 원자, 또는 라디칼에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 전처리 공정은 기판 표면을 칼코겐-수소 결합을 포함하는 칼코겐 화합물로부터 형성된 플라즈마, 원자, 또는 라디칼, 예컨대 H2S로부터 형성된 플라즈마, 원자, 또는 라디칼에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 전처리 공정은 기판 표면을 약 1초 내지 약 600초, 바람직하게는 약 1초 내지 약 60초의 기간 동안 적어도 하나의 전처리 반응물에 노출시키는 단계를 포함할 수 있다. 전처리 공정은 증기 형태 및 또는 액체 형태의 전처리 반응물을 이용할 수 있다. 일부 실시예에서, 상기 전처리 공정은 후속하는 증착 공정과 동일한 온도 및/또는 압력에서 수행될 수 있다. 일부 실시예에서, 상기 전처리 공정은, 후속 증착 공정에서 사용된 것보다 긴 펄스 시간 또는 노출 시간을 수반할 것이라는 것을 제외하고 후속 증착 공정과 유사할 수 있다. 일부 실시예에서, 전처리 공정은 기판 표면을 전처리 반응물에 노출시켜 목적하는 표면 종단, 예컨대 -S-H 표면 종단을 형성하는 단계를 포함할 수 있다. 일부 실시예에서, 목적하는 표면 종단, 예컨대 -S-H 표면 종단을 형성하는 단계는 Mo 또는 W 함유 박막 또는 재료의 2차원 성장을 촉진할 수 있다. 일부 실시예에서, 전처리 공정은 기판을 S, Se 또는 Te를 포함하지 않는 플라즈마, 원자, 또는 라디칼, 예컨대 수소를 포함하는 플라즈마, 원자, 또는 라디칼, 예컨대 H2로부터 형성된 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 전처리 공정은 기판을 산소 플라즈마, 산소 원자, 또는 산소 라디칼에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 전처리 공정은 기판, 예컨대 AIN을 포함하는 기판을 질소 플라즈마, 질소 원자, 또는 질소 라디칼에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 전처리 공정은 Mo 또는 W 함유 박막 또는 재료의 증착 전에 기판 표면을 세척하기 위해 사용될 수 있다.
기판 표면은 기상 제1 반응물과 접촉된다. 일부 실시예에서, 기상 제1 반응물의 펄스가 기판을 함유하는 반응 공간에 제공된다. 일부 실시예에서, 기판은 기상 제1 반응물을 함유하는 반응 공간으로 이동된다. 조건은 제1 반응물의 약 하나 이하의 단일 층이 자기-제한 방식으로 기판 표면 상에 흡착되지 않도록 선택되는 것이 바람직하다. 적절한 접촉 시간은 특정 환경에 기초하여 당업자에 의해 용이하게 결정될 수 있다. 과량의 제1 반응물 및 반응 부산물이 존재하는 경우의 해당 반응 부산물은 예컨대 불활성 기체를 퍼징함으로써 또는 기판을 제1 반응물의 존재로부터 제거함으로써 기판 표면으로부터 제거된다.
퍼징은 예컨대 진공 펌프로 챔버를 배기하고/하거나 반응기 내부의 기체를 아르곤 또는 질소와 같은 불활성 기체로 대체함으로써 기상 전구체 및/또는 기상 부산물이 제거되는 것을 의미한다. 전형적인 퍼징 시간은 약 0.05 내지 20초, 보다 바람직하게는 약 0.2 내지 10, 및 보다 더 바람직하게는 약 0.5 내지 5초이다. 그러나, 필요한 경우, 예컨대 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조에 대한 고도의 등각 (conformal) 단계 커버리지가 필요한 경우, 또는 회분식 반응기와 같은 상이한 반응기 유형이 사용되는 경우 다른 퍼징 시간이 이용될 수 있다.
기판 표면은 기상 제2 기체 반응물과 접촉된다. 일부 실시예에서, 제2 기체 반응물의 펄스가 기판을 함유하는 반응 공간에 제공된다. 상기 기상 제2 기체 반응물은 반응 챔버 유입구에서 배출구로 실질적으로 연속적인 흐름으로 반응 챔버 내에 제공될 수 있다. 일부 실시예에서, 반응 챔버로부터의 배출 흐름, 예컨대 펌프 라인은 폐쇄되지 않는다. 일부 실시예에서, 반응 챔버로부터의 배출 흐름, 예컨대 반응 챔버로부터 펌프 라인 및 추가로 펌프 이전의 펌프 라인을 통하는 것은 실질적으로 폐쇄되지 않지만 제한될 수 있다. 일부 실시예에서, 기판은 기상 제2 반응물을 함유하는 반응 공간으로 이동된다. 과량의 제2 반응물 및 반응 부산물이 존재하는 경우의 해당 표면 반응의 기체 부산물이 기판 표면으로부터 제거된다. 일부 구현에에서, 반응물에 대한 체류 시간은 없다. 일부 실시예에서, 기상 반응물은 기판과 접촉하는 동안 반응 공간에서 정적이지 않다. 기상 반응물은 반응물이 기판에 대해 흐름을 겪지 않는 경우, 또는 반응물이 개방된 배출구 없이 하나의 유입구로부터 반응 공간 내로 흐르는 경우 정적일 수 있다.
접촉 및 제거 단계는 목적하는 두께의 박막이 기판 상에 선택적으로 형성될 때까지 반복되며, 각각의 사이클은 약 분자 단일 층 이하를 남긴다. 제1 기상 Mo 또는 W 전구체를 접촉 및 제거하는 단계는 제1 전구체 상, Mo 또는 W 전구체 상, 또는 Mo 또는 W 상으로 지칭될 수 있다. 제2 기상 전구체를 접촉 및 제거하는 단계는 제2 전구체 상, 칼코겐 전구체 상, 또는 칼코겐 상으로 지칭될 수 있다. 이러한 2개의 상이 함께 증착 사이클을 구성할 수 있다. 기판 표면을 다른 반응물과 교대로 연속적으로 접촉하는 단계를 포함하는 추가의 상이 보다 복잡한 재료, 예컨대 3차 (ternary) 재료를 형성하기 위해 포함될 수 있다.
상기한 바와 같이, 각 사이클의 각각의 상은 바람직하게는 자기-제한적이다. 과량의 반응물 전구체가 민감한 구조 표면을 포화시키기 위해 각 상에 제공될 수 있다. 표면 포화는 이용가능한 모든 반응 부위 (예컨대, 물리적 크기 또는 “입체 장애”적용)의 반응물 점유를 보장하므로 우수한 단계 커버리지와 균일성을 보장한다. 전형적으로, 재료의 하나 미만의 분자 층이 각 사이클로 증착되지만, 일부 실시예에서, 하나 이상의 분자 층이 상기 사이클 동안 증착된다.
과량의 반응물을 제거하는 단계는 반응 공간의 일부 내용물을 배출될 수 있고/있거나 반응 공간을 헬륨, 질소 또는 다른 불활성 기체로 퍼징하는 단계를 포함할 수 있다. 일부 실시예에서, 퍼징은 불활성 캐리어 기체를 반응 공간으로 지속적으로 흐르게 하면서 반응성 기체의 흐름을 차단하는 단계를 포함할 수 있다.
ALD 유형 공정에서 사용되는 전구체는, 기판 표면과 접촉하기 전에 기상일 경우, 표준 조건 (실온 및 대기압) 하에서 고체, 액체 또는 기체 재료일 수 있다. 기판 표면을 기화된 전구체와 접촉시키는 것은 한정된 기간 동안 전구체 증기가 기판 표면과 접촉한다는 것을 의미한다. 전형적으로 접촉 시간은 약 0.05 내지 20초, 보다 바람직하게는 약 0.2 내지 10, 및 보다 더 바람직하게는 약 0.5 내지 5초이다. 일부 실시예에서, 기상 제2 기체 접촉 시간은 바람직하게는 기상 제1 기체 반응물 접촉 시간과 동일한 정도의 크기이다. 일부 실시예에서, 기상 제2 기체 접촉 시간은 바람직하게는 기상 제1 기체 반응물 접촉 시간보다 약 100배 이상 길지 않다.
그러나, 기판 유형 및 이의 표면적에 따라, 상기 접촉 시간은 20초보다 훨씬 더 길 수 있다. 접촉 시간은 경우에 따라 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 결정될 수 있다. 일부 실시예에서, 칼코겐 전구체 접촉 시간은 약 60초 미만, 바람직하게는 약 30초 미만, 보다 바람직하게는 약 10초 미만 및 가장 바람직하게는 약 5초 미만이다.
전구체의 질량 유량이 또한 당업자에 의해 결정될 수 있다. 일부 실시예에서, Mo 또는 W 전구체의 유량은 바람직하게는 제한 없이 약 1 내지 1000 sccm, 보다 바람직하게는 약 100 내지 500 sccm이다.
반응 챔버 중의 압력은 전형적으로 약 0.01 내지 약 50 mbar, 보다 바람직하게는 약 0.1 내지 약 10 mbar이다. 그러나, 일부의 경우 압력은 주어진 특정 상황에서 당업자에 의해 결정될 수 있는 바와 같이, 이러한 범위보다 높거나 낮을 수 있다.
막의 증착을 시작하기 전에, 기판은 전형적으로 적절한 성장 온도로 가열된다. 성장 온도는 형성된 박막 유형, 전구체의 물리적인 특성 등에 따라 달라진다. 성장 온도는 바람직하게는 약 650℃ 이하; 보다 바람직하게는 약 500℃ 이하이다. 성장 온도 범위는 바람직하게는 약 250℃ 내지 약 600℃, 보다 바람직하게는 약 350℃ 내지 약 550℃ 및 가장 바람직하게는 약 375℃ 내지 약 500℃이다. 일부 예시에서, 성장 온도는 약 250℃ 초과, 바람직하게는 약 350℃ 초과 및 가장 바람직하게는 약 375℃이다. 성장 온도는 증착된 재료에 대한 결정화 온도 미만이어서 비정질 박막이 형성될 수 있거나 결정화 온도 초과여서 결정질 박막이 형성될 수 있다. 바람직한 증착 온도는 다수의 요인, 예컨대 제한 없이 반응물 전구체, 압력, 유량, 반응기의 배열, 증착된 박막의 결정화 온도, 및 그 위에 증착될 재료의 성질을 포함하여 기판의 조성에 따라 달라질 수 있다. 특정 성장 온도가 당업자에 의해 선택될 수 있다. 본 발명의 막 증착 동안 및 이후 추가 공정에서 임의의 시점에서 열 예산(thermal budget), 즉, (반응 온도 및 선택적으로 어닐링 온도는 바람직하게는 약 800℃ 미만, 보다 바람직하게는 약 650℃ 미만 및 가장 바람직하게는 약 600℃ 미만 및 일부 예에서 500℃ 미만임을 유의해야 한다.
일부 실시예에서, 증착된 Mo 또는 W 함유 박막은 선택적인 증착 후 처리 공정을 거치게 될 수 있다. 일부 실시예에서, 예컨대, 증착 후 처리 공정은 어닐링 공정, 예컨대 기체 어닐링 공정을 형성하는 단계를 포함할 수 있다. 일부 실시예에서, 증착 후 처리 공정은 Mo 또는 W 함유 박막 또는 재료 표면을 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 다른 실시예에서, 증착 후 처리 공정은 Mo 또는 W 함유 박막 또는 재료 표면을 플라즈마에 노출시키는 단계를 포함하지 않는다.
일부 실시예에서, 증착 후 처리 공정은 증착된 Mo 또는 W 함유 박막 또는 재료를 원위치 또는 원위치 외에서 증착 후 처리 반응물에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 증착 후 처리 공정은 Mo 또는 W 함유 박막 또는 재료 표면을 다음의 증착 후 처리 반응물 중 적어도 하나에 노출시키는 단계를 포함할 수 있다: (NH4)2S 또는 H2S. 일부 실시예에서, 증착 후 처리 공정은 Mo 또는 W 함유 박막 또는 재료를 칼코겐을 포함하는 플라즈마, 예컨대 황을 포함하는 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 증착 후 처리 공정은 Mo 또는 W 함유 박막 또는 재료를 칼코겐-수소 결합을 포함하는 칼코겐 화합물로부터 형성된 플라즈마, 예컨대 H2S로부터 형성된 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 증착 후 처리 공정은 Mo 또는 W 함유 박막 또는 재료를 칼코겐을 포함하는 플라즈마, 예컨대 황을 포함하는 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 실시예에서, 증착 후 처리 공정은 Mo 또는 W 함유 박막 또는 재료를 약 1 초 내지 약 600초, 바람직하게는 약 1초 내지 약 60초의 기간 동안 적어도 하나의 증착 후 처리 반응물에 노출시키는 단계를 포함할 수 있다. 증착 후 처리 공정은 증기 형태 및 액체 형태의 증착 후 처리 반응물을 이용할 수 있다. 일부 실시예에서, 증착 후 처리 공정은 선행 증착 공정과 약 동일한 온도 및/또는 압력으로 수행될 수 있다. 일부 실시예에서, 증착 후 처리 공정은 선행 증착 공정에서 사용된 것에 비해 더 긴 펄스 시간 또는 노출 시간을 포함할 수 있다는 것을 제외하고 선행 증착 공정과 유사할 수 있다. 일부 실시예에서, 증착 후 처리 공정은 Mo 또는 W 함유 박막 또는 재료를 수소를 포함하는 플라즈마, 원자, 또는 라디칼, 예컨대 H2,로부터 형성된 플라즈마에 노출시키는 단계를 포함할 수 있다.
사용될 수 있는 적절한 반응기의 예는 상업적으로 이용가능한 ALD 장비 예컨대 Phoenix, Ariz.의 ASM America, Inc., ASM Japan KK, 일본 도쿄 및 ASM Europe B.V., 네덜란드, 알미르로부터 입수가능한 F-120® 반응기, Eagle® XP8, Pulsar® 반응기 및 Advance® 400 시리즈 반응기를 포함한다. 이러한 ALD 반응기 외에, 적절한 장비를 갖춘 CVD 반응기 및 전구체를 펄싱하기 위한 수단을 포함하여, 박막의 ALD 성장이 가능한 다른 많은 종류의 반응기가 사용될 수 있다. 일부 실시예에서, 유동형 ALD 반응기가 사용된다. 바람직하게는 반응물은 반응 챔버에 도달할 때까지 분리되어 유지되어, 전구체에 대한 공유 선이 최소화된다. 그러나, 다른 배열이 가능하며, 예컨대 2004년 8월 30일에 출원된 미국 특허 출원 제10/929,348호 및 2001년 4월 16일에 출원된 제09/836,674호에 기술된 예비-반응 챔버가 사용되며, 이러한 공개문헌은 참조로써 본원에 포함되어 있다.
일부 실시예에서, 적절한 반응기는 회분식 반응기일 수 있으며 약 25개 초과의 기판, 약 50개 초과 또는 약 100개 초과의 기판을 함유할 수 있다. 일부 실시예에서, 적절한 반응기는 최소-회분식 반응기일 수 있으며, 약 2 내지 약 20개의 기판, 약 3 내지 약 15개의 기판 또는 약 4 내지 약 10개의 기판을 함유할 수 있다.
성장 공정은 클러스터 도구에 연결된 반응기 또는 반응 공간에서 선택적으로 수행될 수 있다. 클러스터 도구에서, 각각의 반응 공간은 하나의 유형의 공정에 전용되기 때문에, 각 모듈 내의 반응 공간의 온도는 일정하게 유지될 수 있으며, 이는 기판이 각각 실행되기 전에 공정 온도로 가열되는 반응기에 비해 처리량을 향상시킨다.
독립형 반응기는 로드-록 (load-lock)이 장착되어 있을 수 있다. 이러한 경우, 각 실행 사이에 반응 공간을 식힐 필요가 없다.
바람직한 실시예, 및 도 1에 나타난 것을 따르면, Mo 또는 W 함유 박막은 적어도 하나의 증착 사이클(10)을 포함하는 ALD 유형 공정에 의해 기판 상에 형성되며, 상기 증착 사이클은 다음의 단계를 포함한다:
단계 12에서 기판 표면을 기화된 Mo 또는 W 전구체와 접촉시켜 기판 상에 Mo 또는 W 전구체의 최대한으로 잡아서 분자 단일 층을 형성하는 단계;
단계 13에서 과량의 Mo 또는 W 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 표면으로부터 제거하는 단계;
단계 14에서 기판 표면을 기화된 칼코겐 전구체와 접촉시키는 단계; 및
단계 15에서 Mo 또는 W 전구체 층과 칼코겐 전구체간의 반응에서 형성된 과량의 칼코겐 전구체 및 임의의 기체 부산물을 표면으로부터 제거하는 단계.
접촉 및 제거 단계는 목적하는 두께의 Mo 또는 W 함유 박막이 형성될 때까지 반복(16)될 수 있다.
예시된 증착 사이클이 기판 표면을 Mo 또는 W 전구체의 표면과 접촉시키는 것으로 시작되지만, 다른 실시예에서, 증착 사이클은 기판 표면을 칼코겐 전구체와 접촉시키는 것으로 시작된다. 당업자는 기판 표면이 제1 전구체와 접촉되고 전구체가 반응하지 않으면 다음 전구체가 제공될 때 공정이 시작될 것임을 이해할 것이다. 일부 실시예에서, 반응물 및 반응 부산물은 질소 또는 아르곤과 같은 불활성 캐리어의 흐름을 계속하면서 Mo 또는 W 전구체의 흐름을 중단시킴으로써 기판 표면으로부터 제거될 수 있다.
일부 실시예에서, 반응물 및 반응 부산물은 불활성 캐리어 기체의 흐름을 계속하면서 제2 반응물의 흐름을 중단시킴으로써 기판 표면으로부터 제거될 수 있다. 일부 실시예에서, 상이한 반응물이 목적하는 시간 동안 목적하는 순서로 기판의 표면과 교대로 순차적으로 접촉하도록 기판이 이동된다. 일부 실시예에서, 제거 단계는 수행되지 않는다. 일부 실시예에서, 챔버의 다양한 부분으로부터 제거되는 반응물은 없을 수 있다. 일부 실시예에서, 기판은 제1 전구체를 함유하는 챔버의 부분으로부터 제2 전구체를 함유하는 챔버의 또 다른 부분으로 이동된다. 일부 실시예에서, 기판은 제1 반응 챔버에서 제2의, 상이한 반응 챔버로 이동된다.
일부 실시예에서, 증착된 Mo 또는 W 함유 막은 다이칼코게나이드 박막을 포함할 수 있다. 일부 실시예에서, 증착된 박막은 몰리브덴 다이칼코게나이드 또는 텅스텐 다이칼코게나이드를 포함할 수 있다. 일부 실시예에서, 증착된 박막은 MoS2, WS2, MoSe2, WSe2, MoTe2, 또는 WTe2를 포함할 수 있다. 단순화를 위해, 이러한 다이칼코게나이드는 이러한 일반적인 화학량론을 갖는 것으로 나타났다. 그러나 임의의 주어진 Mo 또는 W 함유 막 또는 재료의 정확한 화학량론은 관련된 원소의 산화 상태에 따라 달라질 것임을 이해할 것이다. 따라서, 다른 화학량론이 명백하게 고려된다.
본원에서 “다이칼코게나이드”라는 용어가 사용되고 이러한 다이칼코게나이드는 Mo 또는 W와 같은 금속 원자와 S, Se, 또는 Te와 같은 칼코겐 원자의 비가 1:2인 일반적인 화학량론을 나타내지만, 막의 화학량론은 다양할 수 있다. 예컨대, 금속 원자 대 칼코겐 원자의 비는 사용된 분석 기법 및/또는 공정 조건에 의해 다양할 수 있다. 일부 실시예에서, 금속 원자 대 칼코겐 원자의 비는 약 1:3 내지 약 2:1, 바람직하게는 약 1:2.5 대 약 1:1, 및 가장 바람직하게는 약 1:2일 수 있다. 일부 실시예에서, 다이칼코게나이드 막은 약 20 원자% 내지 약 50 원자%, 바람직하게는 약 25 원자% 내지 약 40 원자%의 Mo 또는 W를 함유할 수 있다. 일부 실시예에서, 다이칼코게나이드 막은 약 30 원자% 내지 약 75 원자%, 바람직하게는 약 35 원자% 내지 약 70 원자%의 칼코겐 (S, Se 또는 Te)을 함유할 수 있다.
일부 실시예에서, Mo 또는 W 함유 다이칼코게나이드 막은 Mo, W, 및 칼코겐 이외에, 수소를 포함하여, Mo, W, 및 칼코겐 이외의 원소를 바람직하게는 총 약 35 원자% 미만의 원소, 보다 바람직하게는 총 약 25 원자% 미만으로 함유할 수 있다. 일부 실시예에서, 막은 약 20 원자% 미만의 탄소, 바람직하게는 약 15 원자% 미만의 탄소, 및 가장 바람직하게는 약 10 원자% 미만의 탄소를 함유할 수 있다. 일부 실시예에서, 막은 약 15 원자% 미만의 수소, 바람직하게는 약 10 원자% 미만의 수소, 및 가장 바람직하게는 약 5 원자% 미만의 수소를 함유할 수 있다. 일부 실시예에서, 막은 약 10 원자% 미만의 산소, 바람직하게는 약 5 원자% 미만의 산소, 및 가장 바람직하게는 약 3 원자% 미만의 산소를 함유할 수 있다. 일부 실시예에서, 막은 약 10 원자% 미만, 바람직하게는 약 5 원자% 미만 및 가장 바람직하게는 약 3 원자% 미만의 Mo 또는 W, 칼코겐 이외의 원소, 수소, 탄소, 또는 산소를 함유한다. 상기 원소를 함유하는 Mo 또는 W 함유 막은 2D-재료와 같은 상이한 용도에 여전히 적합할 수 있음을 주목해야 한다.
일부 실시예에서, 증착된 Mo 또는 W 함유 막은, 본원에서는 간단하게 Mo 또는 W를 함유하지만, Mo 및 W 둘 모두를 포함할 수 있다. 일부 실시예에서, 증착된 Mo 또는 W 함유 막은 Mo, W, 칼코겐 (S, Te 또는 Se), 산소, 질소 또는 규소 이외의 다른 추가의 원소를 포함할 수 있다. 일부 실시예에서, 증착된 Mo 또는 W 함유 막은 도펀트를 포함할 수 있다. 일부 실시예에서, 증착된 Mo 또는 W 함유 막은 칼코겐 (S, Te 또는 Se), 산소, 질소 또는 규소의 원소 군 중 2개 이상을 포함할 수 있다. 일부 실시예에서, 증착된 Mo 또는 W 칼코게나이드 함유 막은 칼코겐 (S, Te 또는 Se) 원소 군 중 2개 이상을 포함할 수 있다. 일부 실시예에서, 본 발명의 박막은 임의의 수의 금속을 포함할 수 있다. 일부 실시예에 따르면, Mo 또는 W 함유 막은 2개 이상의 금속을 포함할 수 있다. 일부 실시예에서, 추가의 금속 또는 금속 또는 금속들을 Mo 또는 W 함유 박막에 혼입시키기 위해 추가의 증착 상이 하나 이상의 증착 사이클에 추가된다. 추가의 금속 상 또는 상들은 제1 금속 상 이후 또는 칼코겐 상 이후, 또는 둘 모두의 상 이후일 수 있다. 일부 실시예에서, 2개 이상의 상이한 금속 전구체가 증착 사이클의 동일한 금속 상에서 동시에 제공될 수 있다. 일부 실시예에서, 상이한 금속을 포함하는 금속 전구체는 상이한 증착 사이클에서 사용될 수 있다. 예컨대, 제1 금속 전구체는 하나 이상의 증착 사이클에서 사용되는 유일한 금속 전구체일 수 있고, 제2의, 상이한 금속을 포함하는 제2 금속 전구체는 하나 이상의 다른 증착 사이클에서 사용될 수 있다.
다시 도 1을 참조하면, 일부 실시예는 기판 표면에 적용되는 단계 11에서 선택적인 전처리 공정을 포함할 수 있다. 전처리 공정은 하나 이상의 단계를 포함할 수 있다. 전처리에서, Mo 또는 W 함유 박막이 증착될 기판 표면은 하나 이상의 전처리 반응물 및/또는 특정 조건, 예컨대 온도 또는 압력에 노출될 수 있다. 전처리는 기판 표면의 세정, 불순물 제거, 자연 산화물 제거, 및 목적하는 표면 종단 제공을 포함하여 임의의 다양한 이유를 위해 사용될 수 있다. 일부 실시예에서, 전처리는 기판 표면을 하나 이상의 전처리 반응물, 예컨대 (NH4)2S, H2S, HCl, HBr, Cl2, 또는 HF에 노출시키는 단계를 포함한다. 일부 실시예에서, 전처리 공정은 후속 증착 공정과 대략 동일한 온도에서 수행된다.
하기와 같이, 다수의 상이한 전구체가 Mo 또는 W 함유 박막을 증착하기 위해 사용될 수 있다. 바람직하게는, 상기 Mo 또는 W 전구체는 화학식 M(thd)3을 가지며, 상기 식에서, M은 Mo 또는 W 중 하나이고, thd는 2,2,6,6-테트라메틸-3,5-헵탄다이오나토이다. 바람직하게는, 상기 칼코겐 전구체는 H2S 또는 H2Se 중 하나이다. 바람직한 실시예에서, Mo 또는 W 전구체는 Mo(thd)3이고, 상기 칼코겐 전구체는 H2S이고, 생성된 Mo 또는 W 함유 박막은 MoS2 박막이다.
일부 실시예에서, MoS2 박막은 다음의 단계를 포함하는 적어도 하나의 증착 사이클을 포함하는 ALD 유형의 공정에 의해 기판 상에 형성된다:
기판 표면을 기화된 Mo(thd)3과 접촉시켜 기판 상에 Mo(thd)3의 최대한으로 잡아서 분자 단일 층을 형성하는 단계;
과량의 Mo(thd)3 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 표면으로부터 제거하는 단계;
기판 표면을 기화된 H2S와 접촉시키는 단계; 및
Mo(thd)3 층과 H2S간의 반응에서 형성된 과량의 H2S 및 임의의 기체 부산물을 표면으로부터 제거하는 단계.
접촉 및 제거 단계는 목적하는 두께의 MoS2 박막이 형성될 때까지 반복될 수 있다.
일부 실시예에서, MoSe2 박막은 다음의 단계를 포함하는 적어도 하나의 증착 사이클을 포함하는 ALD 유형의 공정에 의해 기판 상에 형성된다:
기판 표면을 기화된 Mo(thd)3과 접촉시켜 기판 상에 Mo(thd)3의 최대한으로 잡아서 분자 단일 층을 형성하는 단계;
과량의 Mo(thd)3 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 표면으로부터 제거하는 단계;
기판 표면을 기화된 H2Se와 접촉시키는 단계; 및
Mo(thd)3 층과 H2Se 간의 반응에서 형성된 과량의 H2Se 및 임의의 기체 부산물을 표면으로부터 제거하는 단계.
접촉 및 제거 단계는 목적하는 두께의 MoSe2 박막이 형성될 때까지 반복될 수 있다.
일부 실시예에서, WS2 박막은 다음의 단계를 포함하는 적어도 하나의 ALD 유형의 공정에 의해 기판 상에 형성될 수 있다:
기판 표면을 기화된 W(thd)3과 접촉시켜 기판 상에 W(thd)3의 최대한으로 잡아서 분자 단일 층을 형성하는 단계;
과량의 W(thd)3 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 표면으로부터 제거하는 단계;
기판 표면을 기화된 H2S와 접촉시키는 단계; 및
W(thd)3 층과 H2S 간의 반응에서 형성된 과량의 H2S 및 임의의 기체 부산물을 표면으로부터 제거하는 단계.
상기 접촉 및 제거 단계는 목적하는 두께의 WS2 박막이 형성될 때까지 반복될 수 있다.
일부 실시예에서, WSe2 박막은 다음의 단계를 포함하는 적어도 하나의 증착 사이클을 포함하는 ALD 유형의 공정에 의해 기판 상에 형성될 수 있다:
기판 표면을 기화된 W(thd)3과 접촉시켜 기판 상에 W(thd)3의 최대한으로 잡아서 분자 단일 층을 형성하는 단계;
과량의 W(thd)3 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 표면으로부터 제거하는 단계;
기판 표면을 기화된 H2Se와 접촉시키는 단계; 및
W(thd)3 층과 H2Se 간의 반응에서 형성된 과량의 H2Se 및 임의의 기체 부산물을 표면으로부터 제거하는 단계.
접촉 및 제거 단계는 목적하는 두께의 WSe2 박막이 형성될 때까지 반복될 수 있다.
Mo 또는 W 전구체
임의의 다음의 전구체가 본원에 개시된 다양한 ALD 공정에서 사용될 수 있다. 일부 실시예에서, Mo 또는 W 전구체는 금속-유기 화합물이다. 일부 실시예에서, Mo 또는 W 전구체는 적어도 하나의 여러자리 (multidentate) 리간드를 갖는다. 일부 실시예에서, Mo 또는 W 전구체는 적어도 하나의 두자리 리간드를 갖는다. 일부 실시예에서, Mo 또는 W 전구체 3개의 두자리 리간드를 갖고 다른 리간드를 갖지 않는다. 일부 실시예에서, Mo 또는 W 전구체는 O, N 또는 S 원자, 보다 바람직하게는 적어도 하나의 O 원자를 통해 Mo 또는 W에 연결된 적어도 하나의 여러자리 리간드를 갖는다. 일부 실시예에서, Mo 또는 W 전구체는 O 및 N 원자 모두를 통해 Mo 또는 W에 연결된 적어도 하나의 여러자리 리간드를 가질 수 있다. 보다 바람직하게는, 베타-다이케토네이트 화합물이 사용된다. 일부 실시예에서, 케토이미네이트 화합물이 사용된다. 일부 실시예에서, M(acac)3, M(thd)3, M(tfac)3, M(bac)3, M(hfac)3 또는 M(fod)3 화합물이 사용되며, 여기서 M은 Mo 또는 W이고, acac는 아세틸아세토나토 또는 2,4-펜탄다이오나토이고, thd는 2,2,6,6-테트라메틸-3,5-헵탄다이오나토이고, tfac는 트라이플루오로아세틸아세토나토 또는 1,1,1-트라이플루오로-2,4-펜탄다이오나토이고, bac는 벤조일아세토나토, C6H5COCHCOCH3, 또는 1-페닐-1,3-부탄다이오나토이고, hfac는 헥사플루오로아세틸아세토나토 또는 1,1,1,5,5,5-헥사플루오로-2,4-펜탄다이오나토이고 fod는 2,2-다이메틸-6,6,7,7,8,8,8-헤파플루오로옥탄-3,5-다이오나토이다. 일부 실시예에서, Mo 또는 W 전구체는 금속 할라이드를 포함하지 않는다. 일부 실시예에서, Mo 또는 W 전구체는 하나 이상의 Mo 또는 W 원자를 갖는다. 일부 실시예에서, Mo 또는 W 전구체는 하나 이상의 Mo 또는 W 원자를 가지며, 여기서 상기 하나 이상의 Mo 또는 W 원자는 서로 결합되어 있다. 일부 실시예에서, 금속 유기 Mo 또는 W 전구체는 적어도 하나의 배위된 유기 리간드 및 임의의 다른 원소 또는 화합물에 결합되지 않은, 산소에 직접 결합된 Mo 또는 W를 갖는다. 일부 실시예에서, Mo 또는 W 전구체는 O, N 또는 S 원자, 바람직하게는 적어도 하나의 O 원자를 통해 Mo 또는 W에 연결된 적어도 하나의 리간드를 가질 수 있다.
일부 실시예에서, Mo 또는 W 전구체는 Mo 또는 W 베타-다이케토네이트 화합물, Mo 또는 W 사이클로펜타다이에닐 화합물, Mo 또는 W 카보닐 화합물 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다. 일부 실시예에서, Mo 또는 W 전구체는 하나 이상의 할라이드 리간드를 포함하지 않는다. 일부 실시예에서, Mo 또는 W 전구체는 Mo 또는 W에 직접적으로 연결된 하나 이상의 할라이드 리간드를 포함하지 않는다. 일부 실시예에서, Mo 또는 W 전구체는 Mo 또는 W에 직접적으로 연결되지 않은 하나 이상의 할라이드 리간드를 포함한다. 바람직한 실시예에서, 상기 Mo 또는 W 전구체는 Mo(thd)3 또는 W(thd)3이다. 일부 실시예에서, Mo 또는 W 전구체는 카보닐 (CO) 리간드를 갖지 않는다. 일부 실시예에서, Mo 또는 W 전구체 6개의 카보닐 (CO) 리간드를 갖지 않는다. 일부 실시예에서, Mo 또는 W 전구체는 1, 2, 3, 4 또는 5개의 카보닐 (CO) 리간드를 갖지 않는다. 일부 실시예에서, Mo 또는 W 전구체는 베타-다이케토네이트이고, Mo(thd)3 또는 W(thd)3이 아니다.
Mo는 +VI, +V, +IV, +III, +II, +I, 0, -I, 및 -II를 포함하여 몇몇개의 산화 상태를 갖는다. W는 +VI, +V, +IV, +III, +II, +I, 0, -I, 및 -II를 포함하여 몇몇개의 산화 상태를 갖는다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +III의 산화 상태를 가지며, 예컨대, Mo는 Mo(thd)3에 +III의 산화 상태를 갖는다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +II의 산화 상태를 가지며, 예컨대, CpMo(CO)3Cl, Me2Mo(PMe3)4, CpW(CO)3Cl, 및 Me2W(PMe3)4에서이다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +I의 산화 상태를 가지며, 예컨대, Mo는 Mo(hfac)에 +I의 산화 상태를 갖는다. 일부 실시예에서, 생성된 박막 중의 Mo 또는 W는 +IV의 산화 상태를 갖는다. 일부 실시예에서, Mo 또는 W 전구체로부터의 Mo 또는 W는 생성된 박막이 형성되는 동안 산화된다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 예컨대 Cp2MoH2, Mo(NMe2)4, Mo(StBu)4, 및 Mo(S2CNMe2)4 중에 또는 Cp2WH2, W(NMe2)4, W(StBu)4, 및 W(S2CNMe2)4 중에 +IV의 산화 상태를 갖는 것이 유리할 수 있다.
Mo 또는 W 전구체 중의 Mo 또는 W에 대한 적절하고 바람직한 산화 상태는 특정 조건 및 상황에 따라 달라질 수 있으며, 주어진 특정 상황에서 Mo 또는 W 전구체 중의 Mo 또는 W의 최적의 산화 상태는 당업자에 의해 결정될 수 있다.
일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +III 초과의 산화 상태를 갖지 않는다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +IV의 산화 상태를 갖지 않는다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +I 내지 +III의 산화 상태를 갖는다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +IV 내지 +VI의 산화 상태를 갖지 않는다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +V의 산화 상태를 갖지 않는다. 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +VI의 산화 상태를 갖지 않는다 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +II의 산화 상태를 갖지 않는다 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 +III의 산화 상태를 갖는다 일부 실시예에서, Mo 또는 W 전구체 중의 Mo 또는 W는 -II 의 산화 상태를 갖지 않는다
따라서, Mo 또는 W 전구체 중의 Mo 또는 W는 +I 내지 +III의 산화 상태를 포함할 수 있으며, 생성된 박막이 형성되는 동안 산화될 수 있고, 여기서 Mo 또는 W는 +IV의 산화 상태를 갖는다.
임의의 하나의 특정 이론에 구속되는 것을 바라지 않으며, 전구체의 금속의 산화 상태가 증착된 막의 금속의 산화 상태에 더 가까울수록, 목적하는 상, 결정 구조, 결정화도, 또는 배향의 막을 증착을 위해 더 적은 에너지 및/또는 시간을 필요로 한다고 믿어진다. 또한, 전구체의 금속이 증착된 막의 금속의 산화 상태에 비해 더 낮은 산화 상태를 갖는 경우, 목적하는 상, 결정 구조, 결정화도, 또는 배향의 막을 증착시키기 위해 더 적은 에너지 또는 시간을 필요로 할 수 있는 것으로 믿어진다. 예컨대, 증착된 막의 금속이 +IV의 산화 상태를 갖는 경우, 전구체의 금속이 +IV 미만, 예컨대 +III의 산화 상태를 가져서 전형적인 ALD 공정과는 달리 막의 증착 동안 산화를 겪는 것이 바람직할 수 있다.
일부 실시예에서, Mo 또는 W 전구체는 본원에서 두자리 리간드로 지칭되는, 2개의 원자를 통해 Mo 또는 W에 결합된 적어도 하나의 리간드를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 적어도 O, N, 또는 S 원자를 통해 Mo 또는 W에 결합된 적어도 하나의 두자리 리간드를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 제1 부위에 O 원자 및 제2 자리에 제2 O 원자를 통해 Mo 또는 W에 결합된 적어도 하나의 두자리 리간드를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 제1 자리에 O 원자 및 제2 자리에 N 원자를 통해 Mo 또는 W에 결합된 적어도 하나의 두자리 리간드를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 제1 자리에 N 원자 및 제2 자리에 제2 N 원자를 통해 Mo 또는 W에 결합된 적어도 하나의 두자리 리간드를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 적어도 2개의 두자리 리간드를 포함한다. 일부 실시예에서, Mo 또는 W 전구체는 3개의 두자리 리간드를 포함한다.
일부 실시예에서, Mo 또는 W 전구체는 베타-다이케토나토 리간드인 적어도 하나의 두자리 리간드를 포함한다. 일부 실시예에서, 적어도 하나의 두자리 리간드는 acac 리간드이다. 일부 실시예에서, 적어도 하나의 두자리 리간드는 thd 리간드이다. 일부 실시예에서, Mo 또는 W 전구체는 적어도 2개의 베타-다이케토나토 리간드를 포함할 수 있다. 일부 실시예에서, Mo 또는 W 전구체는 3개의 베타-다이케토나토 리간드를 포함할 수 있다. 일부 실시예에서, Mo 또는 W 전구체는 적어도 2개의 thd 리간드를 포함할 수 있다. 일부 실시예에서, Mo 또는 W 전구체는 적어도 2개의 acac 리간드를 포함할 수 있다. 일부 실시예에서, Mo 또는 W 전구체는 3개의 thd 리간드를 포함할 수 있다. 일부 실시예에서, Mo 또는 W 전구체는 3개의 acac 리간드를 포함할 수 있다.
일부 실시예에서, Mo 또는 W 전구체는 용매 없이 기화된다. 바람직한 실시예에서, Mo 또는 W 전구체는 유기 용매화 같은 용매와 혼합되지 않는다.
일부 실시예에서, Mo 또는 W 베타-다이케토네이트는 임의의 종류의 Mo 또는 W 함유 박막을 증착시키기 위해 ALD 유형의 공정에서 사용될 수 있다. 일부 실시예에서, Mo 또는 W 베타-다이케토네이트는 원소 Mo 또는 W 막, Mo 또는 W 산화물 막, Mo 또는 W 질화물 막, 또는 Mo 또는 W 규화물 막을 증착시키기 위해 사용될 수 있다. 특히, Mo(thd)3 및 W(thd)3은 임의의 종류의 Mo 또는 W 함유 박막을 증착시키기 위해 ALD 유형의 공정에서 사용될 수 있다.
일부 실시예에서, 원소 Mo 또는 W 박막은 다음의 단계를 포함하는 적어도 하나의 증착 사이클을 포함하는 ALD 유형의 공정에 의해 기판 상에 형성될 수 있다:
기판 표면을 기화된 Mo 또는 W 베타-다이케토네이트 전구체와 접촉시켜 기판 상에 Mo 또는 W 베타-다이케토네이트 전구체의 최대한으로 잡아서 분자 단일 층을 형성하는 단계;
과량의 Mo 또는 W 베타-다이케토네이트 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 표면으로부터 제거하는 단계;
기판 표면을, 제2 반응물, 예컨대 H2, 또는 수소 플라즈마, 라디칼, 또는 원자와 접촉시키는 단계; 및
Mo 또는 W 베타-다이케토네이트 전구체 층과 제2 반응물 간의 반응에서 형성된 과량의 제2 반응물 및 임의의 기체 부산물을 표면으로부터 제거하는 단계.
접촉 및 제거 단계는 목적하는 두께의 원소 Mo 또는 W 박막이 형성될 때까지 반복될 수 있다.
일부 실시예에서, Mo 또는 W 산화물 박막은 다음의 단계를 포함하는 적어도 하나의 증착 사이클을 포함하는 ALD 유형의 공정에 의해 기판 상에 형성될 수 있다:
기판 표면을 기화된 Mo 또는 W 베타-다이케토네이트 전구체와 접촉시켜 기판 상에 Mo 또는 W 베타-다이케토네이트 전구체의 최대한으로 잡아서 분자 단일 층을 형성하는 단계;
과량의 Mo 또는 W 베타-다이케토네이트 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 표면으로부터 제거하는 단계;
기판을 산소 전구체, 예컨대 물, 오존, 또는 산소 플라즈마, 라디칼, 또는 원자와 접촉시키는 단계; 및
Mo 또는 W 베타-다이케토네이트 전구체 층과 산소 전구체 간의 반응에서 형성된 과량의 산소 전구체 및 임의의 기체 부산물을 표면으로부터 제거하는 단계.
접촉 및 제거 단계는 목적하는 두께의 Mo 또는 W 산화물 박막이 형성될 때까지 반복될 수 있다.
일부 실시예에서, Mo 또는 W 질화물 박막은 다음의 단계를 포함하는 적어도 하나의 증착 사이클을 포함하는 ALD 유형의 공정에 의해 기판 상에 형성될 수 있다:
기판 표면을 기화된 Mo 또는 W 베타-다이케토네이트 전구체와 접촉시켜 기판 상에 Mo 또는 W 베타-다이케토네이트 전구체의 최대한으로 잡아서 분자 단일 층을 형성하는 단계;
과량의 Mo 또는 W 베타-다이케토네이트 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 표면으로부터 제거하는 단계;
기판 표면을 질소를 포함하는 전구체와 접촉시키는 단계; 및
Mo 또는 W 베타-다이케토네이트 전구체 층과 질소를 포함하는 전구체 간의 반응에서 형성된 과량의 산소 전구체 및 기체 부산물을 표면으로부터 제거하는 단계.
접촉 및 제거 단계는 목적하는 두께의 Mo 또는 W 질화물 박막이 형성될 때까지 반복될 수 있다.
일부 실시예에서, 질소를 포함하는 적절한 전구체는 NH3을 포함할 수 있다. 일부 실시예에서, 질소를 포함하는 적절한 전구체는 질소 함유 플라즈마, 예컨대 N-플라즈마, 원자 또는 라디칼 또는 N 및 H 함유 플라즈마, 원자, 또는 라디칼을 포함할 수 있다.
일부 실시예에서, Mo 또는 W 규화물 박막은 다음의 단계를 포함하는 적어도 하나의 증착 사이클을 포함하는 ALD 유형의 공정에 의해 기판 상에 형성될 수 있다:
기판 표면을 기화된 Mo 또는 W 베타-다이케토네이트 전구체와 접촉시켜 기판 상에 Mo 또는 W 베타-다이케토네이트 전구체의 최대한으로 잡아서 분자 단일 층을 형성하는 단계;
과량의 Mo 또는 W 베타-다이케토네이트 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물을 표면으로부터 제거하는 단계;
기판 표면을 규소를 포함하는 전구체와 접촉시키는 단계; 및
Mo 또는 W 베타-다이케토네이트 전구체 층과 규소를 포함하는 전구체 간의 반응에서 형성된 과량의 규소를 포함하는 전구체 및 임의의 기체 부산물을 표면으로부터 제거하는 단계.
접촉 및 제거 단계는 목적하는 두께의 Mo 또는 W 규화물 박막이 형성될 때까지 반복될 수 있다.
일부 실시예에서, Mo 또는 W 함유 재료는 적어도 하나의 증착 사이클을 포함하는 공정에 의해 기판 상에 형성될 수 있으며, 상기 증착 사이클은 기판을 기상 Mo 또는 W 전구체 및 제2 기상 칼코겐 전구체와 교대로 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예에서, 증착 사이클은 2회 이상 반복될 수 있다. 일부 실시예에서, 증착 사이클은 2회 이상 순차적으로 반복될 수 있다. 일부 실시예에서, 과량의 Mo 또는 W 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물은 기판을 기상 Mo 또는 W 전구체와 접촉시킨 후 및 기판을 기상 칼코겐 전구체와 접촉시키기 전에 제거될 수 있다. 일부 실시예에서, 과량의 칼코겐 전구체 및 반응 부산물이 존재하는 경우의 해당 반응 부산물은 기판을 기상 칼코겐 전구체와 접촉시킨 후 및 또 다른 증착 사이클을 시작하기 전에 제거될 수 있다. 일부 실시예에서, 기판은 Mo 또는 W 기상 전구체와 접촉한 후 및 기판을 기상 칼코겐 전구체와 접촉하기 전에 퍼지 가스와 접촉될 수 있다. 일부 실시예에서, 기판은 또 다른 증착 사이클을 시작하기 전에 칼코겐 기상 전구체와 접촉한 후에 퍼지 가스와 접촉될 수 있다.
Mo 또는 W 베타-다이케토네이트 전구체의 합성
본원에 기술된 ALD 공정에서 사용된 일부 Mo 또는 W 전구체의 제조방법에 대한 방법이 또한 제공된다. 일부 실시예에서, 화학식 M(L)3을 갖는 전구체가 합성되며, 상기 식에서, M은 Mo 또는 W이고, L은 바람직하게는 베타-다이케토나토 리간드, 가장 바람직하게는 acac, hfac, 또는 thd이다. 일부 실시예에서, M이 Mo 또는 W인 화학식 M(thd)3을 갖는 Mo 또는 W 전구체가 합성된다. 일부 실시예에서, 합성되는 전구체는 Mo(thd)3이고, 다른 실시예에서는 W(thd)3이다.
일부 실시예에서, 모든 취급 및 조작은 공기, 산소 또는 수분을 포함하지 않는 대기에서 수행될 수 있다. 일부 실시예에서, 모든 취급 및 조작은 불활성 기체 대기, 예컨대 N2 또는 Ar 대기에서 수행될 수 있다.
도 2는 Mo 또는 W 베타-다이케토네이트 전구체 (20)를 형성하기 위한 방법을 일반적으로 설명하는 공정 흐름도이다. 일부 실시예에서, Mo 또는 W 베타-다이케토네이트 전구체의 제조 공정은 다음의 단계를 포함한다:
단계 21에서 Mo 또는 W 할라이드를 환원제로 환원시켜 제1 생성물을 형성하는 단계;
단계 22에서 후속하여 제1 생성물에 용매를 첨가하여 제2 생성물을 형성하여 제2 생성물 MX3(R1)n을 형성하는 단계 (n은 0 내지 4) (상기 식에서, M은 Mo 또는 W이고, X는 할라이드이고, R1은 용매이다);
단계 23에서 알칼리 금속 화합물, 예컨대 BuLi, MeLi, NaH, 또는 KH를 베타-다이케톤과 반응시켜 제3 생성물을 형성하는 단계; 및
후속하여 단계 24에서 제2 생성물에 제3 생성물을 첨가하여, 단계 25에서 화학식 M(L)3을 갖는 Mo 또는 W 베타-다이케토네이트 전구체를 형성하는 단계 (상기 식에서, M은 Mo 또는 W이고, L은 베타-다이케토나토 리간드이다).
일부 실시예에서, 단계 21의 Mo 또는 W 할라이드는 바람직하게는 무수 Mo 또는 W 할라이드이다. 일부 실시예에서, 단계 21의 Mo 또는 W 할라이드는 화학식 MX5를 가지며, 상기 식에서 M은 Mo 또는 W이고, X는 할라이드, 바람직하게는 Cl이다. 일부 실시예에서, 단계 21의 금속 할라이드는 화학식 MX4 또는 MX6을 가질 수 있으며, 상기 식에서 M은 W이고, X는 할라이드이다. 일부 실시예에서, 단계 21의 Mo 또는 W 할라이드는 환원제로 환원되기 전에, 용매, 바람직하게는 유기 용매, 예컨대 에터에 첨가될 수 있다. 바람직한 실시예에서, 상기 용매는 Et2O이다.
일부 실시예에서, 환원제는 금속, 예컨대 금속 Sn을 포함한다. 일부 실시예에서, 환원제는 유기 종, 바람직하게는 비스(트라이알킬실릴) 6-원 고리 시스템 또는 관련된 화합물, 예컨대 1,4-비스(트라이메틸실릴)-1,4-다이하이드로피라진 (DHP)을 포함한다. 바람직하게는, 환원제는 분말 또는 펠릿 형태, 예컨대 Sn 펠릿으로 제공된다. 일부 실시예에서, Mo 또는 W 할라이드를 환원하는 단계는 환원제를 Mo 또는 W 할라이드를 포함하는 용액에 첨가하여 제1 생성물을 형성하는 단계를 포함한다. 바람직한 실시예에서, Mo 또는 W 할라이드를 환원하는 단계는 Sn 펠릿을 Et2O 중의 MCl5 용액에 첨가하여 MCl4(Et2O)2 를 형성하는 단계를 포함할 수 있으며, 상기 식에서, M은 Mo 또는 W이다.
일부 실시예에서, Mo 또는 W 할라이드 및 환원제 혼합물은 제1 기간 동안 교반된다. 일부 실시예에서, 혼합물은 반응이 종결될 때까지 교반된다. MCl4(Et2O)2(상기 식에서, M은 Mo 또는 W이다)를 포함하는 목적하는 제1 생성물이 형성된 후, 상기 혼합물이 침전되도록 할 수 있다. 일부 실시예에서, 반응이 종결된 후, 제1 생성물은 분리되고 임의의 용매, 부산물, 과량의 반응물, 또는 제1 생성물 중에서 목적하지 않는 임의의 다른 화합물로부터 단리될 수 있다.
일부 실시예에서, 용매가 제1 생성물에 첨가되어 제2 생성물이 형성된다. 바람직한 실시예에서, 용매는 THF이다. 일부 실시예에서, 혼합물은 제2 기간 동안 교반된다. 일부 실시예에서, 혼합물은 반응이 종결될 때까지 교반된다. 목적하는 제2 생성물이 형성된 후, 상기 혼합물이 침전되도록 할 수 있다. 일부 실시예에서, 반응이 종결된 후, 제2 생성물은 분리되고 임의의 용매, 부산물, 과량의 반응물, 또는 제2 생성물 중에서 목적하지 않는 임의의 다른 화합물로부터 단리될 수 있다. 바람직한 실시예에서, 제2 생성물을 형성하는 단계는 THF를 제1 생성물에 첨가하여 MCl3(THF)3을 형성하는 단계를 포함할 수 있으며, 상기 식에서, M은 Mo 또는 W이다.
일부 실시예에서, 제2 생성물 MoCl3(THF)3은 Stoffelbach 등 “Improved Preparations of Molybdenum Coordination Compounds from Tetrachlorobis(diethyl ether)molybdenum(IV).” Eur. J. Inorg. Chem. 10/2001: 2699-2703 (이는 그 전체가 참조로써 본원에 포함되어 있음)에 개시된 방법에 따라 형성된다.
일부 실시예에서, 알칼리 금속 화합물을 베타-다이케톤과 반응시켜 제3 생성물이 형성된다. 바람직한 실시예에서, 알칼리 금속 화합물은 부틸리튬을 포함한다. 일부 구현에에서, 알칼리 금속 화합물은, 예컨대 KH, NaH, 또는 MeLi를 포함할 수 있다. 일부 실시예에서, 알칼리 금속 화합물은 알칸, 바람직하게는 헥산 중의 용액으로서 제공될 수 있다. 일부 실시예에서, 알칼리 금속 화합물은 용매에 첨가될 수 있다. 일부 실시예에서, 용매는 이종환 용매를 포함할 수 있다. 바람직한 실시예에서, 용매는 THF이다.
일부 실시예에서, 베타-다이케톤 화합물은 Hthd; Hacac; Htfac를 포함할 수있으며; 여기서 Htfac는 트라이플루오로아세틸아세톤이고; Hfod, 여기서 fod는 2,2-다이메틸-6,6,7,7,8,8,8-헵타플루오로-3,5-옥탄디온; 또는 Hhfac; 바람직하게는 Hthd이다. 일부 실시예에서, 알칼리 금속 화합물을 베타-다이케톤 화합물과 반응시키는 단계는 베타-다이케톤 화합물을 알칼리 금속 화합을 포함하는 용액에 첨가하여 화학식 M1L을 갖는 제3 생성물을 형성하는 단계를 포함하며, 상기 식에서, M1은 알칼리 금속이고, L은 베타-다이케토나토 리간드이다. 바람직한 구현에서, 헥산 중의 부틸리튬이 THF에 첨가되어 용액을 형성한다. Hthd는 이어서 용액에 첨가되어 뷰틸리튬과 반응하여 Lithd를 포함하는 제3 생성물을 형성한다.
일부 실시예에서, 용액은 선택적으로 반응이 종결되기 전, 종결되는 동안, 및/또는 종결된 후 선택적으로 냉각된다. 일부 실시예에서, 베타-다이케톤 화합물은 알칼리 금속 화합물의 첨가 전에 냉각될 수 있다. 일부 실시예에서, 용액은 반응이 종결될 때까지 교반될 수 있다. 일부 실시예에서, 반응에 의해 생성된 임의의 기체 부산물이 예컨대 발포기를 통해 배출될 수 있다.
일부 실시예에서, 제3 생성물이 제2 생성물에 첨가되어, 화학식 ML3을 갖는 Mo 또는 W 베타-다이케토네이트 전구체가 형성되며, 상기 식에서, M은 Mo 또는 W이고, L은 베타-다이케토나토 리간드이다. 일부 실시예에서, 제2 생성물은 제3 생성물의 첨가 전에 용매에 첨가될 수 있다. 바람직한 실시예에서, 용매는 THF이다. 일부 실시예에서, 제3 생성물이 혼합물에 첨가되어 Mo 또는 W 베타-다이케토네이트 전구체가 형성된다. 일부 실시예에서, 제3 생성물은 화학식 M1L을 가지며, 상기 식에서, M1은 알칼리 금속이고, L은 베타-다이케토나토 리간드이다. 일부 구현에에서, 제3 생성물은 제3 생성물을 포함하는 용액을 포함할 수 있다. 바람직한 실시예에서, Lithd가 THF 중의 MCl3(THF)3 현탁액에 첨가되어 M(thd)3이 형성되며, 상기 식에서, M은 Mo 또는 W이다.
일부 실시예에서, 제2 생성물은 제3 생성물의 첨가 전에 냉각될 수 있다. 일부 실시예에서, 제3 생성물의 첨가 후에, 상기 혼합물은 실온까지 가온될 수 있다. 일부 실시예에서, 혼합물은 제2 기간 동안 교반된다. 일부 실시예에서, 혼합물은 상기 반응이 종결될 때까지 교반된다.
상기 반응이 실질적으로 종결된 후, 최종 생성물은 분리되고, 용매, 부산물, 과량의 반응물, 또는 최종 생성물 중의 목적하지 않는 임의의 다른 화합물로부터 단리된다.
일부 실시예에서, Mo 또는 W 베타-다이케토네이트 전구체의 제조 공정은 다음의 단계를 포함한다:
단계 21에서 무수 MoCl5를 Sn으로 환원시켜 제1 생성물을 형성하는 단계;
단계 22에서 후속하여 테트라하이드로퓨란 (THF)을 포함하는 용매를 제1 생성물에 첨가하여 제2 생성물 MoCl3(THF)3을 형성함으로써, 제2 생성물 MoCl3(THF)3을 형성하는 단계;
단계 23에서 부틸리튬을 Hthd로 반응시켜 제3 생성물 Lithd를 형성하는 단계; 및
후속하여 단계 24에서 제3 생성물 Lithd를 제2 생성물 MoCl3(THF)3에 첨가하여 단계 25에서 전구체 Mo(thd)3을 형성하는 단계.
예 1
다음의 공정에 따라 Mo(thd)3을 합성하였다. 모든 취급 및 조작은 표준 슐랭크 (Schlenk) 기술과 불활성 기체 (N2 또는 Ar) 글러브 박스를 사용하여 공기 및 습기를 엄격하게 배제한 상태에서 수행하였다.
우선, 5.00 g (18.3 mmol)의 무수 MoCl5 및 10 g (84 mmol)의 Sn 펠릿을 50 ml Et2O 중에 현탁하였다. 상기 혼합물을 실온에서 1시간 동안 교반하여 용액 및 고체를 형성하였다. 상기 고체를 슐랭크 병의 바닥에 침전시키고 대부분의 Et2O 용액을 Ar 압력 및 테플론 모세관을 사용하여 제거하였다.
이어서, 50 ml THF를 첨가하고 상기 혼합물을 실온에서 3시간 동안 교반하여 고체 MoCl3(THF)3을 형성하였다. Ar 압력 및 테플론 모세관을 사용하여 THF/MoCl3(THF)3 현탁액을 또 다른 슐랭크 병에 옮김으로써 상기 MoCl3(THF)3을 과량의 Sn으로부터 분리하였다. 이어서, 슐랭크 소결물 (sinter)을 사용하여 상기 고체 MoCl3(THF)3을 현탁액으로부터 여과하고 Et2O로 세척하였다.
다음으로, Lithd 용액을 제조하였다. 30 ml THF를 CO2/아세톤 욕으로 냉각시키고 헥산 중의 1.6 BuLi 용액 4.48 ml을 첨가하였다. 이어서 주사기를 사용하여 1.321 g (7.168 mmol) Hthd를 상기 용액에 천천히 첨가하였다. 상기 용액을 이어서 실온에서 2시간 동안 교반하였다. 기체 부산물을 수은 기포를 통해 상기 용액을 함유하고 있는 슐랭크 병으로부터 배출하였다.
고체 MoCl3(THF)3을 이어서 20 ml THF 중에 현탁하였다. 이어서 상기 현탁액을 CO2/아세톤 욕으로 냉각시키고 이전에 제조한 Lithd 용액을 테플론 모세관 및 Ar 압력을 사용하여 첨가하였다. 생성된 용액을 실온까지 가온하고 밤새 교반하였다.
THF 용매를 이어서 물 욕 및 진공을 사용하여 증발시켰다. 생성된 고체 생성물을 승화기로 옮기고 160℃ 내지 180℃ 및 0.5 mbar에서 승화시켰다. 생성된 Mo(thd)3 승화물을 글러브 박스에 수집하였다.
합성된 화합물을 질량분석기를 사용하여 분석하였다. 도 3에 나타난 바와 같이, Mo(thd)3에 해당하는 동위원소 패턴을 갖는 분자 이온이 m/z 647에서 나타났다. Mo(thd)3 외에, 산소를 갖는 단편 이온, 예컨대 [Mo(thd)2O2]+, [Mo(thd)O]+, [Mo(thd)2O2-Bu]+, 및 [Mo(thd)O2]+에 해당하는 몇몇 피크가 보일 수 있다. 그러나, 이러한 피크는 질량분석기 내에 샘플을 로딩하는 동안 Mo(thd)3 화합물이 공기에 노출되어 발생했을 가능성이 있다.
합성된 화합물의 분자 구조를 단일 결정 x-선 회절법 (SCXRD)을 사용하여 분석하였다. 합성된 Mo(thd)3 화합물의 구조를 도 4에 나타냈다.
Mo(acac)3 및 Mo(hfac)3을 또한 Mo(thd)3을 합성하기 위한 공정과 유사한 공정을 사용하여 합성하였다. Hthd 대신 Hacac 및 Hhfac를 사용하여 Mo(acac)3 및 Mo(hfac)3을 각각 합성하였다. 3개의 Mo 베타-다이케토네이트 화합물의 열 특성을 열 중량 분석 (TGA)을 사용하여 조사하였다. 도 5에 나타난 바와 같이, Mo(thd)3 및 Mo(hfac)3의 열 중량 곡선은 온도가 증가함에 따라 화합물이 증발하는 것을 나타내는 반면, Mo(acac)3의 열 중량 곡선은 온도가 증가함에 따라 화합물이 주로 분해되는 것을 나타낸다.
칼코겐 전구체
임의의 수의 칼코겐 전구체가 본원에 개시된 ALD 공정에서 사용될 수 있음이 당업자에 의해 이해될 것이다. 일부 실시예에서, 칼코겐 전구체는 다음의 열거로부터 선택된다: H2S, H2Se, H2Te, (CH3)2S, (NH4)2S, 다이메틸설폭시드 ((CH3)2SO), (CH3)2Se, (CH3)2Te, 원소 또는 원자 S, Se, Te, 기타 전구체 함유 칼코겐-수소 결합, 예컨대 H2S2, H2Se2, H2Te2, 또는 화학식 R-Y-H를 갖는 칼코게놀 (상기 식에서, R은 치환되거나 비치환된 탄화 수소, 바람직하게는 C1-C8 알킬 또는 치환된 알킬, 예컨대 알킬실릴 기, 보다 바람직하게는 선형 또는 분지형 C1-C5 알킬 기일 수 있고, Y는 S, Se, 또는 Te일 수 있다). 일부 실시예에서, 칼코겐 전구체는 화학식 R-S-H를 갖는 티올이며, 상기 식에서, R은 치환되거나 비치환된 탄화수소, 바람직하게는 C1 내지 C8 알킬 기, 보다 선형 또는 분지형 바람직하게는 C1-C5 알킬 기일 수 있다. 일부 실시예에서, 칼코겐 전구체는 화학식 (R3Si)2Y를 가지며, 상기 식에서, R3Si는 알킬실릴 기이고 Y는 Se 또는 Te일 수 있다. 일부 실시예에서, 칼코겐 전구체는 S 또는 Se를 포함한다. 바람직한 실시예에서, 칼코겐 전구체는 S를 포함한다. 일부 실시예에서, 칼코겐 전구체는 원소 칼코겐, 예컨대 원소 황을 포함할 수 있다. 일부 실시예에서, 칼코겐 전구체는 Te를 포함하지 않는다. 일부 실시예에서, 칼코겐 전구체는 Se를 포함한다. 일부 실시예에서, 칼코겐 전구체는 S, Se, 또는 Te로부터 선택된다. 일부 실시예에서, 칼코겐 전구체는 H2Sn을 포함하며, 상기 식에서 n은 4 내지 10이다.
적절한 칼코겐 전구체는 이들이 적어도 하나의 칼코겐-산소 결합을 포함하는 한, 임의의 수의 칼코겐-함유 화합물을 포함할 수 있다. 일부 실시예에서, 칼코겐 전구체는 칼코겐 플라즈마, 칼코겐 원자 또는 칼코겐 라디칼을 포함할 수 있다. 일부 실시예에서, 에너지화된 칼코겐 전구체가 바람직하며, 플라즈마는 반응 챔버에서 또는 반응 챔버의 상류에서 생성될 수 있다. 일부 실시예에서, 칼코겐 전구체는 에너지화된 칼코겐 전구체, 예컨대 플라즈마, 원자, 또는 라디칼을 포함하지 않는다. 일부 실시예에서, 칼코겐 전구체는 칼코겐-수소 결합 예컨대 H2S를 포함하는 칼코겐 전구체로부터 형성된 칼코겐 플라즈마, 칼코겐 원자 또는 칼코겐 라디칼을 포함할 수 있다. 일부 실시예에서, 칼코겐 전구체는 칼코겐 플라즈마, 칼코겐 원자 또는 칼코겐 라디칼, 예컨대 황, 셀레늄 또는 텔루륨을 포함하는 플라즈마, 바람직하게는 황을 포함하는 플라즈마를 포함할 수 있다. 일부 실시예에서, 플라즈마, 원자 또는 라디칼은 텔루륨을 포함한다. 일부 실시예에서, 플라즈마, 원자 또는 라디칼은 셀레늄을 포함한다.
예 2
MoCl5 및 H2S를 전구체로 사용하였고 다양한 증착 실험을 전구체 체류 시간 없이 유동형 반응기 (ASM America F-120 반응기)에서 150℃ 내지 500℃의 반응 온도에서 수행하였다. 다양한 기판을 사용하였다: Al2O3, ZnS, 소다 석회 유리, Si, 및 Ir. 증착 실험을 수행한 후에, Mo 또는 S는 막 성장을 나타내는 양으로 기판 상에 검출되지 않았다. EDX를 샘플에서 수행하였으며 단지 미량의 MO만 나타났다. 샘플에서 S가 검출되지 않았다. 이러한 공정이 Browning 등 “Atomic layer deposition of MoS2 thin films”에 보고되어 있으며 이는 그 전체가 참조로써 본원에 포함되어 있다. 합리적으로 유사한 조건에서의 유사한 실험이 본 발명자에 의해 Browning의 논문에 기술된 공정 조건에 대해 수행되었지만, 상기 시험은 성공적이지 않았고, 이는 거기에 개시된 공정의 비-강건성을 나타낼 수 있다.
예 3
Mo(thd)3을 Mo 전구체로 사용하고 H2S를 칼코겐 전구체로 사용하여, 본원에 개시된 ALD 공정에 따라 MoS2 박막을 증착하였다. MoS2를 규소, 티타늄, 이황화물, 알루미나, 및 소다-석회 유리 기판 상에 증착하였다. 상기 기판을 약 175℃ 내지 약 500℃ 범위의 증착 온도에서 Mo(thd)3 및 H2S의 교류 펄스와 접촉시켰다.
175℃ 내지 350℃의 증착 온도에서 MoS2의 증착이 관찰되지 않았다. 기판 상의 막의 양은 약 375℃ 초과의 증착 온도에 따라 증가하는 것으로 나타났다. 최고 성장 속도가 약 500℃의 증착 온도에서 달성되었다. 이러한 증착 온도에서 상기 기판은 자색 또는 갈색 MoS2 막으로 덮였다.
생성된 MoS2 막을 FESEM으로 특성분석 하였고 막 형태는 상기 막이 증착된 기판과는 무관하게, 실질적으로 동일한 것으로 확인되었다. 도 6에 나타난 바와 같이, 플레이크 유사 구조가 일부 막의 표면에서 관찰되었지만, 단면 FESEM 이미지는, 막이 균열이나 핀홀 없이 밀도가 높음을 나타냈다.
도 7에 나타난 바와 같이, ALD MoS2 막의 성장 속도는 약 0.5 내지 1초의 Mo(thd)3 및 H2S 펄스 길이 모두 약 0.2 Å/사이클에서 포화되었다. 도 8에 도시된 바와 같이, 500회의 증착 사이클 후에 약간 더 가파른 슬로프로 나타낸 바와 같이, 인큐베이션 기간이 존재할 수 있지만, 막 두께는 실질적으로 선형 방식으로 증가하는 것으로 관찰되었다.
Mo(thd)3 펄스 길이의 증가가 성장 속도에 영향을 미치지 않지만, 막 형태가 영향을 받았다. 도 9는 0.2초 및 0.5초 펄스로 증착된 막이 예리한 플레이크 유사 구조를 포함하는 거의 동일한 표면을 가짐을 나타낸다. 막 두께에서 차이가 관찰되지 않았지만, 1초 이상의 Mo(thd)3 펄스 길이는 입자를 포함하는 표면을 생성하였다. 0.2, 0.5, 및 1초의 Mo(thd)3 펄스 길이를 사용하여 증착된 막에 대해 2000회의 사이클과 비교하여, 상기 생성된 막은 1000회의 증착 사이클을 사용하여 증착되었지만, 유사한 표면 구조가 또한 4초의 Mo(thd)3 펄스 길이에서 관찰되었다. 따라서, 막 두께는 표면에서 구조적인 차이에 영향을 미칠 수 있으며, Mo(thd)3 펄스 길이가 또한 영향을 미칠 수 있다.
본원에서, 보다 짧은 H2S 펄스 길이가 플레이크가 없는 표면을 생성하였지만, H2S 펄스 길이를 변화시킴으로써 막 표면 구조에서 유사한 차이가 발생하였다. 도 10에 나타난 바와 같이, 0.2초의 H2S 펄스 길이는 입자를 포함하는 표면을 갖는 막을 생성하였다. 보다 긴 펄스는 예리한 모서리 플레이크를 포함하는 표면을 생성하였다.
10 내지 2000회의 증착 사이클에 의해 증착된 MoS2 막에 대해 MoS2 막 성장을 시각적으로 분석하였다. Mo(thd)3을 0.5초의 펄스 시간 및 1초의 퍼징 시간을 갖는 Mo 전구체로서 사용한 반면, H2S를 0.5초의 펄스 시간 및 1초의 퍼징 시간을 갖는 칼코겐 전구체로서 사용하였다. 모든 샘플에 대한 증착 온도는 500℃였다. 도 11a는 10 내지 50 사이클로 증착된 MoS2 막을 나타내는 반면, 도 11b는 100 내지 2000 사이클로 증착된 MoS2 막을 나타낸다. 막 표면 상의 예리한 플레이크의 존재는 두께 의존적인 것으로 보이며, 막 표면 구조는 결정질이고 대부분 1500회의 증착 사이클까지 편평한 것으로 나타났다. 2000회의 증착 사이클이 상승된 후, 플레이크 구조는 막 표면 전체를 덮었다.
증착된 MoS2 막의 조성을 EDX로 분석하였다. 규소 기판 상의 MoS2 막으로 이루어진 이론적인 샘플에 대한 스펙트럼을 합성하기 위해 Oxford INCA 소프트웨어를 사용하여 Mo35S65의 이론적인 막 조성을 수득하였다. 도 12a에 나타난 바와 같이, 500℃에서 증착된 MoS2 막 조성을 EDX로 분석한 반면, Mo(thd)3 펄스 길이는 다양하였다. 도 12b에 나타난 바와 같이, 500℃에서 증착된 MoS2 막의 조성을 EDX로 분석한 반면, H2S 펄스 길이는 다양하였다. 두 경우 모두 측정된 MoS2 막 조성은 이론적인 조성과 유사한 것으로 측정되었다.
ALD 공정에 의해 500℃에서 증착된 MoS2 막 샘플의 원소 분획을 또한 측정하였고 도 13에 나타냈다. MoS2 막을 250 내지 2000회 증착 사이클을 갖는 ALD 공정으로 증착하였다. 증착 사이클의 수가 증가함에 따라, MoS2 막의 측정된 원소 분획은 Mo 풍부에서 S 풍부가 되었다. 2000회의 증착 사이클을 갖는 ALD 공정에 의해 증착된 MoS2 샘플은 Mo42S58의 측정된 조성을 가졌다. 인큐베이션 주기가 존재할 수 있지만, 도 13에 도시된 바와 같이, 증착된 막의 두께는 대략 선형으로 증가하는 것으로 관찰되었다.
도 14에 나타난 바와 같이 2개 세트의 증착된 MoS2 막 샘플의 조성을 스침각 입사 X-선 회절법 (GIXRD)으로 분석하였다. MoS2 막 샘플의 하나의 세트를 ALD 공정에 의해 증착하였고, 여기서 황 전구체 펄스는 0.5초로 일정하게 유지한 반면 Mo 전구체 펄스 시간은 0.2초에서 4초로 변화시켰다. MoS2 막 샘플의 제2 세트를 ALD 공정에 의해 증착하였고, 여기서 Mo 전구체 펄스는 0.5초로 일정하게 유지한 반면, 황 전구체 펄스 시간은 0.2초에서 2초로 변화시켰다. 상기 샘플을 500℃에서 증착하였다. 도 14에 나타난 (002) 피크의 강도는 Mo 전구체 펄스 시간이 감소함에 따라 증가하였고 황 전구체 펄스 시간이 증가함에 따라 증가하였다.
증착된 막의 상을 확인하기 위해 라만 분광법을 사용하였다. MoS2는 Mo 및 S 원자의 평면 내 진동에 대해 383 cm-1에서, 및 S 원자의 평면 외 진동에 대해 406 cm-1에서 특징적인 피크를 가졌다. 도 15에 도시한 바와 같이, 이러한 피크 둘 모두는 증착된 MoS2 박막에서 명확하게 보였다.
도 16에 나타난 바와 같이, 2개 세트의 증착된 MoS2 막의 상을 분석하기 위해 라만 분광법을 또한 사용하였다. MoS2 막 샘플의 하나의 세트를 ALD 공정에 의해 증착하였고, 여기서 황 전구체 펄스는 0.5초로 일정하게 유지한 반면 Mo 전구체 펄스 시간은 0.2초에서 4초로 변화시켰다. MoS2 막 샘플의 제2 세트를 ALD 공정에 의해 증착하였고, 여기서 Mo 전구체 펄스는 0.5초로 일정하게 유지한 반면, 황 전구체 펄스 시간은 0.2초에서 2초로 변화시켰다. 상기 샘플을 500℃에서 증착시켰다. 평면 내 및 평면 외 MoS2 피크의 강도는 MO 전구체 펄스 시간이 감소함에 따라 증가하는 것으로 나타났고 황 전구체 펄스 시간이 증가함에 따라 증가하는 것으로 관찰되었다.
도 17에 나타난 바와 같이, ALD 공정에 의해 증착된 2개의 MoS2 박막 샘플의 원소 조성을 X-선 광전자 분광법 (XPS)을 사용하여 조사하였다. 하나의 샘플을 0.2초의 Mo 전구체 펄스를 갖는 ALD 공정으로 증착한 반면, 제2 샘플을 4초의 전구체 펄스 시간을 갖는 ALD 공정으로 증착하였다.
도 18에 나타난 바와 같이, Mo 전구체로서 Mo(thd)3을 사용하고 10 내지 50회 증착 사이클을 갖는 ALD 공정으로 증착한 MoS2 막 샘플의 표면 거칠기를 원자간력 현미경 (AFM)을 사용하여 조사하였다. 10 내지 50회 사이클로 증착한 샘플에 대한 거칠기가 0.41 nm로 확인된 반면, 25회 사이클로 증착한 샘플의 거칠기는 0.44 nm로 확인되었다. 막 표면 형상은 모든 샘플에서 크기가 10 nm 미만이었고, 표면 형상의 크기는 증착 사이클의 수에 따라 증가하는 것으로 나타났다.
도 19는 Mo 전구체로서 Mo(thd)3을 사용하는 ALD 공정에 의해 증착되고 425℃ 내지 500℃의 온도에서 증착된 MoS2 막의 성장 속도 및 원소 분획을 나타낸다. 성장 속도는 증착 온도가 증가함에 따라 증가하는 것으로 관찰되었고, 500℃의 증착 온도에서 가장 높은 성장 속도가 관찰되었다. 증착된 막의 조성은 증착 온도에 따라 변하였다.
도 20에 나타난 바와 같이, MoS2 막 성장을 ALD에 의해 증착된 MoS2 막에 대해 시각적으로 분석하였다. Mo(thd)3을 0.5초의 펄스 시간 및 1초의 퍼징 시간을 갖는 Mo 전구체로서 사용한 반면, H2S를 0.5초의 펄스 시간 및 1초의 퍼징 시간을 갖는 칼코겐 전구체로서 사용하였다. 증착 온도를 350℃에서 500℃로 변화시켰다.
2D 재료
Mo 또는 W를 포함하는 2D 재료, 예컨대 Mo 또는 W 다이칼코게나이드 예컨대 MoS2, WS2, MoSe2, 또는 WSe2 2D 재료를 증착하기 위해 본원에 기술된 ALD 공정을 사용할 수 있다. 단층 재료로도 지칭되는 2D 재료는 단일 연결된 분자 단일 층으로 이루어진 재료이다. 2D 재료는 단일 연결된 분자 단일 층을 형성하는 반면, 다중 단일층은 본원에 개시된 증착 공정에 의해 증착될 수 있다. 예컨대, 2D MoS2의 경우, 2D 재료는 Mo 원자의 한 층이 S 원자의 2개 층 상이에 샌드위치 되도록 배열된 공유결합된 MoS2 분자의 단일 층을 포함한다. MoS2의 기본적인 원자 구조는 당업자에 의해 익숙할 것이다.
2D 재료의 특유의 특성으로 인해, 2D 재료는 매우 다양한 잠재적인 응용, 예컨대 윤활, 광전자 공학, 스핀트로닉스 및 밸리트로닉스, THz 생성 및 검출, 촉매, 화학 및 생물학적 센서로서 사용, 슈퍼커패시터, LED, 태양 전지, Li-이온 배터리, 및 MOSFET 채널 재료에서 유용하다.
다른 2D 재료 예컨대 그래핀과 달리, 2D Mo 또는 W 다이칼코게나이드는 반도체 장치의 소형화에 유용한 고유한 전기적 특성을 갖는다. 예컨대, 그래핀과 달리, 2D Mo 또는 W 다이칼코게나이드는 직접적인 밴드 갭을 가지며 반도체성이다. 따라서, Mo 또는 W 다이칼코게나이드는 전자 장치에서 유용하고, 예컨대, Mo 또는 W 다이칼코게나이드는 게이트 스택 또는 트랜지스터에서 채널 재료로서 유용할 수 있다.
일부 실시예에 따르면, Mo 또는 W를 포함하는 2D 재료는 본원에 개시된 방법에 따라 ALD에 의해 증착될 수 있다. 일부 실시예에에서, Mo 또는 W를 포함하는 2D 재료는 Mo 또는 W를 포함하는 화합물의 10개 이하의 분자 단일 층, 바람직하게는 5개 미만의 분자 단일 층, 가장 바람직하게는 3개 이하의 분자 단일 층을 포함할 수 있다.
일부 실시예에서, Mo 또는 W를 포함하는 2D 재료는 Mo 또는 W 다이칼코게나이드의 10개 이하의 분자 단일 층, 바람직하게는 5개 미만의 분자 단일 층, 가장 바람직하게는 3개 이하의 분자 단일 층을 포함할 수 있다. 일부 실시예에서, Mo 또는 W를 포함하는 2D 재료는 MoS2, WS2, MoSe2, WSe2, MoTe2, 또는 WTe2의 10개 이하의 분자 단일 층, 바람직하게는 5개 미만의 분자 단일 층, 가장 바람직하게는 3개 이하의 분자 단일 층을 포함할 수 있다.
일부 실시예에서, 기판 상에 Mo 또는 W를 포함하는 2D 재료를 증착시키는 방법은 다수의 사이클을 포함하는 본원에 개시된 바와 같은 ALD 공정을 포함할 수 있다. 일부 실시예에서, Mo 또는 W를 포함하는 2D 재료를 증착시키는 방법은 500회 이하의 증착 사이클, 바람직하게는 200회 미만의 증착 사이클, 가장 바람직하게는 100회 이하의 증착 사이클을 포함하는 본원에 개시된 ALD 공정을 포함할 수 있다. 특정 전구체, 기판 및 공정 조건에 따라 당업자에 의해 선택될 수 있는 바와 같이, 기판 상에 Mo 또는 W를 포함하는 2D 재료를 증착시키는 방법은 50회 이하의 사이클, 25회 이하의 사이클, 15회 이하의 사이클, 또는 10회 이하의 사이클을 포함하는 본원에 개시된 ALD 공정을 포함할 수 있다.
일부 실시예에서, Mo 또는 W를 포함하는 증착된 2D 재료는 10nm 미만, 보다 바람직하게는 5nm 미만, 보다 바람직하게는 3nm 미만, 보다 더 바람직하게는 2nm 미만, 보다 바람직하게는 1.5nm 미만, 및 가장 바람직하게는 1.0nm 미만일 수 있다.
일부 실시예에서, 증착된 2D 재료는 약 0.75nm 미만, 바람직하게는 약 0.5nm 미만, 및 가장 바람직하게는 약 0.4 이하의 거칠기 (Rq)를 갖는다. 예컨대, 거칠기는 원자간력 현미경 (AFM) 또는 X-선 반사 (XRR)로 측정될 수 있다. 초박 2D-재료 막의 경우, AFM이 바람직한 방법일 수 있다.
일부 실시예에서, Mo 또는 W를 포함하는 2D 재료는 전자 장치, 예컨대 게이트 스택의 채널 재료로서 사용될 수 있다. 일부 실시예에서, Mo 또는 W를 포함하는 2D 재료는 게이트 유전체, 즉, 채널-마지막 후에 증착될 수 있다. 일부 실시예에서, Mo 또는 W를 포함하는 2D 재료는 게이트 유전체, 즉, 채널-첫번째 전에 증착될 수 있다. 일부 실시예에서, 게이트 스택은 거꾸로 제조되어 상기 채널은 게이트 스택 중의 게이트 위에 있을 수 있다.
도 21은 본래의 산화물 (1410)을 포함하는 규소 기판 (1400) 상에 증착된 MoS2 박막 (1420)의 일탈-교정 주사 터널링 전자 현미경 (AC-STEM) 이미지이다. 상기 기판 (1400)의 규소 원자 (1401)는 이미지의 반원 아래에서 백색 점으로 나타낸 반면, 본래의 산화물 (1410)은 MoS2 박막 (1420)과 규소 기판 (1400) 사이의 어두운 층이다. 여기에 나타난 MoS2는 약 2 내지 3개의 분자 층이며 약 10 내지 15Å의 두께를 갖는다. 분자 단일 층 사이의 공간은 약 6 내지 8 Å이며, 이는 MoS2의 범위로 예측된다.
용어 “막” 및 “박막”은 간략화를 위해 본원에서 사용된다. “막” 및 “박막”은 본원에 개시된 방법에 의해 증착된 임의의 연속적인 또는 비-연속적인 구조 및 재료를 의미한다. 예컨대, “막” 및 “박막”은 2D 재료, 나노막대, 나노튜브 또는 나노입자 또는 심지어는 단일 부분 또는 전체 분자 층 또는 부분 또는 전체 원자 층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. “막” 및 “박막”은 핀홀을 포함하는 재료 또는 층을 포함할 수 있지만 여전히 적어도 부분적으로 연속적일 수 있다.
본원에 사용된 용어 칼코겐은 주로 화학적 원소 황, 셀레늄, 및 텔루륨을 지칭하는 것으로 의도되지만, 일부의 경우, 당업자에게 명백한 바와 같이, 상기 용어는 또한 산소를 지칭할 수 있다. 유사하게, 용어 칼코게나이드 및 다이칼코게나이드는 주로 황화물, 셀렌화물, 및 텔루르화물을 지칭하는 것으로 의도되지만, 일부의 경우, 당업자에게 명백한 바와 같이, 이러한 용어는 또한 산화물을 지칭할 수 있다.
전술한 본 발명은 특정한 바람직한 실시예와 관련하여 기술되었지만, 다른 실시예가 당업자에게 자명할 것이다. 추가로, 다른 조합, 생략, 치환 및 변형이 본원에 개시된 내용을 고려하여 당업자에게 명백할 것이다. 따라서, 본 발명은 바람직한 실시예를 인용함으로서 한정되는 것이 아니라 첨부된 청구범위를 참조하여 정의되는 것이다.

Claims (20)

  1. Mo 또는 W 베타-다이케토네이트 전구체를 제조하는 방법으로서,
    화학식 MX3(R)n을 갖는 제1 반응물을 제공하는 단계로서, 여기서 n은 0 내지 4의 수이고, M은 Mo 또는 W이고, X는 할라이드이고, R은 용매인, 상기 제1 반응물을 제공하는 단계;
    알칼리 금속 화합물을 베타-다이케톤 화합물과 반응시킴으로써 제1 생성물을 형성하는 단계; 및
    후속하여 상기 제1 생성물을 상기 제1 반응물에 첨가하여, 화학식 ML3을 갖는 Mo 또는 W 베타-다이케토네이트 전구체를 형성하는 단계로서, 여기서 M은 Mo 또는 W이고, L은 베타-다이케토나토 리간드인, 상기 제1 반응물을 첨가하여 상기 Mo 또는 W 베타-다이케토네이트 전구체를 형성하는 단계;를 포함하는 방법.
  2. 청구항 1에 있어서,
    상기 베타-다이케톤 화합물은 Hthd, Hacac, Htfac, Hfod 또는 Hhfac인 것을 특징으로 하는 방법.
  3. 청구항 1에 있어서,
    상기 베타-다이케톤 화합물은 Hthd인 것을 특징으로 하는 방법.
  4. 청구항 1에 있어서,
    상기 Mo 또는 W 베타-다이케토네이트 전구체는 M(thd)3이며, 여기서 M은 Mo 또는 W인 것을 특징으로 하는 방법.
  5. 청구항 1에 있어서,
    상기 Mo 또는 W 베타-다이케토네이트 전구체는 Mo(thd)3인 것을 특징으로 하는 방법.
  6. 청구항 1에 있어서,
    상기 알칼리 금속 화합물은 BuLi, MeLi, NaH 또는 KH를 포함하는 것을 특징으로 하는 방법.
  7. 청구항 1에 있어서,
    상기 Mo 또는 W 할라이드는 MoCl5이고, 상기 베타-다이케톤 화합물은 Hthd이며, 형성된 상기 Mo 또는 W 베타-다이케토네이트 전구체는 Mo(thd)3인 것을 특징으로 하는 방법.
  8. 청구항 1에 있어서,
    제1 반응물을 제공하는 단계는,
    Mo 또는 W 할라이드를 환원제로 환원하여 제1 중간체 생성물을 형성하는 단계; 및
    후속하여 용매를 상기 제1 생성물에 첨가함으로써 제2 중간체 생성물을 형성하여, 상기 제1 반응물을 생성하는 단계;를 더 포함하는 것을 특징으로 하는 방법.
  9. 청구항 8에 있어서,
    상기 Mo 또는 W 할라이드는 화학식 MX5을 가지며, 여기서 M은 Mo 또는 W이며, X는 할라이드인 것을 특징으로 하는 방법.
  10. 청구항 9에 있어서,
    X는 Cl인 것을 특징으로 하는 방법.
  11. 청구항 8에 있어서,
    상기 Mo 또는 W 할라이드는 화학식 MX4 또는 MX6을 가지며, 여기서 M은 W이며, X는 할라이드인 것을 특징으로 하는 방법.
  12. 청구항 8에 있어서,
    환원제로 환원하기 전에 상기 Mo 또는 W 할라이드가 용매에 첨가되는 것을 특징으로 하는 방법.
  13. 청구항 8에 있어서,
    상기 환원제는 금속을 포함하는 것을 특징으로 하는 방법.
  14. 청구항 8에 있어서,
    상기 환원제는 Sn을 포함하는 것을 특징으로 하는 방법.
  15. 청구항 8에 있어서,
    상기 환원제는 6-원 고리(six-membered ring) 시스템을 포함하는 유기 종을 포함하는 것을 특징으로 하는 방법.
  16. 청구항 8에 있어서,
    상기 용매는 THF인 것을 특징으로 하는 방법.
  17. Mo 또는 W 베타-다이케토네이트 화합물을 형성하는 방법으로서,
    상기 Mo 또는 W 베타-다이케토네이트 화합물에서 상기 Mo 또는 W는 +III의 산화 상태를 가지며,
    화학식 MX3(R)n을 갖는 제1 반응물을 제공하는 단계로서, 여기서 n은 0 내지 4의 수이고, M은 Mo 또는 W이고, X는 할라이드이고, R은 용매인, 상기 제1 반응물을 제공하는 단계;
    알칼리 금속 화합물을 베타-다이케톤 화합물과 반응시킴으로써 제1 생성물을 형성하는 단계; 및
    후속하여 상기 제1 생성물을 상기 제1 반응물과 반응시켜, 화학식 ML3을 갖는 Mo 또는 W 베타-다이케토네이트 화합물을 형성하는 단계로서, 여기서 M은 +III의 산화 상태를 갖는 Mo 또는 W이고, L은 베타-다이케토나토 리간드인, 상기 제1 반응물과 반응시켜 상기 Mo 또는 W 베타-다이케토네이트 화합물을 형성하는 단계;를 포함하는 방법.
  18. 청구항 17에 있어서,
    R은 THF인 것을 특징으로 하는 방법.
  19. 청구항 17에 있어서,
    L은 acac, hfac, 또는 thd인 것을 특징으로 하는 방법.
  20. 청구항 17에 있어서,
    X는 Cl인 것을 특징으로 하는 방법.
KR1020217032988A 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용 KR102344660B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217042383A KR102430540B1 (ko) 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562167220P 2015-05-27 2015-05-27
US62/167,220 2015-05-27
US201562181126P 2015-06-17 2015-06-17
US62/181,126 2015-06-17
PCT/US2016/033955 WO2016191432A1 (en) 2015-05-27 2016-05-24 Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films
KR1020177033814A KR102314722B1 (ko) 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177033814A Division KR102314722B1 (ko) 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217042383A Division KR102430540B1 (ko) 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용

Publications (2)

Publication Number Publication Date
KR20210129230A KR20210129230A (ko) 2021-10-27
KR102344660B1 true KR102344660B1 (ko) 2021-12-29

Family

ID=57394282

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020217032988A KR102344660B1 (ko) 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
KR1020177033814A KR102314722B1 (ko) 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
KR1020217042383A KR102430540B1 (ko) 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020177033814A KR102314722B1 (ko) 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
KR1020217042383A KR102430540B1 (ko) 2015-05-27 2016-05-24 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용

Country Status (6)

Country Link
US (3) US11047042B2 (ko)
JP (4) JP6929790B2 (ko)
KR (3) KR102344660B1 (ko)
CN (2) CN113652672B (ko)
TW (2) TWI693294B (ko)
WO (1) WO2016191432A1 (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102344660B1 (ko) * 2015-05-27 2021-12-29 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10619242B2 (en) * 2016-12-02 2020-04-14 Asm Ip Holding B.V. Atomic layer deposition of rhenium containing thin films
US10662074B2 (en) 2016-12-30 2020-05-26 Nanoco Technologies Ltd. Template-assisted synthesis of 2D nanosheets using nanoparticle templates
KR20230127377A (ko) * 2017-04-10 2023-08-31 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102184699B1 (ko) * 2017-12-13 2020-12-01 한양대학교 에리카산학협력단 전이금속-디칼코게나이드 박막, 및 그 제조 방법
US20210079519A1 (en) * 2018-02-03 2021-03-18 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material
TWI751406B (zh) 2018-03-06 2022-01-01 美商應用材料股份有限公司 形成金屬硫系化物柱體之方法
US11393681B2 (en) 2018-03-07 2022-07-19 Uchicago Argonne, Llc Methods to deposit and etch controlled thin layers of transition metal dichalcogenides
US11447862B2 (en) * 2018-03-07 2022-09-20 Uchicago Argonne, Llc Methods to deposit controlled thin layers of transition metal dichalcogenides
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
CN109182996B (zh) * 2018-11-05 2020-11-24 中国兵器工业第五九研究所 钨合金涂层制备设备及方法
KR102156981B1 (ko) * 2018-11-28 2020-09-16 충북대학교 산학협력단 전이금속 디칼코게나이드 박막의 제조 방법
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11142824B2 (en) 2019-04-23 2021-10-12 Uchicago Argonne, Llc Method of producing thin layer of large area transition metal dichalcogenides MoS2 and others
US11424454B2 (en) * 2019-06-16 2022-08-23 Applied Materials, Inc. Protection interfaces for Li-ion battery anodes
KR20210009160A (ko) * 2019-07-16 2021-01-26 삼성전자주식회사 전이금속 칼코겐 화합물 박막의 형성방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
CN110863189A (zh) * 2019-11-11 2020-03-06 中国科学院上海技术物理研究所 一种脉冲式注入反应物生长单层碲化物掺杂结构的方法
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積
KR20210119809A (ko) 2020-03-25 2021-10-06 삼성전자주식회사 몰리브덴 화합물과 이를 이용한 집적회로 소자의 제조 방법
KR20210154739A (ko) 2020-06-11 2021-12-21 에이에스엠 아이피 홀딩 비.브이. 전이금속 디칼코지나이드 박막의 원자층 증착 및 식각
TW202204662A (zh) * 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11626284B2 (en) * 2020-10-02 2023-04-11 Applied Materials, Inc. Method of forming a 2-dimensional channel material, using ion implantation
KR102589396B1 (ko) 2020-12-01 2023-10-13 고려대학교 산학협력단 전이금속 이황화물 박막의 제조방법, 이를 이용하는 유기발광다이오드 소자 제조방법 및 이에 의해 제조된 유기발광다이오드 소자
TW202231903A (zh) * 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11869806B2 (en) * 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts
CN115448954B (zh) * 2022-10-11 2024-05-03 中山大学 一种ald前驱体钼配合物及其制备方法
KR20240063021A (ko) * 2022-10-31 2024-05-09 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 박막의 증착 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009542654A (ja) 2006-06-28 2009-12-03 プレジデント アンド フェロウズ オブ ハーバード カレッジ 金属(iv)テトラ−アミジネート化合物ならびに蒸着においての使用
JP2010226092A (ja) 2009-02-27 2010-10-07 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012099594A (ja) 2010-11-01 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3429903A (en) * 1968-03-12 1969-02-25 American Metal Climax Inc Method of preparing molybdenum (iii) acetylacetonate
US3784631A (en) * 1972-03-09 1974-01-08 Goodyear Tire & Rubber Dimerization or codimerization of alpha-olefins
US5028724A (en) 1990-03-30 1991-07-02 Air Products And Chemicals, Inc. Synthesis of volatile fluorinated and non-fluorinated metal-beta-ketonate and metal-beta-ketoiminato complexes
US7323581B1 (en) * 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
WO1998046617A1 (en) * 1997-04-17 1998-10-22 The President And Fellows Of Harvard College Liquid precursor for formation of metal oxides
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP2004507551A (ja) * 2000-08-28 2004-03-11 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド ソース材料組成物および化学的蒸着法による基板上への金属膜形成方法
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US7034169B1 (en) * 2004-12-30 2006-04-25 Air Products And Chemicals, Inc. Volatile metal β-ketoiminate complexes
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
CN101117308A (zh) 2006-08-04 2008-02-06 浙江医药股份有限公司新昌制药厂 乙酰丙酮酸钼的制备方法
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US20080254218A1 (en) * 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
CN101343732A (zh) * 2007-04-16 2009-01-14 气体产品与化学公司 用于化学气相沉积的金属前体溶液
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
WO2010114386A1 (en) * 2009-03-30 2010-10-07 Universitetet I Oslo Thin films containing molybdenum oxide
US9240319B2 (en) 2010-02-03 2016-01-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
JP5839830B2 (ja) 2010-04-28 2016-01-06 昭和電工株式会社 有機金属錯体化合物、有機金属錯体化合物の製造方法および有機金属錯体化合物を含む光硬化性組成物
WO2012027575A1 (en) * 2010-08-27 2012-03-01 Sigma-Aldrich Co. Llc Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
JP2012209413A (ja) 2011-03-29 2012-10-25 Tdk Corp 太陽電池用金属プリカーサー形成材、太陽電池用金属プリカーサーの製造方法およびib−iiia−via族系化合物太陽電池の製造方法
JP5730670B2 (ja) * 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
KR101464173B1 (ko) 2013-07-23 2014-11-21 영남대학교 산학협력단 전이금속 칼코겐화합물 박막 형성 방법
KR101621470B1 (ko) * 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
WO2015056944A1 (ko) 2013-10-14 2015-04-23 한국화학연구원 몰리브데넘 화합물 또는 텅스텐 화합물, 이의 제조 방법 및 이를 이용하여 박막을 형성하는 방법
FR3016889B1 (fr) * 2014-01-24 2016-01-22 Commissariat Energie Atomique Procede de reaslisation par ald d'une couche mince de formule myx
JP6437324B2 (ja) 2014-03-25 2018-12-12 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
KR101535573B1 (ko) * 2014-11-04 2015-07-13 연세대학교 산학협력단 전이금속 칼코겐 화합물 합성 방법
CN104561937B (zh) 2015-01-05 2017-08-15 上海纳米技术及应用国家工程研究中心有限公司 原子层沉积制备具有固体润滑作用的ws2薄膜方法
KR102344660B1 (ko) * 2015-05-27 2021-12-29 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
US10358407B2 (en) * 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009542654A (ja) 2006-06-28 2009-12-03 プレジデント アンド フェロウズ オブ ハーバード カレッジ 金属(iv)テトラ−アミジネート化合物ならびに蒸着においての使用
JP2010226092A (ja) 2009-02-27 2010-10-07 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012099594A (ja) 2010-11-01 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
CN107923039A (zh) 2018-04-17
TWI718915B (zh) 2021-02-11
KR102314722B1 (ko) 2021-10-20
US11047042B2 (en) 2021-06-29
JP7262646B2 (ja) 2023-04-21
US20210269915A1 (en) 2021-09-02
JP7062819B2 (ja) 2022-05-06
JP2022101619A (ja) 2022-07-06
TWI693294B (zh) 2020-05-11
CN113652672B (zh) 2023-12-22
JP2023089105A (ja) 2023-06-27
KR20210129230A (ko) 2021-10-27
US20230227977A1 (en) 2023-07-20
JP6929790B2 (ja) 2021-09-01
US20180127873A1 (en) 2018-05-10
KR102430540B1 (ko) 2022-08-08
KR20220000924A (ko) 2022-01-04
CN113652672A (zh) 2021-11-16
JP2018515692A (ja) 2018-06-14
JP2021191754A (ja) 2021-12-16
US11624112B2 (en) 2023-04-11
TW201641733A (zh) 2016-12-01
CN107923039B (zh) 2021-06-29
TW202028508A (zh) 2020-08-01
WO2016191432A1 (en) 2016-12-01
KR20180012268A (ko) 2018-02-05

Similar Documents

Publication Publication Date Title
KR102344660B1 (ko) 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
TWI754775B (zh) 利用循環沉積在基材上沉積金屬硫屬化物之方法
US11667595B2 (en) Synthesis and use of precursors for vapor deposition of tungsten containing thin films
TWI737884B (zh) 包含金的薄膜的氣相沈積製程

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right