CN107923039A - 用于含钼或钨薄膜的ald的前体的合成和用途 - Google Patents

用于含钼或钨薄膜的ald的前体的合成和用途 Download PDF

Info

Publication number
CN107923039A
CN107923039A CN201680029962.7A CN201680029962A CN107923039A CN 107923039 A CN107923039 A CN 107923039A CN 201680029962 A CN201680029962 A CN 201680029962A CN 107923039 A CN107923039 A CN 107923039A
Authority
CN
China
Prior art keywords
substrate
precursor
precursors
certain embodiments
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680029962.7A
Other languages
English (en)
Other versions
CN107923039B (zh
Inventor
T·萨尼特
T·哈坦帕
M·瑞塔拉
M·勒斯科拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to CN202110641469.3A priority Critical patent/CN113652672B/zh
Publication of CN107923039A publication Critical patent/CN107923039A/zh
Application granted granted Critical
Publication of CN107923039B publication Critical patent/CN107923039B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G39/00Compounds of molybdenum
    • C01G39/06Sulfides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/03Particle morphology depicted by an image obtained by SEM
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N30/00Investigating or analysing materials by separation into components using adsorption, absorption or similar phenomena or using ion-exchange, e.g. chromatography or field flow fractionation
    • G01N30/02Column chromatography
    • G01N30/62Detectors specially adapted therefor
    • G01N30/72Mass spectrometers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

提供了用于形成例如MoS2、WS2、MoSe2和WSe2薄膜等含Mo和W薄膜的方法。还提供了用于合成Mo或Wβ‑二酮化物前体的方法。另外,提供了用于形成含有Mo或W的2D材料的方法。

Description

用于含钼或钨薄膜的ALD的前体的合成和用途
相关申请的交叉引用
依据35 U.S.C.§119(e)本申请要求2015年5月27日提交的美国临时专利申请第62/167,220号和2015年6月17日提交的美国临时专利申请第62/167,220号的优先权,所述临时专利申请全文以引用的方式并入本文中。
联合研究协议的合作对象
本文中要求的本发明是根据或代表和/或结合赫尔辛基大学(the University ofHelsinki)与ASM微量化学公司(ASM Microchemistry Oy.)之间的联合研究协议进行的。所述协议在作出所要求的本发明的日期当天和之前有效,并且所要求的本发明是作为在所述协议的范围内进行的活动的结果而作出。
技术领域
本申请大体上涉及用于通过原子层沉积来形成包含钼或钨的薄膜的前体和方法。此类膜可以用作例如电子装置中的二维(2D)材料。
背景技术
先前用于任一种含钼薄膜的原子层沉积(atomic layer deposition,ALD)的方法限于精选的少数已知的钼前体,例如MoCl5、Mo(CO)6,以及烷基胺前体,例如Mo(NtBu)2(NMe2)2和Mo(NtBu)2(NEt2)2。近来报道的用于MoS2薄膜沉积的前体组合包括Mo(CO)6和H2S、Mo(CO)6和MeSSMe以及MoCl5和H2S。然而,这些传统的钼前体经证明难以一起作用。举例来说,Mo(CO)6是一种高毒性物质,其温度范围对于沉积来说狭窄,温度可能过低而无法沉积含钼结晶薄膜。同时,MoCl5似乎需要额外的停留时间以成功地沉积MoS2膜。
某些Mo烷基胺前体可能包括具有+VI氧化态的Mo,此可能在沉积任一种含钼薄膜期间引起问题。Mo具有+IV的更佳氧化态的Mo烷基胺前体一般不稳定并且难以使用。此外,Mo烷基胺前体对温度相对敏感并且在低温下会分解。因为通常需要相对高的温度来促进晶体膜的生长,所以此可能导致Mo烷基胺前体的分解。此分解可能产生杂质,例如碳,这些杂质会减慢或甚至阻止任一种含钼薄膜的结晶。
β-二酮钼(III)已经用于使用化学气相沉积(chemical vapor deposition,CVD)法沉积含Mo薄膜,但尚未深入地研究用于ALD型方法。先前公开的用于合成β-二酮钼(III)的方法使用Mo(CO)6、K3MoCl6和(NH4)2[MoCls(H2O)]。这些化合物中的每一个都具有显著的缺点并且经证明难以一起作用。举例来说,如上文所提及,Mo(CO)6是高毒性和挥发性的,导致使用其的程序中的困难增加。K3MoCl6的实验室合成费力,并且需要电化学或高温工艺。
过渡金属二硫族化物材料,尤其是2D过渡金属二硫族化物材料,例如Mo和W二硫族化物,具有对于多种应用来说合乎需要的电子特性。另外,不同于另一二维材料石墨烯,某些二维过渡金属二硫族化物具有直接带隙并且是半传导性的。因此,考虑例如Mo和W二硫族化物等二维过渡金属二硫族化物应用于装置小型化。
发明内容
在一些方面,提供了用于形成含Mo或W薄膜的方法。在一些实施例中,在包含至少一个循环的方法中在反应室中在衬底上形成含Mo或W薄膜,所述循环包含:使衬底与气相Mo或W前体接触,使得在衬底表面上形成至多第一Mo或W前体的分子单层;如果有的话,那么去除过量Mo或W前体和反应副产物;使衬底与气相硫族前体接触;如果有的话,去除过量硫族前体和反应副产物;以及任选地重复接触和去除步骤,直到形成所需厚度的含Mo或W薄膜。在一些实施例中,Mo或W前体中的Mo或W具有小于或等于+IV,但非0的氧化态。在一些实施例中,硫族前体与衬底表面上的Mo或W前体反应。
在一些实施例中,所述方法是原子层沉积(ALD)法。在一些实施例中,所述方法包含两个或更多个连续循环。在一些实施例中,含Mo或W薄膜是Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物薄膜。在一些实施例中,Mo或W前体中的Mo或W的氧化态是+III。在一些实施例中,硫族前体包含H2S、H2Se、H2Te、(CH3)2S、(CH3)2Se或(CH3)2TSe。
在一些方面,提供了用于形成Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物薄膜的原子层沉积(ALD)法。根据一些实施例,在包含至少一个循环的ALD法中在反应室中在衬底上形成Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物薄膜,所述循环包含:使衬底与气相Mo或W前体接触,使得在衬底表面上形成至多第一Mo或W前体的分子单层;如果有的话,那么去除过量Mo或W前体和反应副产物;使衬底与气相硫族前体接触;如果有的话,那么去除过量硫族前体和反应副产物;以及重复接触和去除步骤,直到形成所需厚度的含Mo或W薄膜。在一些实施例中,Mo或W前体可以包含至少一个双齿配体。在一些实施例中,硫族前体与衬底表面上的Mo或W前体反应。
在一些实施例中,双齿配体通过O、S或N原子键结于Mo或W原子。在一些实施例中,双齿配体通过两个O原子键结于Mo或W原子。在一些实施例中,双齿配体通过O原子和N原子键结于Mo或W原子。在一些实施例中,双齿配体通过两个N原子键结于Mo或W原子。在一些实施例中,双齿配体是β-二酮基配体。在一些实施例中,β-二酮基配体是乙酰丙酮基(acac)配体。在一些实施例中,β-二酮基配体是2,2,6,6-四甲基-3,5-庚二酮基(thd)配体。在一些实施例中,Mo或W前体包含至少两个双齿配体。在一些实施例中,Mo或W前体包含三个双齿配体。
在一些方面,提供了用于形成Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物2D材料的原子层沉积(ALD)法。根据一些实施例,在包含至少一个循环的ALD法中在反应室中在衬底上形成Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物2D材料,所述循环包含:使衬底与气相Mo或W前体接触,使得在衬底表面上形成至多第一Mo或W前体的分子单层;如果有的话,那么去除过量Mo或W前体和反应副产物;使衬底与气相硫、硒或碲前体接触;以及如果有的话,那么去除过量硫、碲或硒前体和反应副产物。在一些实施例中,Mo或W前体是Mo或W β-二酮化物前体。在一些实施例中,硫、硒或碲前体与衬底表面上的Mo或W前体反应。
在一些方面,提供了用于形成Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物2D材料的方法。根据一些实施例,在包含至少一个循环的循环方法中在反应室中在衬底上形成Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物2D材料,所述循环包含:使衬底与气相Mo或W前体接触,使得在衬底表面上形成至多含Mo或W材料的单层、优选低于或等于约50%单层、优选低于约25%单层、更优选低于约10%单层;将衬底暴露于吹扫气体和/或如果有的话,那么去除过量Mo或W前体和反应副产物;使衬底与气相硫、硒或碲前体接触;以及将衬底暴露于吹扫气体和/或如果有的话,那么去除过量硫、碲或硒前体和反应副产物。在一些实施例中,Mo或W前体是Mo或W β-二酮化物前体。在一些实施例中,硫、硒或碲前体与衬底表面上沉积的含Mo或W材料反应。
在一些实施例中,含Mo或W薄膜是Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物薄膜。在一些实施例中,构成Mo或W前体的Mo或W原子的氧化态是+III。在一些实施例中,硫族前体包含H2S、H2Se、H2Te、(CH3)2S、(CH3)2Se或(CH3)2Te。在一些实施例中,Mo或W前体是Mo(thd)3并且硫族前体是H2S。在一些实施例中,Mo或W前体是W(thd)3并且硫族前体是H2S。在一些实施例中,2D材料包含MoS2
在一些方面,提供了用于制备Mo或W β-二酮化物前体的方法。根据一些实施例,Mo或W β-二酮化物前体通过以下来形成:提供具有式MX3(R)n3的第一反应物,其中n是0到4的数字,M是Mo或W,X是卤化物,并且R是溶剂;通过使碱金属化合物与β-二酮基化合物反应来形成第一产物;以及随后将第一产物加入第一反应物。在一些实施例中,形成具有式ML3的Mo或W β-二酮化物前体,其中M是Mo或W并且L是β-二酮基配体。
在一些实施例中,提供第一反应物可以进一步包含通过用还原剂还原Mo或W卤化物来形成第一中间产物,并且通过随后将溶剂加入第一产物来形成第二中间产物,因此形成第一反应物。在一些实施例中,Mo或W卤化物是MoCl5,β-二酮化合物是Hthd,并且形成的Mo或W β-二酮化物前体是Mo(thd)3
在一些方面,提供了用于形成Mo或W β-二酮化物化合物的方法。根据一些实施例,通过以下来形成Mo或W β-二酮化物化合物:提供具有式MX3(R)n3的第一反应物,其中n是0到4的数字,M是Mo或W,X是卤化物,并且R是溶剂;通过使碱金属化合物与β-二酮基化合物反应来形成第一产物;以及随后使第一产物与第一反应物反应。在一些实施例中,形成具有式ML3的Mo或W β-二酮化物化合物,其中M是具有+III的氧化态的Mo或W并且L是β-二酮基配体。在一些实施例中,Mo或W β-二酮化物化合物中的Mo或W具有+III的氧化态。
在一些方面,提供了用于形成含Mo或W材料的方法。根据一些实施例,在包含至少一个沉积循环的方法中在反应室中在衬底上形成含Mo或W材料,所述循环包含使衬底与气相Mo或W前体和第二气相硫族前体交替和依次接触。在一些实施例中,Mo或W前体中的Mo或W具有小于或等于+IV,但非0的氧化态。
在一些实施例中,沉积重复两次或更多次。在一些实施例中,在衬底与气相Mo或W前体接触之后并在衬底与气相硫族前体接触之前去除过量Mo或W前体和反应副产物(如果有的话)。在一些实施例中,在衬底与气相硫族前体接触之后并且在开始另一沉积循环之前去除过量硫族前体和反应副产物(如果有的话)。在一些实施例中,在衬底与Mo或W气相前体接触之后并且在衬底与气相硫族前体接触之前使衬底与吹扫气体接触。在一些实施例中,在衬底与硫族气相前体接触之后并且在开始另一沉积循环之前使衬底与吹扫气体接触。在一些实施例中,含Mo或W材料包含元素Mo或W。在一些实施例中,含Mo或W材料包含Mo或W氧化物材料。在一些实施例中,含Mo或W材料包含Mo或W氮化物材料。在一些实施例中,含Mo或W材料包含Mo或W硅化物材料。
附图说明
将从具体实施方式和附图更好地理解本发明,附图意图说明本发明并且不限制本发明,并且其中:
图1是总体上说明用于沉积含Mo或W薄膜的方法的工艺流程图;
图2是总体上说明用于合成金属β-二酮化物前体的方法的工艺流程图;
图3是根据本文所述的程序合成的Mo(thd)3样品的质谱;
图4说明如通过单晶x射线衍射确定的Mo(thd)3的分子结构;
图5说明Mo(acac)3、Mo(hfac)3和Mo(thd)3的热解重量曲线。
图6是MoS2薄膜的场发射扫描电子显微镜(field emission scanning electronmicroscope,FESEM)图像;
图7是每一循环MoS2薄膜的生长速率对比Mo(thd)3和H2S前体的脉冲长度的图;
图8是膜厚度对比沉积循环次数的图;
图9是在变化Mo(thd)3前体脉冲长度下在500℃下沉积的MoS2薄膜的一系列场发射扫描电子显微镜(FESEM)图像;
图10是在变化H2S前体脉冲长度下在500℃下沉积的MoS2薄膜的一系列场发射扫描电子显微镜(FESEM)图像;
图11A是通过在10个到50个之间的沉积循环在500℃下沉积的MoS2薄膜的一系列场发射扫描电子显微镜(FESEM)图像;
图11B是通过在100个到2000个之间的沉积循环在500℃下沉积的MoS2薄膜的一系列场发射扫描电子显微镜(FESEM)图像;
图12A是如通过能量色散x射线(energy dispersive x-ray,EDX)分析所测量,在变化Mo(thd)3前体脉冲长度下沉积的MoS2薄膜的组成的图;
图12B是如通过能量色散x射线(EDX)分析所测量,在变化H2S]前体脉冲长度下沉积的MoS2薄膜的组成的图;
图13说明MoS2薄膜厚度以及Mo和S的元素分率对比沉积循环次数;
图14说明在变化硫族和Mo前体脉冲长度下沉积的MoS2薄膜的掠入射X射线衍射(grazing incidence X-ray diffraction,GIXRD)图案;
图15是MoS2薄膜的拉曼光谱;
图16说明在变化硫族和Mo前体脉冲长度下沉积的MoS2薄膜的拉曼光谱;
图17说明如通过X射线光电子光谱(X-ray photoelectron spectroscopy,XPs)所分析,两种MoS2薄膜的元素组成;
图18说明如使用原子力显微镜(atomic force microscopy,AFM)所分析,MoS2薄膜的表面粗糙度;;
图19说明MoS2薄膜生长速率以及Mo和S的元素分率对比沉积温度;
图20是在350℃与500℃之间沉积的MoS2薄膜的一系列场发射扫描电子显微镜(FESEM)图像;
图21是在包括原生氧化物的硅衬底上沉积的MoS2薄膜的像差校正的扫描隧道电子显微镜(aberration-corrected scanning tunneling electron microscope,AC-STEM)。
具体实施方式
如下文所论述,含Mo和W薄膜可以通过原子层沉积(ALD)型方法沉积在衬底上。在一些实施例中,Mo或W硫族化物薄膜、尤其是Mo或W硫化物或者Mo或W硒化物薄膜可以通过ALD型方法沉积在衬底上。ALD型方法是基于前体化学物质的控制表面反应。通过使衬底与前体交替和依次接触来避免气相反应。例如通过在反应物脉冲之间从反应室去除过量反应物和/或反应物副产物,使气相反应物在衬底表面上彼此分离。
合适衬底材料可以包括绝缘材料、电介质材料、结晶材料、外延、异质外延或单晶材料,例如氧化物。举例来说,衬底可以包含Al2O3、蓝宝石、氧化硅或绝缘氮化物,例如AlN。此外,熟练技术人员可以选择衬底材料和/或衬底表面以增强、增加上面的二维晶体生长或使晶体生长达到最大。在一些实施例中,有待沉积含Mo和W薄膜或材料的衬底表面不包含半导体材料,例如Si、Ge、III-V化合物(例如GaA和InGaA)或者II-VI化合物。在一些实施例中,有待沉积含Mo和W薄膜或材料的衬底表面还可以包含除绝缘材料外的材料。在一些实施例中,在沉积含Mo或W薄膜后,从包含除绝缘材料外的材料的衬底的至少一部分去除含Mo和W薄膜。在一些实施例中,有待沉积含Mo和W薄膜或材料,优选Mo或W硫族化物薄膜或材料的衬底表面包含硫族,例如硫、硒或碲,最优选是硫。在一些实施例中,有待沉积含Mo和W薄膜或材料的衬底表面包含有包含硫族的表面基团,优选是具有硫族-氢键,例如-S-H基团的表面基团。
简单来说,一般在较低压力下,将衬底加热到合适沉积温度。一般维持沉积温度低于反应物的热分解温度,但处于足够高以避免反应物缩合并且提供所需表面反应的活化能的水平下。当然,任何给出的ALD反应的适当温度窗口将视所涉及的表面终止和反应物种类而定。此处,温度取决于沉积的薄膜类型和具体前体而变化,但优选处于或低于约650℃;更优选处于或低于约500℃。温度窗口优选是约250℃到约600℃,更优选是约350℃到约550℃,并且最优选是约375℃到约500℃。在一些情况下,反应温度超过约250℃,优选超过约350℃并且最优选超过约375℃。
在一些实施例中,沉积温度可以超过反应物的分解温度,但仍然足够低以合理维持膜的表面控制生长以及生长速率小于或等于每一沉积循环约单层材料。在一些实施例中,沉积循环生长速率可以小于或等于每一循环沉积约单层材料的约50%,优选小于约25%,并且更优选小于约10%。
在一些实施例中,沉积方法可以不是纯ALD法。在一些实施例中,在整个沉积方法中硫族前体可以连续或基本上连续流过反应空间。举例来说,在衬底与金属前体接触的同时,可以降低硫族前体流过反应空间的速率。在硫族前体可以连续流动的一些实施例中,每一脉冲金属前体的膜生长速率小于或等于所沉积的材料的约一个单层。在硫族前体连续流动的一些实施例中,每一脉冲金属前体的生长速率小于或等于所沉积的材料的单层的约50%,优选小于约25%并且更优选小于约10%。
在一些实施例中,含Mo和W薄膜的生长速率低于约2埃/循环、低于约1.5埃/循环、低于约1埃/循环或甚至低于约0.5埃/循环。在一些实施例中,含Mo和W二硫族化物薄膜的生长速率可以是约0.025埃/循环到约0.5埃/循环。在其它实施例中,含Mo和W二硫族化物薄膜,例如MoS2薄膜的生长速率是约0.05埃/循环到约0.3埃/循环。
在一些实施例中,衬底表面可以进行预处理工艺。在一些实施例中,预处理工艺包含在沉积含Mo或W薄膜前原位或非原位将衬底暴露于预处理反应物。在一些实施例中,预处理工艺可以包含将衬底表面暴露于以下预处理反应物中的至少一种:(NH4)2S、H2S、HCl、HBr、Cl2和HF。在一些实施例中,预处理工艺可以包含将衬底表面暴露于等离子体、原子或自由基。在一些实施例中,预处理工艺可以包含将衬底表面暴露于包含硫族的等离子体、原子或自由基,例如包含硫、硒或碲、优选硫的等离子体、原子或自由基。在一些实施例中,等离子体、原子或自由基可以包含碲。在一些实施例中,等离子体、原子或自由基可以包含硒。在一些实施例中,预处理工艺可以包含将衬底表面暴露于包含在随后沉积工艺中存在的硫族的等离子体、原子或自由基。在一些实施例中,预处理工艺可以包含将衬底表面暴露于由包含硫族-氢键的硫族化合物形成的等离子体、原子或自由基,例如由H2S形成的等离子体、原子或自由基。在一些实施例中,预处理工艺可以包含将衬底表面暴露于至少一种预处理反应物,历时约1秒与约600秒之间,优选约1秒与约60秒之间的时期。预处理工艺可以利用呈蒸气形式和或呈液体形式的预处理反应物。在一些实施例中,预处理工艺可以在与随后沉积工艺相同的温度和/或压力下进行。在一些实施例中,预处理工艺可以类似随后沉积工艺,但预处理工艺将涉及的脉冲时间或暴露时间比随后沉积工艺中所用的脉冲时间或暴露时间长。在一些实施例中,预处理工艺可以包含将衬底表面暴露于预处理反应物以形成所需表面终止,例如-S-H表面终止。在一些实施例中,形成所需表面终止,例如-S-H表面终止可以促进含Mo或W薄膜或材料的二维生长。在一些实施例中,预处理工艺可以包含将衬底暴露于不包含S、Se或Te的等离子体、原子或自由基,例如包含氢的等离子体、原子或自由基,例如由H2形成的等离子体。在一些实施例中,预处理工艺可以包含将衬底暴露于氧等离子体、氧原子或氧自由基。在一些实施例中,预处理工艺可以包含将衬底,例如包含A1N的衬底暴露于氮等离子体、氮原子或氮自由基。在一些实施例中,预处理工艺可以用于在沉积含Mo或W薄膜或材料前清洗衬底表面。
衬底表面与气相第一反应物接触。在一些实施例中,向含有衬底的反应空间提供气相第一反应物的脉冲。在一些实施例中,将衬底移到含有气相第一反应物的反应空间。优选选择使至多第一反应物的约一个单层以自限性方式吸附在衬底表面上的条件。熟练技术人员可以基于具体情况容易地确定适当接触时间。例如通过用惰性气体吹扫,或通过将衬底从第一反应物的存在中去除,从衬底表面去除过量第一反应物和反应副产物(如果有的话)。
吹扫意指例如通过用真空泵抽空腔室,和/或通过用例如氩气或氮气等惰性气体替换反应器内部的气体,从衬底表面去除气相前体和/或气相副产物。典型吹扫时间是约0.05到20秒,更优选在约0.2秒与10秒之间,并且再更优选在约0.5秒与5秒之间。然而,必要时,例如在需要极高纵横比结构或具有复杂表面形态的其它结构上进行高保形步阶覆盖时,或在可能使用不同反应器类型,例如分批反应器时,可以利用其它吹扫时间。
衬底表面与气相第二气态反应物接触。在一些实施例中,向含有衬底的反应空间提供第二气态反应物的脉冲。气相第二气态反应物可以呈从反应室入口到出口基本上连续的流动提供到反应室中。在一些实施例中,从反应室流出,例如泵线,不关闭。在一些实施例中,从反应室流出,例如从反应室流到泵线并且进一步流过泵前的泵线,基本上不关闭,但可能进行限制。在一些实施例中,将衬底移到含有气相第二反应物的反应空间。如果有的话,那么从衬底表面去除过量第二反应物和表面反应的气态副产物。在一些实施例中,反应物不存在停留时间。在一些实施例中,在气相反应物接触衬底时,气相反应物在反应空间中不是静态的。当反应物相对于衬底不流动时,或当反应物从入口流入反应空间,出口未打开时,气相反应物可以是静态的。
重复接触和去除步骤,直到在衬底上选择性地形成所需厚度的薄膜,其中每个循环留下至多约分子单层。接触和去除第一气相Mo或W前体的步骤可以称为第一前体阶段、Mo或W前体阶段或Mo或W阶段。接触和去除第二气相前体的步骤可以称为第二前体阶段、硫族前体阶段或硫族阶段。这两个阶段一起可以构成沉积循环。可以包括包含衬底表面与其它反应物交替和依次接触的额外阶段以形成更复杂的材料,例如三元材料。
如上文所提及,每个循环的每个阶段优选是自限性的。在每个阶段供应过量的反应物前体以使敏感的结构表面饱和。表面饱和确保了反应物占据所有可获得的反应位点(例如受到物理尺寸或“位阻”限制),因此确保了优良的步阶覆盖和均匀性。通常,每个循环沉积少于材料的一个分子层,然而,在一些实施例中,在循环期间沉积超过一个分子层。
去除过量反应物可以包括抽空反应空间的一些内含物和/或用氦气、氮气或另一惰性气体吹扫反应空间。在一些实施例中,吹扫可以包含在惰性载气继续流到反应空间的同时断开反应气体的流动。
ALD型方法中采用的前体可以是在标准条件(室温和大气压)下的固体、液体或气态物质,条件是前体在其与衬底表面接触前处于气相。衬底表面与蒸发前体接触意指前体蒸气与衬底表面接触有限的一段时间。典型接触时间是约0.05到20秒,更优选在约0.2秒与10秒之间,并且再更优选在约0.5秒与5秒之间。在一些实施例中,气相第二气态接触时间优选在与气相第一气态反应物接触时间相同的数量级上。在一些实施例中,气相第二气态接触时间优选不超过气相第一气态反应物接触时间长度的约100倍。
然而,取决于衬底类型和其表面积,接触时间可以甚至高于20秒。在一些情况下,接触时间可以是分钟级的。熟练技术人员可以基于具体情况容易地确定最佳接触时间。在一些实施例中,硫族前体接触时间低于约60秒,优选低于约30秒,更优选低于约10秒并且最优选低于约5秒。
前体的质量流速也可以由熟练技术人员来确定。在一些实施例中,Mo或W前体的流速优选在约1与1000sccm之间(不限于此),更优选在约100与500sccm之间。
反应室中的压力通常是约0.01到约50毫巴,更优选是约0.1到约10毫巴。然而,在一些情况下,压力将高于或低于此范围,可以由熟练技术人员根据具体情况确定。
在开始沉积膜前,通常将衬底加热到合适的生长温度。生长温度取决于所形成的薄膜类型、前体的物理特性等而变化。生长温度优选处于或低于约650℃;更优选地处于或低于约500℃。生长温度窗口优选是约250℃到约600℃,更优选是约350℃到约550℃,并且最优选是约375℃到约500℃。在一些情况下,生长温度超过约250℃,优选超过约350℃并且最优选超过约375℃。生长温度可以低于沉积材料的结晶温度,从而形成非晶体薄膜,或其可以超过结晶温度,从而形成结晶薄膜。优选的沉积温度可以取决于多种因素而变化,所述因素例如并且不限于反应物前体、压力、流速、反应器的布置、沉积薄膜的结晶温度和包括有待沉积的材料的性质在内的衬底组成。熟练技术人员可以选择特定生长温度。应注意热预算,即在沉积期间以及沉积本发明的膜后进一步处理中的任一点的反应温度和任选地退火温度,优选低于约800℃,更优选低于约650℃,并且最优选低于约600℃,并且在一些情况下低于约500℃。
在一些实施例中,沉积的含Mo或W薄膜可以进行任选的沉积后处理工艺。在一些实施例中,举例来说,沉积后处理工艺可以包含退火工艺,例如形成气体退火工艺。在一些实施例中,沉积后处理工艺可以包含将含Mo或W薄膜或材料表面暴露于等离子体。在一些其它实施例中,沉积后处理工艺不包含将含Mo或W薄膜或材料表面暴露于等离子体。
在一些实施例中,沉积后处理工艺可以包含将沉积的含Mo或W薄膜或材料原位或非原位暴露于沉积后处理反应物。在一些实施例中,沉积后处理工艺可以包含将含Mo或W薄膜或材料表面暴露于以下沉积后处理反应物中的至少一种:(NH4)2S或H2S。在一些实施例中,沉积后处理工艺可以包含将含Mo或W薄膜或材料暴露于包含硫族的等离子体,例如包含硫的等离子体。在一些实施例中,沉积后处理工艺可以包含将含Mo或W薄膜或材料暴露于由包含硫族-氢键的硫族化合物形成的等离子体,例如由H2S形成的等离子体。在一些实施例中,沉积后处理工艺可以包含将含Mo或W薄膜或材料暴露于包含硫族的等离子体,例如包含硫的等离子体。在一些实施例中,沉积后处理工艺可以包含将含Mo或W薄膜或材料暴露于至少一种沉积后处理反应物,历时约1秒与约600秒之间,优选约1秒与约60秒之间的时段。沉积后处理工艺可以利用呈蒸气形式和或呈液体形式的沉积后处理反应物。在一些实施例中,沉积后处理工艺可以在与前述沉积工艺约相同的温度和/或压力下进行。在一些实施例中,沉积后处理工艺可以类似前述沉积工艺,但沉积后处理工艺将涉及的脉冲时间或暴露时间比前述沉积工艺中所用的脉冲时间或暴露时间长。在一些实施例中,沉积后处理工艺可以包含将含Mo或W薄膜或材料暴露于包含氢的等离子体、原子或自由基,例如由H2形成的等离子体。
可以使用的合适反应器的实例包括市售ALD设备,例如获自亚利桑那州菲尼克斯的ASM美国公司(ASM America,Inc.of Phoenix,Ariz.)、日本东京的ASM日本株式会社(ASMJapan KK,Tokyo,Japan)和荷兰阿尔默勒的ASM欧洲私人有限公司(ASM Europe B.V.,Almere,Netherlands)的反应器、XP8、反应器和400串联反应器。除这些ALD反应器之外,还可以采用能够进行薄膜的ALD生长的许多其它种类的反应器,包括装备有用于使前体脉冲的适当设备和构件的CVD反应器。在一些实施例中,使用流动型ALD反应器。优选地,反应物保持分开,直到到达反应室,使得前体的共享管线减到最少。然而,其它布置是可能的,例如如2004年8月30日提交的美国专利申请第10/929,348号和2001年4月16日提交的美国专利申请第09/836,674号(其公开内容以引用的方式并入本文中)中所述的预反应室的使用。
在一些实施例中,合适反应器可以是分批反应器并且可以含有超过约25个衬底、超过约50个衬底或超过约100个衬底。在一些实施例中,合适反应器可以是微型分批反应器,并且可以含有约2到约20个衬底、约3到约15个衬底或约4到约10个衬底。
生长过程可以任选地在连接到丛集工具的反应器或反应空间中进行。在丛集工具中,因为每个反应空间专用于一种类型工艺,所以每个模块中反应空间的温度可以保持恒定,与在每个操作前衬底加热到高达工艺温度的反应器相比,此提高了通量。
独立反应器可以装备有装载锁。在所述情况下,不必在每个操作之间冷却反应空间。
根据优选实施例,并且如图1中说明,通过包含至少一个沉积循环10的ALD型方法在衬底上形成含Mo或W薄膜,所述沉积循环包含:
在步骤12,使衬底表面与蒸发Mo或W前体接触以在衬底上形成至多Mo或W前体的分子单层;
在步骤13,如果存在的话,那么从表面去除过量Mo或W前体和反应副产物;
在步骤14,使衬底表面与蒸发硫族前体接触;以及
在步骤15,从表面去除过量硫族前体和在Mo或W前体层与硫族前体之间的反应中形成的任何气态副产物。
可以重复接触和去除步骤16,直到形成所需厚度的含Mo或W薄膜。
虽然所说明的沉积循环从衬底表面与Mo或W前体接触开始,但在其它实施例中,沉积循环从衬底表面与硫族前体接触开始。熟练技术人员应了解,如果衬底表面与第一前体接触并且前体不反应,那么工艺将从提供下一前体开始。在一些实施例中,可以通过终止Mo或W前体的流动,同时继续例如氮气或氩气等惰性载气的流动,从衬底表面去除反应物和反应副产物。
在一些实施例中,可以通过终止第二反应物的流动,同时继续惰性载气的流动,从衬底表面去除反应物和反应副产物。在一些实施例中,移动衬底,使得不同反应物以所需顺序与衬底表面交替和依次接触,历时所需时间。在一些实施例中,不进行去除步骤。在一些实施例中,无反应物可以从腔室的各个部分去除。在一些实施例中,衬底从含有第一前体的腔室的一部分移动到含有第二前体的腔室的另一部分。在一些实施例中,衬底从第一反应室移动到不同的第二反应室。
在一些实施例中,沉积的含Mo或W薄膜可以包含二硫族化物薄膜。在一些实施例中,沉积薄膜可以包含钼二硫族化物或钨二硫族化物。在一些实施例中,沉积薄膜可以包含MoS2、WS2、MoSe2、WSe2、MoTe2或WTe2。为简单起见,已经指示这些二硫族化物具有这些通用化学计量。但应了解,任何给出的含Mo或W膜或材料的精确化学计量将基于所涉及元素的氧化态变化。相应地,明确地涵盖其它化学计量。
虽然本文中使用术语“二硫族化物”并且指示这些二硫族化物具有例如Mo或W等金属原子与例如S、Se或Te等硫族原子的比率是1∶2的通用化学计量,但膜的化学计量可以变化。举例来说,金属原子与硫族原子的比率可以因所用分析技术和/或工艺条件而变化。在一些实施例中,金属原子与硫族原子的比率可以是约1∶3到约2∶1,优选约1∶2.5到约1∶1并且更优选约到1∶2。在一些实施例中,二硫族化物膜可以含有20at-%到约50at-%,优选约25at-%到约40at-%Mo或W。在一些实施例中,二硫族化物膜可以含有约30at-%到约75at-%,优选约35at-%到约70at-%硫族(S、Se或Te)。
在一些实施例中,含Mo或W二硫族化物膜可以含有除Mo、W和硫族外的元素,优选除Mo、W和硫族外包括氢在内的元素总共低于约35at-%,更优选总共低于约25at-%。在一些实施例中,膜可以含有低于约20at-%碳、优选低于15at-%碳并且最优选低于约10at-%碳。在一些实施例中,膜可以含有低于约15at-%氢、优选低于约10at-%氢并且最优选低于约5at-%氢。在一些实施例中,膜可以含有低于约10at-%氧、优选低于约5at-%氧并且最优选低于约3at-%氧。在一些实施例中,膜可以含有低于约10at-%、优选低于约5at-%并且最优选低于约3at-%的除Mo或W、硫族、氢、碳或氧外的元素。应注意含有上述元素的含Mo或W膜仍然可以适合于不同应用,例如用于2D材料。
在一些实施例中,沉积的含Mo或W膜虽然在本文中为简单起见描述成含Mo或W,但其可以包含Mo与W。在一些实施例中,沉积的含Mo或W膜可以包含除Mo、W、硫族(S、Te或Se)、氧、氮或硅外的额外元素。在一些实施例中,沉积的含Mo或W膜可以包含掺杂剂。在一些实施例中,沉积的含Mo或W膜可以包含硫族(S、Te或Se)、氧、氮或硅的群组的两种或超过两种元素。在一些实施例中,沉积的含Mo或W硫族化物膜可以包含硫族(S、Te或Se)的群组的两种或超过两种元素。在一些实施例中,本发明的薄膜可以包括多种金属。根据一些实施例,含Mo或W膜可以包括两种或更多种金属。在一些实施例中,将额外沉积阶段加入一或多个沉积循环以将额外金属并入含Mo或W薄膜。额外金属阶段可以在第一金属阶段后或硫族阶段后,或可以在两个阶段后。在一些实施例中,可以在沉积循环的相同金属阶段中同时提供两种或更多种不同金属前体。在一些实施例中,可以在不同沉积循环中使用包含不同金属的金属前体。举例来说,第一金属前体可以是在一或多个沉积循环中使用的唯一金属前体,并且在一或多个其它沉积循环中可以使用包含第二不同金属的第二金属前体。
再次参看图1,一些实施例可以包括在步骤11应用于衬底表面的任选的预处理工艺。预处理工艺可以包含一或多个步骤。在预处理中,有待沉积含Mo或W薄膜的衬底表面可以暴露于一或多种预处理反应物和/或特定条件,例如温度或压力。可以出于多种原因使用预处理,包括清洗衬底表面、去除杂质、去除原生氧化物和提供合乎需要的表面终止。在一些实施例中,预处理包含将衬底表面暴露于一或多种预处理反应物,例如(NH4)2S、H2S、HCl、HBr、Cl2或HF。在一些实施例中,预处理工艺在约与随后沉积工艺相同的温度下进行。
如下所述,多种不同前体可以用于沉积含Mo或W薄膜。优选地,Mo或W前体具有式M(thd)3,其中M是Mo或W之一,并且thd是2,2,6,6-四甲基-3,5-庚二酮基。硫族前体优选是H2S或H2Se之一。在一个优选实施例中,Mo或W前体是Mo(thd)3,硫族前体是H2S,并且所得含Mo或W薄膜是MoS2薄膜。
在一些实施例中,通过包含至少一个沉积循环的ALD型方法在衬底上形成MoS2薄膜,所述沉积循环包含:
使衬底表面与蒸发Mo(thd)3接触以在衬底上形成至多Mo(thd)3的分子单层;
如果有的话,那么从表面去除过量Mo(thd)3和反应副产物;
使衬底表面与蒸发H2S接触;以及
从表面去除过量H2S和在Mo(thd)3层与H2S之间的反应中形成的任何气态副产物。
可以重复接触和去除步骤,直到形成所需厚度的MoS2薄膜。
在一些实施例中,通过包含至少一个沉积循环的ALD型方法在衬底上形成MoSe2薄膜,所述沉积循环包含:
使衬底表面与蒸发Mo(thd)3接触以在衬底上形成至多Mo(thd)3的分子单层;
如果有的话,那么从表面去除过量Mo(thd)3和反应副产物;
使衬底表面与蒸发H2Se接触;以及
从表面去除过量H2Se和在Mo(thd)3层与H2Se之间的反应中形成的任何气态副产物。
可以重复接触和去除步骤,直到形成所需厚度的MoSe2薄膜。
在一些实施例中,通过包含至少一个沉积循环的ALD型方法在衬底上形成WS2薄膜,所述沉积循环包含:
使衬底表面与蒸发W(thd)3接触以在衬底上形成至多W(thd)3的分子单层;
如果有的话,那么从表面去除过量W(thd)3和反应副产物;
使衬底表面与蒸发H2S接触;以及
从表面去除过量H2S和在W(thd)3层与H2S之间的反应中形成的任何气态副产物。
可以重复接触和去除步骤,直到形成所需厚度的WS2薄膜。
在一些实施例中,通过包含至少一个沉积循环的ALD型方法在衬底上形成WSe2薄膜,所述沉积循环包含:
使衬底表面与蒸发W(thd)3接触以在衬底上形成至多W(thd)3的分子单层;
如果有的话,那么从表面去除过量W(thd)3和反应副产物;
使衬底表面与蒸发H2Se接触;以及
从表面去除过量H2Se和在W(thd)3层与H2Se之间的反应中形成的任何气态副产物。
可以重复接触和去除步骤,直到形成所需厚度的WSe2薄膜。
Mo或W前体
在本文中所公开的各种ALD方法中可以使用任一以下前体。在一些实施例中,Mo或W前体是金属有机化合物。在一些实施例中,Mo或W前体具有至少一个多齿配体。在一些实施例中,Mo或W前体具有至少一个双齿配体。在一些实施例中,Mo或W前体具有三个双齿配体并且不具有其它配体。在一些实施例中,Mo或W前体具有至少一个通过O、N或S原子、更优选至少通过一个O原子连接到Mo或W的多齿配体。在一些实施例中,Mo或W前体可以具有至少一个通过O与N原子连接到Mo或W的多齿配体。更优选地,使用β-二酮化物化合物。在一些实施例中,使用酮亚胺基化合物。在一些实施例中,使用M(acac)3、M(thd)3、M(tfac)3、M(bac)3、M(hfac)3或M(fod)3化合物,其中M是Mo或W,acac是乙酰丙酮基或2,4-戊二酮基,thd是2,2,6,6-四甲基-3,5-庚二酮基,tfac是三氟乙酰基丙酮基或1,1,1-三氟-2,4-戊二酮基,bac是苯甲酰基丙酮基、C6HsCOCHCOCH3或1-苯基-1,3-丁二酮基,hfac是六氟乙酰基丙酮基或1,1,1,5,5,5-六氟-2,4-戊二酮基并且fod是2,2-二甲基-6,6,7,7,8,8,8-七氟辛-3,5-二酮基。在一些实施例中,Mo或W前体不包含金属卤化物。在一些实施例中,Mo或W前体具有超过一个Mo或W原子。在一些实施例中,Mo或W前体具有超过一个Mo或W原子,其中超过一个Mo或W原子彼此键结。在一些实施例中,金属有机Mo或W前体具有直接键结于氧的至少一个配位有机配体和Mo或W,氧不键结于任何其它元素或化合物。在一些实施例中,Mo或W前体可以具有至少一个通过O、N或S原子,优选地至少通过一个O原子连接到Mo或W的配体。
在一些实施例中,Mo或W前体可以选自由以下组成的群组:Mo或W β-二酮化物化合物、Mo或W环戊二烯基化合物、Mo或W羰基化合物以及其组合。在一些实施例中,Mo或W前体不包含一或多个卤化物配体。在一些实施例中,Mo或W前体不包含直接键结于Mo或W的一或多个卤化物配体。在一些实施例中,Mo或W前体包含不直接键结于Mo或W的一或多种卤化物配体。在优选实施例中,Mo或W前体是Mo(thd)3或W(thd)3。在一些实施例中,Mo或W前体不具有羰基(CO)配体。在一些实施例中,Mo或W前体不具有六个羰基(CO)配体。在一些实施例中,Mo或W前体具有一个、两个、三个、四个或五个羰基(CO)配体。在一些实施例中,Mo或W前体是β-二酮化物,而非Mo(thd)3或W(thd)3
Mo具有若干氧化态,包括+VI、+V、+IV、+III、+II、+I、0、-I和-II。W具有若干氧化态,包括+VI、+V、+IV、+III、+II、+I、0、-I和-II。在一些实施例中,Mo或W前体中的Mo或W具有+III的氧化态,举例来说,在Mo(thd)3中Mo具有+III的氧化态。在一些实施例中,Mo或W前体中的Mo或W具有+II的氧化态,例如CpMo(CO)3Cl、Me2Mo(PMe3)4、CpW(CO)3Cl和Me2W(PMe3)4中。在一些实施例中,Mo或W前体中的Mo或W具有+I的氧化态,例如Mo(hfac)中Mo具有+I的氧化态。在一些实施例中,所得薄膜中的Mo或W具有+IV的氧化态。在一些实施例中,在形成所得薄膜期间来自Mo或W前体的Mo或W被氧化。在一些实施例中,Mo或W前体中的Mo或W具有+IV的氧化态可能是有益的,例如Cp2MoH2、Mo(NMe2)4、Mo(StBu)4和Mo(S2CNMe2)4中或Cp2WH2、W(NMe2)4、W(StBu)4和W(S2CNMe2)4中。
Mo或W前体中Mo或W的合适或合乎需要的氧化态可以取决于具体条件和情况,并且可以由熟练技术人员根据具体情况确定Mo或W前体中Mo或W的最佳氧化态。
在一些实施例中,Mo或W前体中的Mo或W不具有超过+III的氧化态。在一些实施例中,Mo或W前体中的Mo或W不具有+IV的氧化态。在一些实施例中,Mo或W前体中的Mo或W具有+I到+III的氧化态。在一些实施例中,Mo或W前体中的Mo或W不具有+IV到+VI的氧化态。在一些实施例中,Mo或W前体中的Mo或W不具有+V的氧化态。在一些实施例中,Mo或W前体中的Mo或W不具有+VI的氧化态。在一些实施例中,Mo或W前体中的Mo或W不具有+II的氧化态。在一些实施例中,Mo或W前体中的Mo或W具有+III的氧化态。在一些实施例中,Mo或W前体中的Mo或W不具有-II的氧化态。
因此,在一些实施例中,Mo或W前体中的Mo或W可以包含+I到+III的氧化态,并且在形成所得薄膜期间可以被氧化,因此Mo或W具有+IV的氧化态。
不希望受任一特定理论束缚,相信前体的金属的氧化态越接近沉积膜的金属的氧化态,沉积所需阶段、晶体结构、结晶度或取向的膜所需要的能量和/或时间越少。此外,相信如果前体的金属的氧化态低于沉积膜的金属的氧化态,那么沉积所需阶段、晶体结构、结晶度或取向的膜可能需要的能量或时间更少。举例来说,在沉积膜的金属具有+IV的氧化态下,可能需要前体的金属具有小于+IV,例如+III的氧化态,并且因此,不同于典型ALD方法中,在膜沉积期间进行氧化。
在一些实施例中,Mo或W前体包含至少一个通过两个原子键结于Mo或W的配体,在本文中被称作双齿配体。在一些实施例中,Mo或W前体包含至少一个通过至少一个O、N或S原子键结于Mo或W的双齿配体。在一些实施例中,Mo或W前体包含至少一个通过第一位点的O原子和第二位点的第二O原子键结于Mo或W的双齿配体。在一些实施例中,Mo或W前体包含至少一个通过第一位点的O原子和第二位点的N原子键结于Mo或W的双齿配体。在一些实施例中,Mo或W前体包含至少一个通过第一位点的N原子和第二位点的第二N原子键结于Mo或W的双齿配体。在一些实施例中,Mo或W前体包含至少两个双齿配体。在一些实施例中,Mo或W前体包含三个双齿配体。
在一些实施例中,Mo或W前体包含至少一个双齿配体,所述双齿配体是β-二酮基配体。在一些实施例中,至少一个双齿配体是acac配体。在一些实施例中,至少一个双齿配体是thd配体。在一些实施例中,Mo或W前体可以包含至少两个β-二酮基配体。在一些实施例中,Mo或W前体可以包含三个β-二酮基配体。在一些实施例中,Mo或W前体可以包含至少两个thd配体。在一些实施例中,Mo或W前体可以包含至少两个acac配体。在一些实施例中,Mo或W前体可以包含三个thd配体。在一些实施例中,Mo或W前体可以包含三个acac配体。
在一些实施例中,在无溶剂下蒸发Mo或W前体。在优选实施例中,Mo或W前体不与例如有机溶剂等溶剂混合。
在一些实施例中,在ALD型方法中可以使用Mo或W β-二酮化物来沉积任何种类的含Mo或W薄膜。在一些实施例中,Mo或W β-二酮化物可以用于沉积元素Mo或W膜、Mo或W氧化物膜、Mo或W氮化物膜或者Mo或W硅化物膜。具体地说,在ALD型方法中可以使用Mo(thd)3和W(thd)3来沉积任何种类的含Mo或W薄膜。
在一些实施例中,可以通过包含至少一个沉积循环的ALD型方法在衬底上形成元素Mo或W薄膜,所述沉积循环包含:
使衬底表面与蒸发Mo或W β-二酮化物前体接触以在衬底上形成至多Mo或W β-二酮化物前体的分子单层;
如果存在的话,那么从表面去除过量Mo或W β-二酮化物前体和反应副产物;
使衬底表面与例如H2等第二反应物或氢等离子体、自由基或原子接触;以及
从表面去除过量第二反应物和在Mo或W β-二酮化物前体层与第二反应物之间的反应中形成的任何气态副产物。
可以重复接触和去除步骤,直到形成所需厚度的元素Mo或W薄膜。
在一些实施例中,可以通过包含至少一个沉积循环的ALD型方法在衬底上形成Mo或W氧化物薄膜,所述沉积循环包含:
使衬底表面与蒸发Mo或W β-二酮化物前体接触以在衬底上形成至多Mo或W β-二酮化物前体的分子单层;
如果存在的话,那么从表面去除过量Mo或W β-二酮化物前体和反应副产物;
使衬底表面与氧前体,例如水、臭氧或氧等离子体、自由基或原子接触;以及
从表面去除过量氧前体和在Mo或W β-二酮化物前体层与氧前体之间的反应中形成的任何气态副产物。
可以重复接触和去除步骤,直到形成所需厚度的Mo或W氧化物薄膜。
在一些实施例中,可以通过包含至少一个沉积循环的ALD型方法在衬底上形成Mo或W氮化物薄膜,所述沉积循环包含:
使衬底表面与蒸发Mo或W β-二酮化物前体接触以在衬底上形成至多Mo或W β-二酮化物前体的分子单层;
如果存在的话,那么从表面去除过量Mo或W β-二酮化物前体和反应副产物;
使衬底表面与包含氮的前体接触;以及
从表面去除过量氧前体和在Mo或W β-二酮化物前体层与包含氮的前体之间的反应中形成的任何气态副产物。
可以重复接触和去除步骤,直到形成所需厚度的Mo或W氮化物薄膜。
在一些实施例中,包含氮的合适前体可以包括NH3,在一些实施例中,包含氮的合适前体可以包括含氮等离子体,例如N-等离子体、原子或自由基或者含N和H的等离子体、原子或自由基。
在一些实施例中,可以通过包含至少一个沉积循环的ALD型方法在衬底上形成Mo或W硅化物薄膜,所述沉积循环包含:
使衬底表面与蒸发Mo或W β-二酮化物前体接触以在衬底上形成至多Mo或W β-二酮化物前体的分子单层;
如果存在的话,那么从表面去除过量Mo或W β-二酮化物前体和反应副产物;
使衬底表面与包含硅的前体接触;以及
从表面去除过量的包含硅的前体和在Mo或W β-二酮化物前体层与包含硅的前体之间的反应中形成的任何气态副产物。
可以重复接触和去除步骤,直到形成所需厚度的Mo或W硅化物薄膜。
在一些实施例中,可以通过包含至少一个沉积循环的方法在衬底上形成含Mo或W材料,所述沉积循环包含使衬底与气相Mo或W前体和第二气相硫族前体交替和依次接触。在一些实施例中,沉积循环可以重复两次或更多次。在一些实施例中,沉积循环可以依次重复两次或更多次。在一些实施例中,可以在衬底与气相Mo或W前体接触之后并在衬底与气相硫族前体接触之前,如果有的话,那么去除过量Mo或W前体和反应副产物。在一些实施例中,可以在衬底与气相硫族前体接触之后并且在开始另一沉积循环之前,如果有的话,那么去除过量硫族前体和反应副产物。在一些实施例中,可以在衬底与Mo或W气相前体接触之后并且在衬底与气相硫族前体接触之前使衬底与吹扫气体接触。在一些实施例中,可以在衬底与硫族气相前体接触之后并且在开始另一沉积循环之前使衬底与吹扫气体接触。
Mo或W β-二酮化物前体的合成
还提供了用于制备本文所描述的ALD方法中使用的一些Mo或W前体的方法。在一些实施例中,合成具有式M(L)s的前体,其中M是Mo或W并且L优选是β-二酮基配体,最优选是acac、hfac或thd。在一些实施例中,合成的Mo或W前体具有式M(thd)3,其中M是Mo或W。在一些实施例中,合成的前体是Mo(thd)s并且在其它实施例中其是W(thd)3
在一些实施例中,所有处置和操控都可以在不包含空气、氧气或水分的气氛中进行。在一些实施例中,所有处置和操控都可以在例如N2或Ar气氛等惰性气体气氛中进行。
图2是总体上说明用于形成Mo或W β-二酮化物前体的方法20的工艺流程图。在一些实施例中,用于制备Mo或W β-二酮化物前体的方法包含:
在步骤21通过用还原剂还原Mo或W卤化物来形成第一产物;
在步骤22通过随后将溶剂加入第一产物来形成第二产物,因此形成第二产物MX3(R1)n,n=0-4,其中M是Mo或W,X是卤化物,并且R1是溶剂;
在步骤23通过使例如BuLi、MeLi、NaH或KH等碱金属化合物与β-二酮反应来形成第三产物;以及
随后在步骤24将第三产物加入第二产物,因此在步骤25形成具有式M(L)3的Mo或Wβ-二酮化物前体,其中M是Mo或W并且L是β-二酮基配体。
在一些实施例中,步骤21的Mo或W卤化物优选是无水Mo或W卤化物。在一些实施例中,步骤21的Mo或W卤化物具有式MX5,其中M是Mo或W并且X是卤化物,优选Cl。在一些实施例中,步骤21的金属卤化物可以具有式MX4或MX6,其中M是W并且X是卤化物。在一些实施例中,在用还原剂还原前将步骤21的Mo或W卤化物加入溶剂,优选有机溶剂,例如醚。在优选实施例中,溶剂是Et2O。
在一些实施例中,还原剂包含金属,例如金属Sn。在一些实施例中,还原剂包含有机物质,优选双(三烷基硅烷基)六元环系统或相关化合物,例如1,4-双(三甲基硅烷基)-1,4-二氢吡嗪(DHP)。还原剂优选以粉末或球粒形式提供,例如Sn球粒。在一些实施例中,还原Mo或W卤化物包含将还原剂加入包含Mo或W卤化物的溶液,因此形成第一产物。在优选实施例中,还原Mo或W卤化物可以包含将Sn球粒加入MCl5于Et2O中的溶液中,因此形成MCl4(Et2O)2,其中M是Mo或W。
在一些实施例中,将Mo或W卤化物与还原剂的混合物搅拌第一持续时间。在一些实施例中,搅拌混合物,直到完成反应。在形成包含MCl4(Et2O)2(其中M是Mo或W)的所需第一产物后,可以使混合物静置。在一些实施例中,在反应完成后,可以将第一产物与任何溶剂、副产物、过量反应物或第一产物中不需要的任何其它化合物分开和分离。
在一些实施例中,将溶剂加入第一产物,因此形成第二产物。在优选实施例中,溶剂是THF。在一些实施例中,将混合物搅拌第二持续时间。在一些实施例中,搅拌混合物,直到完成反应。在形成所需第二产物后,可以使混合物静置。在一些实施例中,在反应完成后,可以将第二产物与任何溶剂、副产物、过量反应物或第二产物中不需要的任何其它化合物分开和分离。在优选实施例中,形成第二产物可以包含将THF加入第一产物,因此形成MCl3(THF)3,其中M是Mo或W。
在一些实施例中,根据以下中公开的方法形成第二产物MoCl3(THF)3:《从四氯双(二乙醚)钼(IV)制备钼配位化合物的改良(Improved Preparations of MolybdenumCoordination Compounds from Tetrachlorobis(diethyl ether)molybdenum(IV))》《欧洲无机化学杂志(Eur.J.Inorg.Chem.)》10/2001:2699-2703,其以全文引用的方式并入本文中。
在一些实施例中,通过使碱金属化合物与β-二酮反应来形成第三产物。在优选实施例中,碱金属化合物包含丁基锂。在一些实施例中,碱金属化合物可以包含例如KH、NaH或MeLi。在一些实施例中,碱金属化合物可以呈于烷烃、优选己烷中的溶液提供。在一些实施例中,碱金属化合物可以加入溶剂。在一些实施例中,溶剂可以包含杂环溶剂。在优选实施例中,溶剂是THF。
在一些实施例中,β-二酮化合物可以包含Hthd;Hacac;Htfac,其中Htfac是三氟乙酰丙酮;Hfod,其中fod是2,2-二甲基-6,6,7,7,8,8,8-七氟-3,5-辛二酮;或Hhfac;优选Hthd。在一些实施例中,使碱金属化合物与β-二酮化合物反应可以包含将β-二酮化合物加入包含碱金属化合物的溶液,因此形成具有式M1L的第三产物,其中M1是碱金属并且L是β-二酮基配体。在优选实施例中,将含丁基锂的己烷加入THF以形成溶液。然后将Hthd加入溶液以与丁基锂反应,因此形成包含Lithd的第三产物。
在一些实施例中,溶液任选地在反应完成之前、期间和/或之后冷却。在一些实施例中,β-二酮化合物可以在添加碱金属化合物前冷却。在一些实施例中,可以搅拌溶液,直到反应完成。在一些实施例中,反应产生的任何气态副产物可以例如通过鼓泡器排出。
在一些实施例中,第三产物加入第二产物,因此形成具有式ML3的Mo或W β-二酮化物前体,其中M是Mo或W并且L是β-二酮基配体。在一些实施例中,在添加第三产物前可以将第二产物加入溶剂。在优选实施例中,溶剂是THF。在一些实施例中,将第三产物加入混合物,因此形成Mo或W β-二酮化物前体。在一些实施例中,第三产物可以具有式M1L,其中M1是碱金属并且L是β-二酮基配体。在一些实施例中,第三产物可以包含有包含第三产物的溶液。在优选实施例中,将Lithd加入MCl3(THF)3于THF中的悬浮液,因此形成M(thd)3,其中M是Mo或W。
在一些实施例中,第二产物可以在加入第三产物前冷却。在一些实施例中,加入第三产物后,使混合物温至室温。在一些实施例中,将混合物搅拌第二持续时间。在一些实施例中,搅拌混合物,直到完成反应。
在反应基本上完成后,将最终产物与任何溶剂、副产物、过量反应物或最终产物中不需要的任何其它化合物分开和分离。
在一些实施例中,用于制备Mo或W β-二酮化物前体的方法包含:
在步骤21通过用Sn还原无水MoCl5来形成第一产物;
在步骤22通过随后将包含四氢呋喃(THF)的溶剂加入第一产物来形成第二产物MoCl3(THF)3,因此形成第二产物MoCl3(THF)3
在步骤23通过使丁基锂与Hthd反应来形成第三产物Lithd;以及
随后在步骤24将第三产物Lithd加入第二产物MoCl3(THF)3,因此在步骤25形成前体Mo(thd)3
实例1
Mo(thd)3通过以下方法来合成。所有处置和操控都在严格除去空气和水分下使用标准施兰克技术(Schlenk technique)和惰性气体(N2或Ar)手套箱进行。
首先,使5.00g(18.3mmol)无水MoCl5和10g(84mmol)Sn球粒悬浮于50ml Et2O中。将混合物在室温下搅拌1小时以形成溶液和固体。使固体沉降到施兰克瓶(Schlenk bottle)的底部并且使用Ar压力和铁氟龙(Teflon)毛细管去除大部分Et2O溶液。
然后,加入50ml THF并将混合物在室温下搅拌3小时以形成固体MoCl3(THF)3。通过使用Ar压力和铁氟龙毛细管将THF/MoCl3(THF)3悬浮液转移到另一施兰克瓶,将MoCl3(THF)3与过量Sn分开。然后使用施兰克烧结玻璃从悬浮液滤出固体MoCl3(THF)3并用Et2O洗涤。
然后,制备Lithd溶液。30ml THF用CO2/丙酮浴冷却并加入4.48ml的1.6BuLi于己烷中的溶液。然后使用注射器将1.321g(7.168mmol)Hthd缓慢加入溶液。然后在室温下搅拌此溶液2小时。使气态副产物通过水银鼓泡器从含有溶液的施兰克瓶中排出。
然后使固体MoCl3(THF)3悬浮于20ml THF中。然后此悬浮液用CO2/丙酮浴冷却并使用铁氟龙毛细管和Ar压力加入先前制备的Lithd溶液。使所得溶液温至室温并搅拌过夜。
然后使用水浴和真空蒸发掉THF溶剂。将所得固体产物转移到升华器并在160℃-180℃和0.5毫巴下升华。所得Mo(thd)3升华物收集在手套箱中。
使用质谱法分析合成化合物。如图3中所示,在m/z 647下看到具有对应于Mo(thd)3的同位素图案的分子离子。除Mo(thd)3之外,可以看到对应于具有氧的碎片离子的若干峰,例如[Mo(thd)2O2]+、[Mo(thd)O]+、[Mo(thd)2O2-Bu]+和[Mo(thd)O2]+。然而,这些峰可能是由样品装载到质谱仪期间Mo(thd)3化合物暴露于空气引起。
使用单晶x射线衍射(single crystal x-ray diffraction,SCXRD)分析合成化合物的分子结构。图4中说明合成Mo(thd)3化合物的结构。
还使用类似于用于合成Mo(thd)3的程序的程序合成Mo(acac)3和Mo(hfac)3。使用Hacac和Hhfac代替Hthd以分别合成Mo(acac)3和Mo(hfac)3。使用热解重量分析(thermogravimetric analysis,TGA)研究三种Mo β-二酮化物化合物的热特性。如图5所示,Mo(thd)3和Mo(hfac)3的热解重量曲线展示当温度增加时化合物的蒸发,而Mo(acac)3的热解重量曲线指示化合物在温度增加时主要分解。
硫族前体
本领域技术人员应了解在本文中所公开的ALD方法中可以使用多种硫族前体。在一些实施例中,硫族前体选自以下清单:H2S、H2Se、H2Te、(CH3)2S、(NH4)2S、二甲亚砜((CH3)2SO)、(CH3)2Se、(CH3)2Te、元素或原子S、Se、Te、含有硫族-氢键的其它前体,例如H2S2、H2Se2、H2Te2或具有式R-Y-H的硫族醇,其中R可以是经取代或未经取代的烃,优选C1-C8烷基或经取代的烷基,例如烷基硅烷基,更优选直链或分支链C1-C5烷基并且Y可以是S、Se或Te。在一些实施例中,硫族前体是具有式R-S-H的硫醇,其中R可以是经取代或未经取代的烃,优选C1-C8烷基,更优选直链或分支链C1-C5烷基。在一些实施例中,硫族前体具有式(R3Si)2Y,其中R3Si是烷基硅烷基并且Y可以是Se或Te。在一些实施例中,硫族前体包含S或Se。在一些优选实施例中,硫族前体包含S。在一些实施例中,硫族前体可以包含元素硫族,例如元素硫。在一些实施例中,硫族前体不包含Te。在一些实施例中,硫族前体包含Se。在一些实施例中,硫族前体选自包含S、Se或Te的前体。在一些实施例中,硫族前体包含H2Sn,其中n是4到10。
合适硫族前体可以包括多种含硫族化合物,只要其包括至少一个硫族-氢键即可。在一些实施例中,硫族前体可以包含硫族等离子体、硫族原子或硫族自由基。在一些实施例中,在需要高能硫族前体的情况下,可以在反应室中或反应室上游产生等离子体。在一些实施例中,硫族前体不包含高能硫族前体,例如等离子体、原子或自由基。在一些实施例中,硫族前体可以包含由例如H2S等包含硫族-氢键的硫族前体形成的硫族等离子体、硫族原子或硫族自由基。在一些实施例中,硫族前体可以包含例如包含硫、硒或碲的等离子体、优选包含硫的等离子体等硫族等离子体、硫族原子或硫族自由基。在一些实施例中,等离子体、原子或自由基包含碲。在一些实施例中,等离子体、原子或自由基包含硒。
实例2
MoCl5和H2S用作前体并在流动式反应器(ASM美国F-120反应器)中在150℃与500℃之间的反应温度下进行各种沉积实验,无前体停留时间。使用各种衬底:Al2O3、ZnS、钠钙玻璃、Si和Ir。进行沉积实验后,在衬底上未检测到将指示膜生长的量的Mo或S。在样品上进行EDX并仅仅展示痕量Mo。在样品上未检测到S。已经在布朗宁(Browning)等人的《MoS2薄膜的原子层沉积(Atomic layer deposition of MoS2thin films)》中报道此方法,此文献以全文引用的方式并入本文中。本发明者考虑如布朗宁的论文中所述的工艺条件,在相当类似的条件中进行类似实验,但测试不成功,可能表明其中公开的方法不稳固。
实例3
根据本文中所公开的ALD方法,利用Mo(thd)3作为Mo前体和H2S作为硫族前体,沉积MoS2薄膜。MoS2沉积于硅、二硫化钛、氧化铝和钠钙玻璃衬底上。在约175℃到约500℃范围内的沉积温度下使衬底与Mo(thd)3和H2S的交替脉冲接触。
在175℃到350℃的沉积温度下未观测到MoS2沉积。衬底上膜的量似乎随着沉积温度超过约375℃而增加。最高生长速率在约500℃的沉积温度下实现。在此沉积温度下衬底经紫色或棕色MoS2膜覆盖。
将所得MoS2膜用FESEM表征并发现膜形态基本上一致,与膜沉积的衬底无关。在一些膜的表面上观测到薄片样结构,然而,横截面FESEM图像揭露所述膜是密集的,无裂纹或小孔,如图6中所示。
ALD MoS2膜的生长速率在约0.2埃/循环下饱和,其中Mo(thd)3与H2S脉冲长度都是约0.5到1秒,如图7中所描绘。观测到膜厚度以基本上线性方式增加,如图8中所描绘,不过如500个沉积循环后略微更陡的斜率指示,可能存在培育期。
虽然增加Mo(thd)3脉冲长度不影响生长速率,但膜形态受到影响。图9说明在0.2和0.5秒脉冲下沉积的膜具有几乎一致的包含尖薄片样结构的表面。虽然未观测到膜厚度差异,但1秒或更长时间的Mo(thd)3脉冲长度产生包含颗粒的表面。对于4秒的Mo(thd)3脉冲长度,也观测到类似表面结构,不过与使用0.2、0.5和1秒Mo(thd)3脉冲长度沉积的膜的2000个循环相比,所得膜使用1000个沉积循环沉积。因此,膜厚度可能影响表面的结构差异,其中Mo(thd)3脉冲长度也可能具有影响。
变化H2S脉冲长度引起膜表面结构的类似差异,不过此处更短的H2S脉冲长度产生无薄片的表面。如图10中所示,0.2秒的H2S脉冲长度产生具有包含颗粒的表面的膜。更长时间的脉冲产生包含锐边薄片的表面。
目测分析通过10到2000个之间的沉积循环沉积的MoS2膜的MoS2膜生长。Mo(thd)3用作Mo前体,脉冲时间是0.5秒并且吹扫时间是1秒,而H2S用作硫族前体,脉冲时间是0.5秒并且吹扫时间是1秒。所有样品的沉积温度都是500℃。图11A说明通过10到50个之间的循环沉积的MoS2膜,而图11B展示通过100到2000个之间的循环沉积的MoS2膜。膜表面上尖锐薄片的存在似乎取决于厚度,其中在多达1500个沉积循环下膜的表面结构看起来像结晶并且大部分平坦。在2000个沉积循环后,升高的薄片结构覆盖膜的整个表面。
沉积的MoS2膜的组成通过EDX来分析。Mo35S65的理论膜组成通过使用牛津(Oxford)INCA软件合成硅衬底上由MoS2膜组成的理论样品的光谱来获得。如图12A中所示,在500℃下沉积的MoS2膜的组成通过EDX来分析,而Mo(thd)3脉冲长度变化。如图12B中所示,在500℃下沉积的MoS2膜的组成通过EDX来分析,而H2S脉冲长度变化。在两种情况下,确定测量的MoS2膜组成类似于理论组成。
还测量通过ALD方法在500℃下沉积的MoS2膜样品的元素分率并在图13中说明。通过具有250到2000个沉积循环的ALD方法沉积MoS2膜。当沉积循环次数增加时,测量的MoS2膜的元素分率从富含Mo转成富含S。通过具有2000个沉积循环的ALD方法沉积的MoS2样品具有Mo42S58的测量组成。观测到沉积膜的厚度大致线性增加,如图13中所示,不过可能存在培育期。
两组沉积MoS2膜样品的组成通过掠入射X射线衍射(GIXRD)来分析,如图14中所示。一组样品MoS2膜通过ALD方法来沉积,其中硫前体脉冲保持恒定在0.5秒下,而Mo前体脉冲时间从0.2秒变化到4秒。第二组样品MoS2膜通过ALD方法来沉积,其中Mo前体脉冲保持恒定在0.5秒下,而硫前体脉冲时间从0.2秒变化到2秒。样品在500℃下沉积。图14中展示的(002)峰的强度随着Mo前体脉冲时间减少而增加并且随着硫前体脉冲时间增加而增加。
拉曼光谱分析用于鉴别沉积膜的阶段。MoS2具有对于Mo和S原子的平面内振动383cm-1下的特征峰和对于S原子的平面外振动406cm-1下的特征峰。如图15中所描绘,对于沉积的MoS2薄膜,清晰可见两个这些峰。
拉曼光谱分析也用于分析两组沉积的MoS2膜样品的阶段,如图16中所示。一组样品MoS2膜通过ALD方法来沉积,其中硫前体脉冲保持恒定在0.5秒下,而Mo前体脉冲时间从0.2秒变化到4秒。第二组样品MoS2膜通过ALD方法来沉积,其中Mo前体脉冲保持恒定在0.5秒下,而硫前体脉冲时间从0.2秒变化到2秒。样品在500℃下沉积。观测到平面内和平面外MoS2峰的强度随着Mo前体脉冲时间减少而增加并且观测到随着硫前体脉冲时间增加而增加。
使用X射线光电子光谱(XPS)研究通过ALD方法沉积的两个MoS2薄膜样品的元素组成,如图17中所示。一个样品通过ALD方法,在0.2秒的Mo前体脉冲下沉积,而第二个样品通过ALD方法,在4秒的Mo前体脉冲下沉积。
还使用原子力显微镜(AFM)研究通过使用Mo(thd)3作为Mo前体并且具有10到50个之间的沉积循环的ALD方法沉积的MoS2膜样品的表面粗糙度,如图18中所示。发现通过10和50个循环沉积的样品的粗糙度是0.41nm,而发现通过25个循环沉积的样品的粗糙度是0.44nm。对于所有样品来说,膜的表面特征是尺寸低于10nm,而表面特征的尺寸似乎随着沉积循环次数而增加。
图19说明通过使用Mo(thd)3作为Mo前体并在425℃到500℃的温度下沉积的ALD方法沉积的MoS2膜的生长速率和元素分率。观测到生长速率随着沉积温度增加而增加并且在500℃的沉积温度下观测到最高生长速率。沉积薄膜的组成随着沉积温度而变化。
如图20中所示,目测分析通过ALD沉积的MoS2膜的MoS2膜生长。Mo(thd)3用作Mo前体,脉冲时间是0.5秒并且吹扫时间是1秒,而H2S用作硫族前体,脉冲时间是0.5秒并且吹扫时间是1秒。沉积温度从350℃变化到500℃。
2D材料
本文所描述的ALD方法可以用于沉积包含Mo或W的2D材料,例如Mo或W二硫族化物,例如MoS2、WS2、MoSe2或WSe22D材料。又称单层材料的2D材料是由单个连接分子单层组成的材料。虽然2D材料形成单个连接分子单层,但可以通过本文中所公开的沉积方法沉积多个单层。举例来说,在2D MoS2情况下,2D材料包含单层共价键结的MoS2分子,其被布置成使得一层Mo原子夹在两层S原子之间。熟练技术人员熟悉MoS2的基础原子结构。
由于其异常特征,2D材料可用于各种潜在应用,例如用作润滑,用于光学电子、自旋电子学和谷电子学,用于THz产生和检测,用作催化剂、化学和生物传感器、超级电容器、LED、太阳能电池、Li-离子蓄电池和用作MOSFET通道材料。
不同于例如石墨烯等其它2D材料,2D Mo或W二硫族化物具有独特的电子特性,使得其适用于半导体装置小型化。举例来说,不同于石墨烯,2D Mo或W二硫族化物具有直接带隙并且是半传导性的。因此,Mo或W二硫族化物可用于电子装置,例如Mo或W二硫族化物可以用作栅极堆叠或晶体管中的通道材料。
根据一些实施例,包含Mo或W的2D材料可以通过根据本文中所公开的方法的ALD来沉积。在一些实施例中,包含Mo或W的2D材料可以包含有包含Mo或W的化合物的小于或等于十个分子单层,优选小于5个分子单层,最优选小于或等于3个分子单层。
在一些实施例中,包含Mo或W的2D材料可以包含Mo或W二硫族化物的小于或等于十个分子单层,优选小于5个分子单层,最优选小于或等于3个分子单层。在一些实施例中,包含Mo或W的2D材料可以包含MoS2、WS2、MoSe2、WSe2、MoTe2或WTe2的小于或等于十个分子单层,优选小于5个分子单层,最优选小于或等于3个分子单层。
在一些实施例中,用于在衬底上沉积包含Mo或W的2D材料的方法可以包含如本文所公开的包含多个循环的ALD方法。在一些实施例中,用于沉积包含Mo或W的2D材料的方法可以包含如本文所公开的包含小于或等于500个沉积循环、优选小于或等于200个沉积循环、最优选小于或等于100个沉积循环的ALD方法。如熟练技术人员取决于具体前体、衬底和工艺条件来选择,用于在衬底上沉积包含Mo或W的2D材料的方法可以包含如本文所公开的包含小于或等于50个循环、小于或等于25个循环、小于或等于15个循环或小于或等于10个循环的ALD方法。
在一些实施例中,包含Mo或W的沉积2D材料可以小于10nm,更优选小于5nm,更优选小于3nm,更优选小于2nm,更优选小于1.5nm,并且最优选小于1.0nm。
在一些实施例中,沉积2D材料具有小于约0.75nm、优选小于约0.5nm并且最优选小于或等于约0.4nm的粗糙度(Rq)。粗糙度例如可以用原子力显微镜(AFM)或X射线反射(XRR)测量。在超薄2D材料膜的情况下,AFM可能是优选方法。
在一些实施例中,包含Mo或W的2D材料能够用于电子装置,例如用作栅极堆叠中的通道材料。在一些实施例中,包含Mo或W的2D材料可以在栅极电介质后沉积,即通道最后。在一些实施例中,包含Mo或W的2D材料可以在栅极电介质前沉积,即通道最先。在一些实施例中,栅极堆叠可以倒置制造,使得通道处于栅极堆叠中的栅极上方。
图21是沉积于包括原生氧化物1410的硅衬底1400上的MoS2薄膜1420的像差校正的扫描隧道电子显微镜(AC-STEM)图像。可以看到衬底1400的硅原子1401是图像下半部中的白点,而原生氧化物1410是MoS2薄膜1420与硅衬底1400之间的较暗层。此处显示MoS2是约2到3个分子层并且具有约10-15埃的厚度。分子单层之间的间距是约6-8埃,此在针对MoS2预测的范围内。
为简单起见本文中使用术语“膜”和“薄膜”。“膜”和“薄膜”意指通过本文中所公开的方法沉积的任何连续或非连续结构和材料。举例来说,“膜”和“薄膜”可以包括2D材料、纳米棒、纳米管或纳米粒子或甚至单个部分或完整分子层或者部分或完整原子层或原子和/或分子簇。“膜”和“薄膜”可以包含具有小孔的材料或层,但仍然是至少部分连续的。
如本文所使用的术语硫族意图主要指化学元素硫、硒和碲,不过在一些情况下,如本领域普通技术人员清楚,所述术语还可以指氧。类似地,术语硫族化物和二硫族化物意图主要指硫化物、硒化物和碲化物,不过在一些情况下,如本领域普通技术人员清楚,此类术语还可以指氧化物。
虽然已经根据某些优选实施例描述以上本发明,但本领域普通技术人员将清楚其它实施例。另外,鉴于本文中的公开内容,熟练技术人员将清楚其它组合、省略、替代和修改。因此,本发明不意图受优选实施例的叙述限制,而是实际上通过参考所附权利要求书来界定。

Claims (46)

1.一种用于在反应室中在衬底上形成含Mo或W薄膜的方法,所述方法包含至少一个循环,所述循环包含:
使所述衬底与气相Mo或W前体接触,使得在所述衬底表面上形成至多所述第一Mo或W前体的分子单层,其中所述Mo或W前体中的所述Mo或W具有小于或等于+IV,但非0的氧化态;
如果有的话,那么去除过量Mo或W前体和反应副产物;
使所述衬底与气相硫族前体接触,其中所述硫族前体与所述衬底表面上的所述Mo或W前体反应;
如果有的话,那么去除过量硫族前体和反应副产物;以及
任选地重复所述接触和去除步骤,直到形成所需厚度的含Mo或W薄膜。
2.根据权利要求1所述的方法,其中所述方法是原子层沉积(ALD)方法。
3.根据权利要求1所述的方法,其中所述方法包含两个或更多个连续循环。
4.根据权利要求1所述的方法,其中所述含Mo或W薄膜是Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物薄膜。
5.根据权利要求1所述的方法,其中所述Mo或W前体中的所述Mo或W的氧化态是+III。
6.根据权利要求1所述的方法,其中所述硫族前体包含H2S、H2Se、H2Te、(CH3)2S、(CH3)2Se或(CH3)2Te。
7.一种用于在反应室中在衬底上形成Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物薄膜的原子层沉积(ALD)方法,所述方法包含至少一个循环,所述循环包含:
使所述衬底与气相Mo或W前体接触,使得在所述衬底表面上形成至多所述第一Mo或W前体的分子单层,其中所述Mo或W前体包含至少一个双齿配体;
如果有的话,去除过量Mo或W前体和反应副产物;
使所述衬底与气相硫族前体接触,其中所述硫族前体与所述衬底表面上的所述Mo或W前体反应;
如果有的话,那么去除过量硫族前体和反应副产物;以及
重复所述接触和去除步骤,直到形成所需厚度的含Mo或W薄膜。
8.根据权利要求7所述的方法,其中所述双齿配体通过O、S或N原子键结于所述Mo或W原子。
9.根据权利要求8所述的方法,其中所述双齿配体通过两个O原子键结于所述Mo或W原子。
10.根据权利要求8所述的方法,其中所述双齿配体通过O原子和N原子键结于所述Mo或W原子。
11.根据权利要求8所述的方法,其中所述双齿配体通过两个N原子键结于所述Mo或W原子。
12.根据权利要求7所述的方法,其中所述双齿配体是β-二酮基配体。
13.根据权利要求12所述的方法,其中所述β-二酮基配体是乙酰丙酮基(acac)配体。
14.根据权利要求12所述的方法,其中所述β-二酮基配体是2,2,6,6-四甲基-3,5-庚二酮基(thd)配体。
15.根据权利要求7所述的方法,其中所述Mo或W前体包含至少两个双齿配体。
16.根据权利要求7所述的方法,其中所述Mo或W前体包含三个双齿配体。
17.一种用于在反应室中在衬底上形成Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物2D材料的原子层沉积(ALD)方法,所述方法包含至少一个循环,所述循环包含:
使所述衬底与气相Mo或W前体接触,使得在所述衬底表面上形成至多所述第一Mo或W前体的分子单层,其中所述Mo或W前体是Mo或Wβ-二酮化物;
如果有的话,那么去除过量Mo或W前体和反应副产物;
使所述衬底与气相硫、硒或碲前体接触,其中所述硫、硒或碲前体与所述衬底表面上的所述Mo或W前体反应;以及
如果有的话,那么去除过量硫或硒前体和反应副产物。
18.根据权利要求17所述的方法,其中所述含Mo或W薄膜是Mo或W硫化物、Mo或W硒化物或者Mo或W碲化物薄膜。
19.根据权利要求17所述的方法,其中构成所述Mo或W前体的所述Mo或W原子的氧化态是+III。
20.根据权利要求17所述的方法,其中所述硫族前体包含H2S、H2Se、H2Te、(CH3)2S、(CH3)2Se或(CH3)2Te。
21.根据权利要求17所述的方法,其中所述Mo或W前体是Mo(thd)3并且所述硫族前体是H2S。
22.根据权利要求17所述的方法,其中所述Mo或W前体是W(thd)3并且所述硫族前体是H2S。
23.根据权利要求17所述的方法,其中所述2D材料包含MoS2
24.一种用于制备Mo或Wβ-二酮化物前体的方法,所述方法包含:
提供具有式MX3(R)n的第一反应物,其中n是0到4的数字,M是Mo或W,X是卤化物,并且R是溶剂;
通过使碱金属化合物与β-二酮化合物反应来形成第一产物;以及
随后将所述第一产物加入所述第一反应物,因此形成具有式ML3的Mo或Wβ-二酮化物前体,其中M是Mo或W并且L是β-二酮基配体。
25.根据权利要求24所述的方法,其中提供第一反应物进一步包含:
通过用还原剂还原Mo或W卤化物来形成第一中间产物;以及
通过随后将溶剂加入所述第一产物,形成第二中间产物,因此形成所述第一反应物。
26.根据权利要求24所述的方法,其中所述Mo或W卤化物是MoCl5,所述β-二酮化合物是Hthd,并且所述形成的Mo或Wβ-二酮化物前体是Mo(thd)3
27.一种形成Mo或Wβ-二酮化物化合物的方法,其中所述Mo或Wβ-二酮化物化合物中的所述Mo或W具有+III的氧化态,所述方法包含:
提供具有式MX3(R)n的第一反应物,其中n是0到4的数字,M是Mo或W,X是卤化物,并且R是溶剂;
通过使碱金属化合物与β-二酮化合物反应来形成第一产物;以及
随后使所述第一产物与所述第一反应物反应,因此形成具有式ML3的Mo或Wβ-二酮化物化合物,其中M是具有+III的氧化态的Mo或W并且L是β-二酮基配体。
28.一种用于在反应室中在衬底上形成含Mo或W材料的方法,所述方法包含至少一个沉积循环,所述沉积循环包含:
使所述衬底与气相Mo或W前体和第二气相硫族前体交替和依次接触,
其中所述Mo或W前体中的所述Mo或W具有小于或等于+IV,但非0的氧化态。
29.根据权利要求28所述的方法,其中所述沉积循环重复两次或更多次。
30.根据权利要求28所述的方法,其进一步包含在所述衬底与气相Mo或W前体接触之后并在所述衬底与所述气相硫族前体接触之前,如果有的话,那么去除过量Mo或W前体和反应副产物。
31.根据权利要求28所述的方法,其进一步包含在所述衬底与气相硫族前体接触之后并且在开始另一沉积循环之前,如果有的话,那么去除过量硫族前体和反应副产物。
32.根据权利要求28所述的方法,其进一步包含在使所述衬底与所述Mo或W气相前体接触之后并且在使所述衬底与所述气相硫族前体接触之前使所述衬底与吹扫气体接触。
33.根据权利要求28所述的方法,其进一步包含在使所述衬底与所述硫族气相前体接触之后并且在开始另一沉积循环之前使所述衬底与吹扫气体接触。
34.根据权利要求28所述的方法,其进一步包含在所述衬底与所述Mo或W气相前体接触之后并且在所述衬底与所述气相硫族前体接触之前将所述衬底暴露于真空。
35.根据权利要求28所述的方法,其进一步包含在所述衬底与所述气相硫族前体接触之后并且在开始另一沉积循环之前将所述衬底暴露于真空。
36.根据权利要求28所述的方法,其中所述含Mo或W材料包含元素Mo或W。
37.根据权利要求28所述的方法,其中所述含Mo或W材料包含Mo或W氧化物材料。
38.根据权利要求28所述的方法,其中所述含Mo或W材料包含Mo或W氮化物材料。
39.根据权利要求28所述的方法,其中所述含Mo或W材料包含Mo或W硅化物材料。
40.根据权利要求28所述的方法,其中所述沉积循环在所述衬底上形成至多含Mo或W材料的单层。
41.根据权利要求28所述的方法,其中所述沉积循环在所述衬底上形成小于或等于含Mo或W材料的单层的约50%。
42.根据权利要求28所述的方法,其中所述沉积循环在所述衬底上形成小于或等于含Mo或W材料的单层的约25%。
43.根据权利要求28所述的方法,其中所述沉积循环在所述衬底上形成小于或等于含Mo或W材料的单层的约10%。
44.根据权利要求28所述的方法,其进一步包含在所述衬底上形成含Mo或W材料前使所述衬底进行预处理工艺。
45.根据权利要求28所述的方法,其中所述第二气相硫族前体包含等离子体。
46.根据权利要求45所述的方法,其中所述等离子体由含有-S-H键的化合物形成。
CN201680029962.7A 2015-05-27 2016-05-24 用于含钼或钨薄膜的ald的前体的合成和用途 Active CN107923039B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110641469.3A CN113652672B (zh) 2015-05-27 2016-05-24 用于含钼或钨薄膜的ald的前体的合成和用途

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562167220P 2015-05-27 2015-05-27
US62/167,220 2015-05-27
US201562181126P 2015-06-17 2015-06-17
US62/181,126 2015-06-17
PCT/US2016/033955 WO2016191432A1 (en) 2015-05-27 2016-05-24 Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110641469.3A Division CN113652672B (zh) 2015-05-27 2016-05-24 用于含钼或钨薄膜的ald的前体的合成和用途

Publications (2)

Publication Number Publication Date
CN107923039A true CN107923039A (zh) 2018-04-17
CN107923039B CN107923039B (zh) 2021-06-29

Family

ID=57394282

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680029962.7A Active CN107923039B (zh) 2015-05-27 2016-05-24 用于含钼或钨薄膜的ald的前体的合成和用途
CN202110641469.3A Active CN113652672B (zh) 2015-05-27 2016-05-24 用于含钼或钨薄膜的ald的前体的合成和用途

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110641469.3A Active CN113652672B (zh) 2015-05-27 2016-05-24 用于含钼或钨薄膜的ald的前体的合成和用途

Country Status (6)

Country Link
US (3) US11047042B2 (zh)
JP (4) JP6929790B2 (zh)
KR (3) KR102344660B1 (zh)
CN (2) CN107923039B (zh)
TW (2) TWI718915B (zh)
WO (1) WO2016191432A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109182996A (zh) * 2018-11-05 2019-01-11 中国兵器工业第五九研究所 钨合金涂层制备设备及方法
CN110863189A (zh) * 2019-11-11 2020-03-06 中国科学院上海技术物理研究所 一种脉冲式注入反应物生长单层碲化物掺杂结构的方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6929790B2 (ja) * 2015-05-27 2021-09-01 エーエスエム アイピー ホールディング ビー.ブイ. モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10619242B2 (en) * 2016-12-02 2020-04-14 Asm Ip Holding B.V. Atomic layer deposition of rhenium containing thin films
US10662074B2 (en) 2016-12-30 2020-05-26 Nanoco Technologies Ltd. Template-assisted synthesis of 2D nanosheets using nanoparticle templates
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102184699B1 (ko) * 2017-12-13 2020-12-01 한양대학교 에리카산학협력단 전이금속-디칼코게나이드 박막, 및 그 제조 방법
US20210079519A1 (en) * 2018-02-03 2021-03-18 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material
TWI751406B (zh) * 2018-03-06 2022-01-01 美商應用材料股份有限公司 形成金屬硫系化物柱體之方法
US11393681B2 (en) 2018-03-07 2022-07-19 Uchicago Argonne, Llc Methods to deposit and etch controlled thin layers of transition metal dichalcogenides
US11447862B2 (en) * 2018-03-07 2022-09-20 Uchicago Argonne, Llc Methods to deposit controlled thin layers of transition metal dichalcogenides
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR102156981B1 (ko) * 2018-11-28 2020-09-16 충북대학교 산학협력단 전이금속 디칼코게나이드 박막의 제조 방법
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11142824B2 (en) 2019-04-23 2021-10-12 Uchicago Argonne, Llc Method of producing thin layer of large area transition metal dichalcogenides MoS2 and others
US11424454B2 (en) * 2019-06-16 2022-08-23 Applied Materials, Inc. Protection interfaces for Li-ion battery anodes
KR20210009160A (ko) 2019-07-16 2021-01-26 삼성전자주식회사 전이금속 칼코겐 화합물 박막의 형성방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積
KR20210119809A (ko) 2020-03-25 2021-10-06 삼성전자주식회사 몰리브덴 화합물과 이를 이용한 집적회로 소자의 제조 방법
KR20210154739A (ko) 2020-06-11 2021-12-21 에이에스엠 아이피 홀딩 비.브이. 전이금속 디칼코지나이드 박막의 원자층 증착 및 식각
TW202204662A (zh) * 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11626284B2 (en) * 2020-10-02 2023-04-11 Applied Materials, Inc. Method of forming a 2-dimensional channel material, using ion implantation
KR102589396B1 (ko) 2020-12-01 2023-10-13 고려대학교 산학협력단 전이금속 이황화물 박막의 제조방법, 이를 이용하는 유기발광다이오드 소자 제조방법 및 이에 의해 제조된 유기발광다이오드 소자
TW202231903A (zh) * 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11869806B2 (en) * 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts
CN115448954B (zh) * 2022-10-11 2024-05-03 中山大学 一种ald前驱体钼配合物及其制备方法
KR20240063021A (ko) * 2022-10-31 2024-05-09 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 박막의 증착 방법

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6126996A (en) * 1990-07-06 2000-10-03 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US6258157B1 (en) * 1997-04-17 2001-07-10 President And Fellows Of Harvard College Liquid precursors for formation of metal oxides
WO2002018394A1 (en) * 2000-08-28 2002-03-07 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US20030008072A1 (en) * 2001-06-14 2003-01-09 Lee Jung-Hyun Atomic layer deposition using organometallic complex with beta-diketone ligand
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US20080102205A1 (en) * 2006-10-27 2008-05-01 Barry Sean T ALD of metal-containing films using cyclopentadienyl compounds
EP1983073A1 (en) * 2007-04-16 2008-10-22 Air Products and Chemicals, Inc. Metal precursor solutions for chemical vapor deposition
CN101343732A (zh) * 2007-04-16 2009-01-14 气体产品与化学公司 用于化学气相沉积的金属前体溶液
CN101440477A (zh) * 2007-07-13 2009-05-27 罗门哈斯电子材料有限公司 前体组合物和方法
CN101500989A (zh) * 2006-06-28 2009-08-05 哈佛学院院长等 四脒基金属(iv)化合物及其在气相沉积中的用途
CN101982562A (zh) * 2006-06-02 2011-03-02 乔治洛德方法研究和开发液化空气有限公司 形成介电膜的方法、新型前体及其在半导体制造中的用途
WO2015016412A1 (ko) * 2013-07-31 2015-02-05 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
US20150211112A1 (en) * 2014-01-24 2015-07-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of forming by ALD a thin film of formula MYx
US20160122868A1 (en) * 2014-11-04 2016-05-05 Industry-Academic Cooperation Foundation, Yonsei University Method for synthesis of transition metal chalcogenide

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3429903A (en) * 1968-03-12 1969-02-25 American Metal Climax Inc Method of preparing molybdenum (iii) acetylacetonate
US3784631A (en) 1972-03-09 1974-01-08 Goodyear Tire & Rubber Dimerization or codimerization of alpha-olefins
US5028724A (en) * 1990-03-30 1991-07-02 Air Products And Chemicals, Inc. Synthesis of volatile fluorinated and non-fluorinated metal-beta-ketonate and metal-beta-ketoiminato complexes
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US7323581B1 (en) * 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7034169B1 (en) * 2004-12-30 2006-04-25 Air Products And Chemicals, Inc. Volatile metal β-ketoiminate complexes
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
CN101117308A (zh) 2006-08-04 2008-02-06 浙江医药股份有限公司新昌制药厂 乙酰丙酮酸钼的制备方法
JP5658463B2 (ja) 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
WO2010114386A1 (en) * 2009-03-30 2010-10-07 Universitetet I Oslo Thin films containing molybdenum oxide
WO2011095849A1 (en) 2010-02-03 2011-08-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
JP5839830B2 (ja) 2010-04-28 2016-01-06 昭和電工株式会社 有機金属錯体化合物、有機金属錯体化合物の製造方法および有機金属錯体化合物を含む光硬化性組成物
EP2609102B1 (en) * 2010-08-27 2014-12-31 Sigma-Aldrich Co. LLC Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
JP2012099594A (ja) 2010-11-01 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置
JP2012209413A (ja) 2011-03-29 2012-10-25 Tdk Corp 太陽電池用金属プリカーサー形成材、太陽電池用金属プリカーサーの製造方法およびib−iiia−via族系化合物太陽電池の製造方法
JP5730670B2 (ja) * 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
KR101464173B1 (ko) 2013-07-23 2014-11-21 영남대학교 산학협력단 전이금속 칼코겐화합물 박막 형성 방법
WO2015056944A1 (ko) 2013-10-14 2015-04-23 한국화학연구원 몰리브데넘 화합물 또는 텅스텐 화합물, 이의 제조 방법 및 이를 이용하여 박막을 형성하는 방법
JP6437324B2 (ja) 2014-03-25 2018-12-12 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
CN104561937B (zh) 2015-01-05 2017-08-15 上海纳米技术及应用国家工程研究中心有限公司 原子层沉积制备具有固体润滑作用的ws2薄膜方法
JP6929790B2 (ja) * 2015-05-27 2021-09-01 エーエスエム アイピー ホールディング ビー.ブイ. モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
US10358407B2 (en) * 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6126996A (en) * 1990-07-06 2000-10-03 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US6258157B1 (en) * 1997-04-17 2001-07-10 President And Fellows Of Harvard College Liquid precursors for formation of metal oxides
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
WO2002018394A1 (en) * 2000-08-28 2002-03-07 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US20030008072A1 (en) * 2001-06-14 2003-01-09 Lee Jung-Hyun Atomic layer deposition using organometallic complex with beta-diketone ligand
CN101982562A (zh) * 2006-06-02 2011-03-02 乔治洛德方法研究和开发液化空气有限公司 形成介电膜的方法、新型前体及其在半导体制造中的用途
CN101500989A (zh) * 2006-06-28 2009-08-05 哈佛学院院长等 四脒基金属(iv)化合物及其在气相沉积中的用途
US20080102205A1 (en) * 2006-10-27 2008-05-01 Barry Sean T ALD of metal-containing films using cyclopentadienyl compounds
CN101343732A (zh) * 2007-04-16 2009-01-14 气体产品与化学公司 用于化学气相沉积的金属前体溶液
EP1983073A1 (en) * 2007-04-16 2008-10-22 Air Products and Chemicals, Inc. Metal precursor solutions for chemical vapor deposition
CN101440477A (zh) * 2007-07-13 2009-05-27 罗门哈斯电子材料有限公司 前体组合物和方法
WO2015016412A1 (ko) * 2013-07-31 2015-02-05 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
US20150211112A1 (en) * 2014-01-24 2015-07-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of forming by ALD a thin film of formula MYx
US20160122868A1 (en) * 2014-11-04 2016-05-05 Industry-Academic Cooperation Foundation, Yonsei University Method for synthesis of transition metal chalcogenide

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109182996A (zh) * 2018-11-05 2019-01-11 中国兵器工业第五九研究所 钨合金涂层制备设备及方法
CN110863189A (zh) * 2019-11-11 2020-03-06 中国科学院上海技术物理研究所 一种脉冲式注入反应物生长单层碲化物掺杂结构的方法

Also Published As

Publication number Publication date
JP2023089105A (ja) 2023-06-27
JP2021191754A (ja) 2021-12-16
JP7262646B2 (ja) 2023-04-21
JP6929790B2 (ja) 2021-09-01
KR20210129230A (ko) 2021-10-27
CN107923039B (zh) 2021-06-29
US20180127873A1 (en) 2018-05-10
CN113652672A (zh) 2021-11-16
WO2016191432A1 (en) 2016-12-01
JP7062819B2 (ja) 2022-05-06
KR20180012268A (ko) 2018-02-05
US11047042B2 (en) 2021-06-29
TW201641733A (zh) 2016-12-01
US20230227977A1 (en) 2023-07-20
US11624112B2 (en) 2023-04-11
TWI693294B (zh) 2020-05-11
KR102430540B1 (ko) 2022-08-08
TWI718915B (zh) 2021-02-11
KR102314722B1 (ko) 2021-10-20
TW202028508A (zh) 2020-08-01
JP2018515692A (ja) 2018-06-14
US20210269915A1 (en) 2021-09-02
JP2022101619A (ja) 2022-07-06
KR102344660B1 (ko) 2021-12-29
CN113652672B (zh) 2023-12-22
KR20220000924A (ko) 2022-01-04

Similar Documents

Publication Publication Date Title
CN107923039A (zh) 用于含钼或钨薄膜的ald的前体的合成和用途
JP5731519B2 (ja) Va族元素を含む薄膜のaldのための前駆体の合成及び使用
US11667595B2 (en) Synthesis and use of precursors for vapor deposition of tungsten containing thin films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant