JP2018515692A - モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法 - Google Patents

モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法 Download PDF

Info

Publication number
JP2018515692A
JP2018515692A JP2017559384A JP2017559384A JP2018515692A JP 2018515692 A JP2018515692 A JP 2018515692A JP 2017559384 A JP2017559384 A JP 2017559384A JP 2017559384 A JP2017559384 A JP 2017559384A JP 2018515692 A JP2018515692 A JP 2018515692A
Authority
JP
Japan
Prior art keywords
precursor
substrate
contacting
chalcogen
thin film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017559384A
Other languages
English (en)
Other versions
JP6929790B2 (ja
Inventor
ティーナ サルネット
ティーナ サルネット
ティモ ハタンパー
ティモ ハタンパー
ミッコ リタラ
ミッコ リタラ
マルック レスケラ
マルック レスケラ
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アイピー ホールディング ビー.ブイ., エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2018515692A publication Critical patent/JP2018515692A/ja
Application granted granted Critical
Publication of JP6929790B2 publication Critical patent/JP6929790B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G39/00Compounds of molybdenum
    • C01G39/06Sulfides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/03Particle morphology depicted by an image obtained by SEM
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N30/00Investigating or analysing materials by separation into components using adsorption, absorption or similar phenomena or using ion-exchange, e.g. chromatography or field flow fractionation
    • G01N30/02Column chromatography
    • G01N30/62Detectors specially adapted therefor
    • G01N30/72Mass spectrometers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

Mo及びW含有薄膜、例えば、MoS2、WS2、MoSe2、及びWSe2薄膜等を形成するプロセスが提供される。Mo又はWβ−ジケトネート前駆体を合成する方法も提供される。更に、Mo又はW含有2D材料を形成する方法が提供される。【選択図】図1

Description

関連出願の相互参照
本出願は、米国特許法第119条に基づき、2015年5月27日に出願された米国仮特許出願第62/167,220号及び2015年6月17日に出願された米国仮特許出願第62/167,220号の優先権を主張し、当該出願の全内容は引用することによりここに組み込まれる。
共同研究協約の当事者
本願で特許請求される発明は、ヘルシンキ大学(the University of Helsinki)とASM Microchemistry Oyとの間の共同研究協約によって、又は共同研究協約のために、及び/又は共同研究協約に関連してなされた。当協約は、特許請求される発明がなされた日及びその日以前に発効しており、特許請求される発明は、当協約の範囲内で取り組まれた活動の結果としてなされたものである。
本出願は、概ね、原子層成膜によってモリブデン又はタングステンを含む薄膜を形成するための前駆体及び方法に関する。このような膜は、例えば、電子デバイスにおける二次元(2D)材料としての用途を見出すことができる。
関連技術
モリブデンを含むあらゆる種類の薄膜の原子層堆積(ALD)の従来のプロセスは、精選した少数の既知のMoCl、Mo(CO)等のモリブデン前駆体、並びにMo(NBu)(NMe及びMo(NBu)(NEt等のアルキルアミン前駆体に制限されていた。最近報告されたMoS薄膜の成膜のための前駆体の組み合わせには、Mo(CO)とHS、Mo(CO)とMeSSMe、及びMoClとHSが含まれる。しかし、これらの従来のモリブデン前駆体は、取り扱いが困難であることが判明する可能性がある。例えば、Mo(CO)は、モリブデンを含有する結晶薄膜を成膜するには低すぎるであろう狭い成膜温度範囲を有する猛毒物質である。一方、MoClは、成功裏にMoS膜を成膜するように、追加の滞留時間が必要と思われる。
いくつかのMoアルキルアミン前駆体は、+VIの酸化状態を有するMoを含有することができ、モリブデンを含有するあらゆる種類の薄膜の成膜中に問題を引き起こし得る。Moがより好ましい+IVの酸化状態を有するMoアルキルアミン前駆体は、一般的に不安定であり、使用が困難である。更に、Moアルキルアミン前駆体は、比較的温度に敏感であり、低温で分解してしまう。結晶膜の成長を促進するのには比較的高い温度が通常必要であるので、これはMoアルキルアミン前駆体の分解を引き起こす可能性がある。この分解は、モリブデンを含有するあらゆる種類の薄膜の結晶化を遅らせ、又は妨げる可能性さえある炭素等の不純物を生成する可能性がある。
モリブデン(III)β−ジケトネートは、化学蒸着(CVD)プロセスを用いてMo含有薄膜を成膜させるのに利用されてきたが、ALDタイプのプロセスでの使用については広範囲には研究されていない。以前に開示されたモリブデン(III)β−ジケトネートの合成プロセスは、Mo(CO)、KMoCl、及び(NH[MoCl(HO)]を使用する。これらの化合物のそれぞれは重大な欠点を有しており、取り扱いが困難であることが判明する可能性がある。例えば、上述のように、Mo(CO)は猛毒で揮発性が高く、使用される手順の困難が増す。KMoClの実験室合成は困難であり、電気化学的又は高温のプロセスを必要とする。
遷移金属ジカルコゲナイド材料、特にMo及びWジカルコゲナイド等の2D遷移金属ジカルコゲナイド材料は、様々な用途の望ましい電気特性を有する。更に、別の二次元材料であるグラフェンとは異なり、いくつかの二次元遷移金属ジカルコゲナイドは、直接的なバンドギャップを有し、半導体性である。したがって、デバイスの小型化への応用のために、Mo及びWジカルコゲナイド等の二次元遷移金属ジカルコゲナイドが検討されている。
いくつかの態様では、Mo又はW含有薄膜を形成するプロセスが提供される。いくつかの実施形態では、Mo又はW含有薄膜が、反応チャンバー内の基材上に、少なくとも1つのサイクルを含むプロセスで形成される。このサイクルは、最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、基材を気相Mo又はW前駆体と接触させることと、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することと、基材を気相カルコゲン前駆体と接触させることと、過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去することと、場合によっては所望の厚さのMo又はW含有薄膜が形成されるまで接触させる工程及び除去する工程を繰り返すことと、を含む。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IV以下であるが0ではない酸化状態を有する。いくつかの実施形態では、カルコゲン前駆体は、基材表面上のMo又はW前駆体と反応する。
いくつかの実施形態では、プロセスは原子層堆積(ALD)プロセスである。いくつかの実施形態では、プロセスは2つ以上の連続サイクルを含む。いくつかの実施形態では、Mo又はW含有薄膜は、Mo又はW硫化物、セレン化物、又はテルル化物薄膜である。いくつかの実施形態では、Mo又はW前駆体中のMo又はWの酸化状態は+IIIである。いくつかの実施形態では、カルコゲン前駆体は、HS、HSe、HTe、(CHS、(CHSe、又は(CHTSeを含む。
いくつかの態様では、Mo若しくはW硫化物、セレン化物、又はテルル化物薄膜を形成する原子層堆積(ALD)プロセスが提供される。いくつかの実施形態によれば、Mo若しくはW硫化物、セレン化物又はテルル化物薄膜は、反応チャンバー内の基材上に、少なくとも1つのサイクルを含むALDプロセスで形成される。このサイクルは、最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、基材を気相Mo又はW前駆体と接触させることと、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することと、基材を気相カルコゲン前駆体と接触させることと、過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去することと、所望の厚さのMo又はW含有薄膜が形成されるまで接触させる工程及び除去する工程を繰り返すことと、を含む。いくつかの実施形態では、Mo又はW前駆体は、少なくとも1つの二座配位子を含み得る。いくつかの実施形態では、カルコゲン前駆体は、基材表面上のMo又はW前駆体と反応する。
いくつかの実施形態では、二座配位子は、O、S、又はN原子を介してMo又はW原子に結合する。いくつかの実施形態では、二座配位子は、2つのO原子を介してMo又はW原子に結合する。いくつかの実施形態では、二座配位子は、O原子及びN原子を介してMo又はW原子に結合する。いくつかの実施形態では、二座配位子は、2つのN原子を介してMo又はW原子に結合する。いくつかの実施形態では、二座配位子はβ−ジケトナト配位子である。いくつかの実施形態では、β−ジケトナト配位子は、アセチルアセトナト(acac)配位子である。いくつかの実施形態では、β−ジケトナト配位子は、2,2,6,6−テトラメチル−3,5−ヘプタンジオナト(thd)配位子である。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は3つの二座配位子を含む。
いくつかの態様では、Mo若しくはW硫化物、セレン化物、又はテルル化物2D材料を形成する原子層堆積(ALD)プロセスが提供される。いくつかの実施形態によれば、Mo若しくはW硫化物、セレン化物、又はテルル化物2D材料は、反応チャンバー内の基材上に、少なくとも1つのサイクルを含むALDプロセスで形成される。このサイクルは、最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、基材を気相Mo又はW前駆体と接触させることと、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することと、基材を気相硫黄、セレン又はテルル前駆体と接触させることと、過剰な硫黄、テルル又はセレン前駆体及び反応副産物がある場合には、それを除去することと、を含む。いくつかの実施形態では、Mo又はW前駆体は、Mo又はWβ−ジケトネート前駆体である。いくつかの実施形態では、硫黄、セレン又はテルル前駆体は、基材表面上のMo又はW前駆体と反応する。
いくつかの態様では、Mo若しくはW硫化物、セレン化物、又はテルル化物2D材料を形成するプロセスが提供される。いくつかの実施形態によれば、Mo若しくはW硫化物、セレン化物、又はテルル化物2D材料は、反応チャンバー内の基材上に、少なくとも1つのサイクルを含むサイクルプロセスで形成される。このサイクルは、最大でMo又はW含有材料の単層、好ましくは単層の約50%以下、好ましくは単層の約25%以下、より好ましくは単層の約10%未満が基材表面上に形成されるように、基材を気相Mo又はW前駆体と接触させることと、基材をパージガスに曝すことと、及び/又は過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することと、基材を気相硫黄、セレン又はテルル前駆体と接触させることと、基材をパージガスに曝すことと、及び/又は過剰な硫黄、テルル又はセレン前駆体及び反応副生成物がある場合には、それを除去することと、を含む。いくつかの実施形態では、Mo又はW前駆体は、Mo又はWβ−ジケトネート前駆体である。いくつかの実施形態では、硫黄、セレン又はテルル前駆体は、基材表面上に成膜されたMo又はW含有材料と反応する。
いくつかの実施形態では、Mo又はW含有薄膜は、Mo又はW硫化物、セレン化物、又はテルル化物薄膜である。いくつかの実施形態では、Mo又はW前駆体を含むMo又はW原子の酸化状態は+IIIである。いくつかの実施形態では、カルコゲン前駆体は、HS、HSe、HTe、(CHS、(CHSe、又は(CHTeを含む。いくつかの実施形態では、Mo又はW前駆体はMo(thd)であり、カルコゲン前駆体はHSである。いくつかの実施形態では、Mo又はW前駆体はW(thd)であり、カルコゲン前駆体はHSである。いくつかの実施形態では、2D材料はMoSを含む。
いくつかの態様では、Mo又はWβ−ジケトネート前駆体を作製する方法が提供される。いくつかの実施形態によれば、Mo又はWβ−ジケトネート前駆体は、式MX(R)n、(式中、nは0〜4の数であり、MはMo又はWであり、Xはハロゲン化物であり、Rは溶媒である)を有する第1の反応物質を提供することと、アルカリ金属化合物をβ−ジケトナト化合物と反応させることにより第1の生成物を形成することと、続いて第1の生成物を第1の反応物質に加えることと、により形成される。いくつかの実施形態では、式ML、(式中、MはMo又はWであり、Lはβ−ジケトナト配位子である)を有するMo又はWβ−ジケトネート前駆体が形成される。
いくつかの実施形態では、第1の反応物質を提供することは、Mo又はWハロゲン化物を還元剤で還元することにより第1の中間生成物を形成することと、続いて溶媒を第1の生成物に添加することにより第2の中間生成物を形成することと、それにより第1の反応物質を形成することと、を更に含み得る。いくつかの実施形態では、Mo又はWハロゲン化物はMoClであり、β−ジケトン化合物はHthdであり、形成されたMo又はWβ−ジケトネート前駆体はMo(thd)である。
いくつかの態様では、Mo又はWβ−ジケトネート化合物を形成する方法が提供される。いくつかの実施形態によれば、Mo又はWβ−ジケトネート化合物は、式MX(R)n、(式中、nは0〜4の数であり、MはMo又はWであり、Xはハロゲン化物であり、Rは溶媒である)を有する第1の反応物質を提供することと、アルカリ金属化合物をβ−ジケトナト化合物と反応させることにより第1の生成物を形成することと、続いて第1の生成物を第1の反応物質と反応させることと、により形成される。いくつかの実施形態では、式ML、(式中、Mは、+IIIの酸化状態を有するMo又はWであり、Lはβ−ジケトナト配位子である)を有するMo又はWβ−ジケトネート化合物が形成される。いくつかの実施形態では、Mo又はWβ−ジケトネート化合物中のMo又はWは、+IIIの酸化状態を有する。
いくつかの態様では、Mo又はW含有材料を形成するプロセスが提供される。いくつかの実施形態によれば、Mo又はW含有材料は、反応チャンバー内の基材上に、少なくとも1つの成膜サイクルを含むプロセスで形成され、このサイクルは、基材を気相Mo又はW前駆体及び第2の気相カルコゲン前駆体と交互にかつ連続して接触させることを含む。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IV以下であるが0ではない酸化状態を有する。
いくつかの実施形態では、成膜は2回以上繰り返される。いくつかの実施形態では、基材を気相Mo又はW前駆体と接触させた後に、及び基材を気相カルコゲン前駆体と接触させる前に、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する。いくつかの実施形態では、基材を気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去する。いくつかの実施形態では、基材をMo又はW気相前駆体と接触させた後に、及び基材を気相カルコゲン前駆体と接触させる前に、基材をパージガスと接触させる。いくつかの実施形態では、基材をカルコゲン気相前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、基材をパージガスと接触させる。いくつかの実施形態では、Mo又はW含有材料はMo又はW元素を含む。いくつかの実施形態では、Mo又はW含有材料はMo又はWの酸化物材料を含む。いくつかの実施形態では、Mo又はW含有材料はMo又はWの窒化物材料を含む。いくつかの実施形態では、Mo又はW含有材料はMo又はWのシリサイド材料を含む。
本発明は、発明を実施するための形態から、及び本発明を例示することを意図し、本発明を限定することを意図するものではない添付図面から、よりよく理解されるであろう。
Mo又はW含有薄膜を成膜する方法を一般的に例示するプロセスフロー図である。 金属β−ジケトネート前駆体を合成する方法を一般的に例示するプロセスフロー図である。 本明細書に記載の手順に従って合成されたMo(thd)の試料の質量スペクトルである。 単結晶X線回折により決定されたMo(thd)の分子構造を例示する。 Mo(acac)、Mo(hfac)、及びMo(thd)の熱重量曲線を例示する。 MoS薄膜の電界放出形走査電子顕微鏡(FESEM)画像である。 MoS薄膜の1サイクル当たりの成長速度対Mo(thd)及びHS前駆体のパルス長のグラフである。 膜厚対成膜サイクル数のグラフである。 様々なMo(thd)前駆体パルス長を用いて500℃で成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。 様々なHS前駆体パルス長を用いて500℃で成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。 500℃で10〜50成膜サイクルにより成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。 500℃で100〜2000成膜サイクルにより成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。 エネルギー分散型X線(EDX)分析により測定されたMo(thd)前駆体パルス長さを変化させて成膜されたMoS薄膜の組成のグラフである。 エネルギー分散型X線(EDX)分析により測定されたHS前駆体パルス長さを変化させて成膜されたMoS薄膜の組成のグラフである。 MoS膜厚対成膜サイクル数、及びMoとSの元素分率対成膜サイクル数を例示する。 様々なカルコゲン及びMo前駆体パルス長さで成膜されたMoS薄膜の微小角入射X線回折(GIXRD)パターンを示す。 MoS薄膜のラマンスペクトルである。 様々なカルコゲン及びMo前駆体パルス長さで成膜されたMoS薄膜のラマンスペクトルを例示する。 X線光電子分光法(XPS)により分析された2つのMoS薄膜の元素組成を例示する。 原子間力顕微鏡(AFM)を用いて分析されたMoS2薄膜の表面粗さを例示する。 MoS薄膜の成長速度、及びMoとSの元素比率対成膜温度を例示する。 350℃〜500℃で成膜されたMoS薄膜の一連の電界放出形走査電子顕微鏡(FESEM)画像である。 自然酸化物を含むシリコン基材上に成膜されたMoS薄膜の収差補正走査形トンネル電子顕微鏡(AC−STEM)画像である。
後述するように、Mo及びW含有薄膜を、原子層堆積(ALD)型プロセスにより基材上に成膜することができる。いくつかの実施形態では、Mo又はWカルコゲン化物薄膜、特にMo若しくはWの硫化物又はセレン化物薄膜を、ALDタイプのプロセスにより基材上に成膜することができる。ALDタイプのプロセスは、前駆体化学物質の制御された表面反応に基づいている。気相反応は、基材を前駆体と交互にかつ連続して接触させることにより回避される。気相の反応物質は、例えば、反応物質パルス間の反応チャンバーから過剰な反応物質及び/又は反応物質副生成物を除去することにより、基材表面上で互いに分離される。
適切な基材材料は、絶縁材料、誘電材料、結晶材料、エピタキシャル、ヘテロエピタキシャル、又は酸化物等の単結晶材料を含み得る。例えば、基材はΑ1、サファイア、酸化ケイ素、又はA1N等の絶縁窒化物を含み得る。更に、基材材料及び/又は基材表面は、当業者によって選択され、その上に二次元結晶成長を強化、増加、又は最大化することができる。いくつかの実施形態では、Mo及びW含有薄膜又は材料が成膜される基材表面は、Si、Ge、III−V化合物、例えばGaAs及びInGaAs、並びにII−VI化合物等の半導体材料を含まない。いくつかの実施形態では、Mo及びW含有薄膜又は材料が成膜される基材表面は、絶縁材料以外の材料も含み得る。いくつかの実施形態では、Mo又はW含有薄膜の成膜後、Mo及びW含有薄膜が、絶縁材料以外の材料を含む基材の少なくとも一部から除去される。いくつかの実施形態では、Mo及びW含有薄膜又は材料、好ましくはMo若しくはWカルコゲン化物薄膜又は材料が成膜される基材表面は、硫黄、セレン又はテルル等のカルコゲン、最も好ましくは硫黄を含む。いくつかの実施形態では、Mo及びW含有薄膜又は材料が成膜される基材表面は、カルコゲンを含む表面基、好ましくは−S−H基等のカルコゲン−水素結合を有する表面基を含む。
要約すると、基材は、一般的に減圧下で、適切な成膜温度に加熱される。成膜温度は、一般的に、反応物質の熱分解温度未満に維持されるが、反応物質の凝縮を回避し、所望の表面反応のための活性化エネルギーを提供するのに十分高いレベルで維持される。もちろん、任意の所定のALD反応の適切な温度ウィンドウは、表面終端及び含まれる反応物質種に依存する。ここで、温度は、成膜される膜のタイプ及び特定の前駆体に依存して変化するが、約650℃以下であることが好まく、より好ましくは約500℃未満である。温度ウィンドウは、好ましくは約250℃〜約600℃、より好ましくは約350℃〜約550℃、最も好ましくは約375℃〜約500℃である。場合によっては、反応温度は約250℃を超える、好ましくは約350℃を超える、最も好ましくは約375℃を超える。
いくつかの実施形態では、成膜温度は、反応物質の分解温度を上まわってもよいが、膜の合理的に表面制御された成長、及び成膜サイクル当たりで材料の約1単層以下の成長速度を維持するのに十分低くてもよい。いくつかの実施形態では、成膜サイクル成長速度は、1サイクル当たり成膜される材料の約1単層の約50%以下、好ましくは約25%未満、より好ましくは約10%未満であることができる。
いくつかの実施形態では、成膜プロセスは、純粋なALDプロセスではなくてもよい。いくつかの実施形態では、カルコゲン前駆体は、成膜プロセスの間、反応空間を通して連続的に又は実質的に連続的に流れ得る。例えば、基材が金属前駆体と接触している間、反応空間を通るカルコゲン前駆体の流量を減少させ得る。カルコゲン前駆体が連続的に流れ得るいくつかの実施形態では、金属前駆体のパルス当たりの膜の成長速度は、成膜される材料の約1の単層以下である。カルコゲン前駆体が連続的に流れるいくつかの実施形態では、金属前駆体のパルス当たりの成長速度は、積層される材料の単層の約50%以下、好ましくは約25%未満、より好ましくは約10%未満である。
いくつかの実施形態では、Mo及びW含有薄膜の成長速度は、約2Å/サイクル未満、約1.5Å/サイクル未満、約1Å/サイクル未満、又は約0.5Å/サイクル未満でさえある。いくつかの実施形態では、Mo及びW含有ジカルコゲナイド薄膜の成長速度は、約0.025Å/サイクル〜約0.5Å/サイクルであることができる。他の実施形態では、Mo及びW含有ジカルコゲナイド薄膜、例えばMoS薄膜、の成長速度は、約0.05Å/サイクル〜約0.3Å/サイクルである。
いくつかの実施形態では、基材表面に前処理プロセスを行うことができる。いくつかの実施形態では、前処理プロセスは、Mo又はW含有薄膜を成膜させる前に、その場で、又はその場以外で、基材を前処理反応物質に曝すことを含む。いくつかの実施形態では、前処理プロセスは、基材表面を以下の前処理反応物質、(NHS、HS、HCl、HBr、Cl、及びHFの少なくとも1つに曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、プラズマ、原子、又はラジカルに曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、カルコゲンを含むプラズマ、原子、又はラジカルに、例えば、硫黄、セレン若しくはテルル、好ましくは硫黄を含むプラズマ、原子、又はラジカル等に、曝すことを含み得る。いくつかの実施形態では、プラズマ、原子、又はラジカルはテルルを含み得る。いくつかの実施形態では、プラズマ、原子又はラジカルはセレンを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、その後の成膜プロセスに存在するカルコゲンを含むプラズマ、原子、又はラジカルに曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、カルコゲン−水素結合を含むカルコゲン化合物から形成されるプラズマ、原子、又はラジカル、例えば、HSから形成されるプラズマ、原子又はラジカル等に曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材表面を、少なくとも1つの前処理反応物質に約1秒〜約600秒間、好ましくは約1秒〜約60秒間曝すことを含み得る。前処理プロセスは、蒸気形態及び/又は液体形態の前処理反応物質を利用し得る。いくつかの実施形態では、前処理プロセスは、後続の成膜プロセスと同じ温度及び/又は圧力で行われ得る。いくつかの実施形態では、前処理プロセスは、前処理プロセスが後続の成膜プロセスで使用されるよりも長いパルス時間又は曝露時間を要することを除いて、後続の成膜プロセスに類似し得る。いくつかの実施形態では、前処理プロセスは、基材表面を、前処理反応物質に曝して、−S−H表面終端のような所望の表面終端を形成することを含み得る。いくつかの実施形態では、所望の表面終端、例えば−S−H表面終端を形成することは、Mo又はW含有薄膜又は材料の二次元成長を促進し得る。いくつかの実施形態では、前処理プロセスは、基材を、S、Se、及びTeを含まないプラズマ、原子、又はラジカル、例えば水素を含むプラズマ、原子、若しくはラジカル、例えばHから形成されるプラズマ、に曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材を、酸素プラズマ、酸素原子、又は酸素ラジカルに曝すことを含み得る。いくつかの実施形態では、前処理プロセスは、基材、例えばAlNを含む基材を、窒素プラズマ、窒素原子、又は窒素ラジカルに曝すことを含み得る。いくつかの実施形態では、Mo又はW含有薄膜又は材料の成膜の前に、基材表面を洗浄するために、前処理プロセスを用いることができる。
基材表面は、気相の第1の反応物質と接触する。いくつかの実施形態では、気相の第1の反応物質のパルスが、基材を含む反応空間に供給される。いくつかの実施形態では、基材は、気相の第1の反応物質を含む反応空間に移動される。好ましくは、第1反応物質の約1以下の単層が自己制御で基材表面上に吸着されるように条件を選択する。適切な接触時間は、特定の状況に基づいて当業者が容易に決定することができる。過剰な第1反応物質及び反応副生成物がある場合には、不活性ガスでパージすることにより、又は第1の反応物質の存在から基材を除去することにより、基材表面から除去される。
パージとは、真空ポンプを用いてチャンバーを排気することにより、及び/又は反応器内のガスをアルゴン若しくは窒素などの不活性ガスで置換することにより、気相前駆体物質及び/又は気相副生成物を基材表面から除去することを意味する。典型的なパージ時間は、約0.05〜20秒、より好ましくは約0.2〜10秒、更に好ましくは約0.5〜5秒である。しかし、非常に高いアスペクト比の構造若しくは複雑な表面形態を有する他の構造が必要な場合、又は高度な共形ステップカバレッジが必要な場合、又はバッチ反応器等の異なる反応器タイプが使用される場合等、必要に応じて他のパージ時間が利用され得る。
基材表面は、気相の第2の気体反応物質と接触する。いくつかの実施形態では、第2の気体反応物質のパルスが、基材を含む反応空間に供給される。気相の第2の気体反応物質は、反応チャンバーの入口から出口まで実質的に連続した流れで反応チャンバー内に供給され得る。いくつかの実施形態では、反応チャンバーからの出口流、例えばポンプラインは閉じられていない。いくつかの実施形態では、反応チャンバーからの出口流、例えば、反応チャンバーからポンプラインへの流れ、更にポンプの前のポンプラインを通る流れは、実質的に閉じられないが、制限され得る。いくつかの実施形態では、基材は、気相の第2の反応物質を含む反応空間に移動される。表面反応の過剰な第2の反応物質及び気体の副生成物がある場合には、これらは基材表面から除去される。いくつかの実施形態では、反応物質の滞留時間は存在しない。いくつかの実施形態では、気相の反応物質は反応空間内で静的ではなく、気相の反応物質は基材と接触している。気相の反応物質は、反応物質が基材に対して流れていない場合、又は反応物質が、開放された出口がない反応空間に1つの入口から流入している場合には、静的であることができる。
接触させる工程及び除去する工程は、各サイクルが約単分子層以下に堆積しながら所望の厚さの薄膜が基材上に選択的に形成されるまで繰り返される。第1の気相Mo又はW前駆体を接触させる工程及び除去する工程を、第1の前駆体相、Mo若しくはW前駆体相、又はMo若しくはW相と呼ぶことができる。第2の気相前駆体を接触させる工程及び除去する工程を、第2の前駆体相、カルコゲン前駆体相、又はカルコゲン相と呼ぶことができる。全体として、これらの2つの相は、成膜サイクルを構成することができる。基材表面を他の反応物質と交互にかつ連続して接触させることを含む追加の相を加えて、より複雑な材料、例えば三元材料を形成することができる。
上述したように、各サイクルの各段階は自己制御することが好ましい。反応を受ける構造表面を飽和させるために、過剰の反応物質前駆体が各段階において供給される。表面飽和は、(例えば、物理的サイズ又は「立体障害」の制限にさらされている)すべての利用可能な反応性部位の反応物質の占有を確実にし、したがって優れたステップカバレージ及び均一性を確実にする。典型的には、各サイクルで材料の1分子層未満が成膜されるが、いくつかの実施形態では、1サイクル中に1層よりも多い分子層が成膜される。
過剰な反応物質の除去は、反応空間の内容物の一部を排気すること、及び/又は反応空間をヘリウム、窒素又は他の不活性ガスでパージすることを含み得る。いくつかの実施形態では、パージすることは、不活性キャリアガスを反応空間に流し続けながら、反応性ガスの流れを止めることを含み得る。
ALDタイプのプロセスで使用される前駆体は、前駆体が基材表面と接触する前に気相であれば、標準的な条件(室温及び大気圧)下で固体、液体又は気体の材料であってもよい。基材表面を気化した前駆体と接触させることは、前駆体蒸気が所定の時間、基材表面と接触することを意味する。典型的には、接触時間は約0.05〜20秒、より好ましくは約0.2〜10秒、更により好ましくは約0.5〜5秒である。いくつかの実施形態では、気相の第2の気体接触時間は、気相の第1の気体反応物質接触時間と同じオーダーの長さが好ましい。いくつかの実施形態では、気相の第2の気体接触時間は、気相の第1の気体反応物質接触時間よりも約100倍以下長いことが好ましい。
しかし、基材のタイプ及びその表面積によって、接触時間は20秒よりも更に長くてもよい。接触時間は、場合によっては数分のオーダーでよい場合がある。当業者は、特定の状況に基づいて最適な接触時間を決定し得る。いくつかの実施形態では、カルコゲン前駆体の接触時間は、約60秒未満、好ましくは約30秒未満、より好ましくは約10秒未満、最も好ましくは約5秒未満である。
当業者は、前駆体の質量流量を決定することもできる。いくつかの実施形態では、Mo又はW前駆体の流量は、好ましくは約1〜1000sccm、より好ましくは約100〜500sccmである。
反応チャンバー内の圧力は、典型的には約0.01〜約50ミリバール、より好ましくは約0.1〜約10ミリバールである。特定の状況が与えられれば、当業者によって決定され得るが、しかし、場合によっては圧力はこの範囲よりも高い又は低いであろう。
膜の成膜を開始する前に、基材は典型的には適切な成長温度に加熱される。成長温度は、形成される薄膜の種類、前駆体の物理的特性等により異なる。成長温度は、好ましくは約650℃以下であり、より好ましくは約500℃以下である。成長温度ウィンドウは、好ましくは約250℃〜約600℃であり、より好ましくは約350℃〜約550℃であり、最も好ましくは約375℃〜約500℃である。場合によっては、成長温度は約250℃より高く、好ましくは約350℃より高く、最も好ましくは約375℃より高い。成長温度は、アモルファス薄膜が形成されるように、成膜材料の結晶化温度未満であることができ、又は、結晶薄膜が形成されるように結晶化温度を超えることができる。好ましい成膜温度は、限定するものではないが、反応物質前駆体、圧力、流速、反応器の配置、成膜された薄膜の結晶化温度、及び成膜される材料の性質を含む基材の組成等の多くの因子により変化し得る。当業者は、特定の成長温度を選択することができる。サーマルバジェット、即ち、成膜中、及び本発明の膜の成膜後の更なるプロセスにおける任意の時点の、反応温度及び場合によってはアニール温度は、好ましくは約800℃未満、より好ましくは約650℃未満、最も好ましくは約600℃未満、場合によっては約500℃未満であることに留意されたい。
いくつかの実施形態では、成膜されたMo又はW含有薄膜は、任意の成膜後処理プロセスを受けることができる。いくつかの実施形態では、例えば、成膜後処理プロセスは、アニールプロセス、例えばフォーミングガスアニールプロセスを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料表面をプラズマに曝すことを含み得る。いくつかの他の実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料表面をプラズマに曝すことを含まない。
いくつかの実施形態では、成膜後処理プロセスは、成膜されたMo又はW含有薄膜又は、材料を成膜後処理反応物質に、その場で又はその場以外のいずれかで曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料表面を、以下の成膜後処理反応物質:(NHS又はHSの少なくとも1つに曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、カルコゲンを含むプラズマ、例えば硫黄を含むプラズマ等に曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、カルコゲン−水素結合を含むカルコゲン化合物から形成されたプラズマ、例えばHSから形成されたプラズマ等に曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、カルコゲンを含むプラズマ、例えば硫黄を含むプラズマ等に曝すことを含み得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、少なくとも1つの成膜後処理反応物質に約1秒〜約600秒間、好ましくは約1秒〜約60秒間曝すことを含み得る。成膜後処理プロセスは、蒸気形態及び/又は液体形態で成膜後処理反応物質を利用し得る。いくつかの実施形態では、成膜後処理プロセスは、後続の成膜プロセスとほぼ同じ温度及び/又は圧力で行われ得る。いくつかの実施形態では、成膜後処理プロセスは、前の成膜プロセスで使用されるよりも長いパルス時間又は曝露時間を必要とすることを除いて、前の成膜プロセスに類似し得る。いくつかの実施形態では、成膜後処理プロセスは、Mo又はW含有薄膜又は材料を、水素を含むプラズマ、原子、又はラジカル、例えばHから形成されたプラズマ等に曝すことを含む。
使用され得る適切な反応器の例としては、市販のALD装置、例えば、アリゾナ州フェニックス ASM America, Inc.、日本東京都 ASM Japan KK、及びオランダAlmere ASM Europe B.V. から市販されている、F−120(登録商標)反応器、Eagle(登録商標)XP8、Pulsar(登録商標)反応器、及びAdvance(登録商標)400 Series反応器が挙げられる。これらのALD反応器に加えて、適切な装置を備えたCVD反応器及び前駆体をパルスするための手段を含む、薄膜のALD成長が可能な多くの他の種類の反応器を使用することができる。いくつかの実施形態では、フロー型ALD反応器が使用される。好ましくは、反応物質は、前駆体のための共有ラインが最小化されるように、反応チャンバーに到達するまで別々に保持される。しかし、2004年8月30日に出願された米国特許出願第10/929,348号及び2001年4月16日に出願された同第09/836,674号に記載されているような前反応チャンバーの使用等の他の構成も可能であり、これらの開示は参照により本明細書に組み込まれる。
いくつかの実施形態では、適切な反応器はバッチ式反応器であってもよく、約25より多い基材、約50より多い基材又は約100より多い基材を含み得る。いくつかの実施形態では、適切な反応器はミニバッチ式反応器であってもよく、約2〜約20の基材、約3〜約15の基材又は約4〜約10の基材を含み得る。
成長プロセスを、クラスタツールに接続された反応器又は反応空間で任意に実施することができる。クラスタツールでは、各反応空間が1つのタイプのプロセス専用であるため、各モジュール内の反応空間の温度を一定に保つことができ、各運転の前に基材をプロセス温度まで加熱する反応器と比較してスループットが向上する。
独立型反応器にはロードロックが装備されている。その場合、各運転と運転との間に反応空間を冷却する必要はない。
好ましい実施形態によれば、図1に示すように、Mo又はW含有薄膜は、少なくとも1つの成膜サイクル10を含むALDタイプのプロセスにより基材上に形成され、成膜サイクルは、
工程12において、基材表面を、気化したMo又はW前駆体と接触させて、基材上にMo又はW前駆体の最大で単分子層を形成することと、
工程13において、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを表面から除去することと、
工程14において、基材表面を気化したカルコゲン前駆体と接触させることと、
工程15において、過剰なカルコゲン前駆体及びMo又はW前駆体層とカルコゲン前駆体との間の反応で形成された任意の気体の副生成物を、表面から除去することと、を含む。
所望の厚さのMo又はW含有薄膜が形成されるまで、接触させる工程及び除去する工程16を繰り返すことができる。
例示の成膜サイクルは、基材表面をMo又はW前駆体と接触させることから始まるが、他の実施形態では、成膜サイクルは、基材表面をカルコゲン前駆体と接触させることから始まる。基材表面が第1の前駆体と接触し、前駆体が反応しない場合には、次の前駆体が提供されるときにプロセスが始まることは、当業者には理解されるであろう。いくつかの実施形態では、窒素又はアルゴン等の不活性キャリアガスを流し続けながら、Mo又はW前駆体の流れを停止することにより、反応物質及び反応副生成物を基材表面から除去することができる。
いくつかの実施形態では、不活性キャリアガスを流し続けながら、第2の反応物質の流れを停止することにより、反応物質及び反応副生成物を基材表面から除去することができる。いくつかの実施形態では、所望の順序で所望の時間、異なる反応物質が基材表面に交互にかつ連続して接触するように、基材は移動される。いくつかの実施形態では、除去する工程は行われない。いくつかの実施形態では、チャンバーの様々な部分から反応物質を除去しなくてもよい。いくつかの実施形態では、基材は、第1の前駆体を含むチャンバーの一部から、第2の前駆体を含むチャンバーの別の部分に移動される。いくつかの実施形態では、基材は、第1の反応チャンバーから第2の異なる反応チャンバーに移動される。
いくつかの実施形態では、成膜されたMo又はW含有膜は、ジカルコゲナイド薄膜を含み得る。いくつかの実施形態では、成膜された薄膜は、モリブデンジカルコゲナイド又はタングステンジカルコゲナイドを含み得る。いくつかの実施形態では、成膜された薄膜は、MoS、WS、MoSe、WSe、MoTe、又はWTeを含み得る。簡略化のために、これらのジカルコゲナイドは、その一般的な化学量論を有するように表されている。しかし、いかなるMo又はW含有膜又は材料の正確な化学量論は、関与する元素の酸化状態に基づいて変化するであろうことが理解されるであろう。したがって、他の化学量論が明白に考慮される。
本明細書において「ジカルコゲナイド」という用語が使用され、これらのジカルコゲナイドは、Mo又はW等の金属原子のS、Se又はTe等のカルコゲン原子に対する比が1:2の一般的な化学量論を有することが示されているが、膜の化学量論は変化し得る。例えば、金属原子のカルコゲン原子に対する比は、使用される分析技術及び/又はプロセス条件により変化し得る。いくつかの実施形態では、金属原子のカルコゲン原子に対する比は、約1:3〜約2:1、好ましくは約1:2.5〜約1:1、より好ましくは約1:2であることができる。いくつかの実施形態では、ジカルコゲナイド膜は、約20at%〜約50at%、好ましくは約25at%〜約40at%のMo又はWを含み得る。いくつかの実施形態では、ジカルコゲナイド膜は、約30at%〜約75at%、好ましくは約35at%〜約70at%のカルコゲン(S、Se又はTe)を含み得る。
いくつかの実施形態では、Mo又はW含有ジカルコゲナイド膜は、Mo、W及びカルコゲン以外の元素を、好ましくはMo、W及びカルコゲン以外の水素を含む元素を、合計で約35at%未満、より好ましくは、合計で約25at%未満含有し得る。いくつかの実施形態では、膜は、約20at%未満の炭素、好ましくは約15at%未満の炭素、及び最も好ましくは約10at%未満の炭素を含有し得る。いくつかの実施形態では、膜は、約15at%未満の水素、好ましくは約10at%未満の水素、及び最も好ましくは約5at%未満の水素を含有し得る。いくつかの実施形態では、膜は、約10at%未満の酸素、好ましくは約5at%未満の酸素、及び最も好ましくは約3at%未満の酸素を含有し得る。いくつかの実施形態では、膜は、Mo若しくはW、カルコゲン、水素、炭素又は酸素以外の元素を約10at%未満、好ましくは約5at%未満、及び最も好ましくは約3at%未満含有し得る。上記の元素を含有するMo又はW含有膜は、2D材料のような異なる用途にも適していることに留意されたい。
いくつかの実施形態では、成膜されたMo又はW含有膜は、簡略化のためにMo又はWを含むとして本明細書に記載されているが、Mo及びWの両方を含み得る。いくつかの実施形態では、成膜されたMo又はW含有膜は、Mo、W、カルコゲン(S、Te若しくはSe)、酸素、窒素又はシリコン以外の追加元素を含み得る。いくつかの実施形態では、成膜されたMo又はW含有膜は、ドーパントを含み得る。いくつかの実施形態では、成膜されたMo又はW含有膜は、カルコゲン(S、Te若しくはSe)、酸素、窒素又はシリコンの群の2つ以上の元素を含み得る。いくつかの実施形態では、成膜されたMo又はWカルコゲン化物含有膜は、カルコゲン(S、Te又はSe)の群の2つ以上の元素を含み得る。いくつかの実施形態では、本開示の薄膜は、任意の数の金属を含み得る。いくつかの実施形態によれば、Mo又はW含有膜は、2つ以上の金属を含み得る。いくつかの実施形態では、Mo又はW含有薄膜中へ追加の金属又は金属(複数)を組み込むために、1つ又は複数の堆積サイクルに追加の成膜相が加えられる。追加の金属相又は金属相(複数)は、第1の金属相の後でもよいし、若しくはカルコゲン相の後でもよく、又は両方の相の後でもよい。いくつかの実施形態では、2つ以上の異なる金属前駆体を、成膜サイクルの同じ金属相に同時に供給することができる。いくつかの実施形態では、異なる金属を含む金属前駆体を異なる成膜サイクルで使用し得る。例えば、第1の金属前駆体は、1つ又は複数の成膜サイクルで使用される唯一の金属前駆体であり、第2の異なる金属を含む第2の金属前駆体は、1つ又は複数の他の成膜サイクルで使用され得る。
再び図1を参照すると、いくつかの実施形態は、基材表面に適用される工程11における任意の前処理プロセスを含み得る。前処理プロセスは、1つ又は複数の工程を含み得る。前処理において、Mo又はW含有薄膜が成膜される基材表面は、1つ又は複数の前処理反応物質及び/又は温度若しくは圧力等の特定の条件に曝され得る。前処理は、基材表面を清浄化し、不純物を除去し、自然酸化物を除去し、及び所望の表面終端を与えることを含むいくつもの理由で使用され得る。いくつかの実施形態では、前処理は、基材表面を1つ又は複数の前処理反応物質、例えば、(NHS、HS、HCl、HBr、Cl、又はHFに曝すことを含む。いくつかの実施形態では、前処理プロセスは、次の成膜プロセスとほぼ同じ温度で行われる。
以下に記載するように、多くの異なる前駆体を使用して、Mo又はW含有薄膜を成膜することができる。好ましくは、Mo又はW前駆体はM(thd)の式を有し、式中、MはMo又はWの1つであり、thdは2,2,6,6−テトラメチル−3,5−ヘプタンジオナトである。好ましくは、カルコゲン前駆体は、HS又はHSeのいずれかである。好ましい実施形態では、Mo又はW前駆体はMo(thd)であり、カルコゲン前駆体はHSであり、得られたMo又はW含有薄膜はMoS薄膜である。
いくつかの実施形態では、MoS薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成され、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo(thd)と接触させて基材上に最大でMo(thd)の単分子層を形成することと、
過剰なMo(thd)及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を気化したHSと接触させることと、
過剰なHS、及びMo(thd)層とHSとの間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
所望の厚さのMoS薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。
いくつかの実施形態では、MoSe薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成され、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo(thd)と接触させて基材上に最大でMo(thd)の単分子層を形成することと、
過剰なMo(thd)及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を気化したHSeと接触させることと、
過剰なHSe、及びMo(thd)層とHSeとの間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
所望の厚さのMoSe薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。
いくつかの実施形態では、WS薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成され、該少なくとも1つの成膜サイクルは、
基材表面を気化したW(thd)と接触させて基材上に最大でW(thd)の単分子層を形成することと、
過剰なW(thd)及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を気化したHSと接触させることと、
過剰なHS及びW(thd)層とHSとの間の反応で形成された気体の副生成物を表面から除去することと、を含む。
所望の厚さのWS薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。
いくつかの実施形態では、WSe薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成され、該少なくとも1つの成膜サイクルは、
基材表面を気化したW(thd)と接触させて基材上に最大でW(thd)の単分子層を形成することと、
過剰なW(thd)及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を気化したHSeと接触させることと、
過剰なHSe、及びW(thd)層とHSeとの間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
所望の厚さのWSe薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。
Mo又はW前駆体
以下の前駆体のいずれかを、本明細書に開示される様々なALDプロセスで使用することができる。いくつかの実施形態では、Mo又はW前駆体は金属有機化合物である。いくつかの実施形態では、Mo又はW前駆体は、少なくとも1つの多座配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、少なくとも1つの二座配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、3つの二座配位子を有し、他の配位子を有さない。いくつかの実施形態では、Mo又はW前駆体は、O、N又はS原子を介して、より好ましくは少なくとも1つのO原子を介して、Mo又はWに結合する少なくとも1つの多座配位子を有する。いくつかの実施形態では、Mo又はW前駆体は、O及びNの両原子を介して、Mo又はWに結合する少なくとも1つの多座配位子を有する。より好ましくは、β−ジケトネート化合物が使用される。いくつかの実施形態では、ケトイミネート化合物が使用される。いくつかの実施形態では、M(acac)、M(thd)、M(tfac)、M(bac)、M(hfac)、又はM(fod)化合物が使用され、式中、MはMo又はWであり、acacはアセチルアセトナト又は2,4−ペンタンジオナトであり、thdは2,2,6,6−テトラメチル−3,5−ヘプタンジオナトであり、tfacはトリフルオロアセチルアセトナト又は1,1,1−トリフルオロ−2,4−ペンタンジオナトであり、bacはベンゾイルアセトナト、CCOCHCOCH、又は1−フェニル−1,3−ブタンジオナトであり、hfacはヘキサフルオロアセチルアセトナト又は1,1,1,5,5,5−ヘキサフルオロ−2,4−ペンタンジオナトであり、fodは2,2−ジメチル−6,6,7,7,8,8,8−ヘプタフルオロオクタン−3,5−ジオナトである。いくつかの実施形態では、Mo又はW前駆体は金属ハロゲン化物を含まない。いくつかの実施形態では、Mo又はW前駆体は、2つ以上のMo又はW原子を有する。いくつかの実施形態では、Mo又はW前駆体は2つ以上のMo又はW原子を有し、2つ以上のMo又はW原子は互いに結合する。いくつかの実施形態では、有機金属Mo又はW前駆体は、任意の他の元素又は化合物に結合していない酸素に直接結合した少なくとも1つの配位した有機配位子及びMo又はWを有する。いくつかの実施形態では、Mo又はW前駆体は、O、N又はS原子を介して、好ましくは少なくとも1つのO原子を介して、Mo又はWに結合した少なくとも1つの配位子を有し得る。
いくつかの実施形態では、Mo又はW前駆体は、Mo又はWβ−ジケトネート化合物、Mo又はWシクロペンタジエニル化合物、Mo又はWカルボニル化合物及びそれらの組み合わせからなる群から選択され得る。いくつかの実施形態では、Mo又はW前駆体は1つ又は複数の金属ハロゲン化物を含まない。いくつかの実施形態では、Mo又はW前駆体は、Mo又はWへ直接結合する1つ又は複数の金属ハロゲン化物を含まない。いくつかの実施形態では、Mo又はW前駆体は、Mo又はWへ直接結合しない1つ又は複数のハロゲン配位子を含まない。好ましい実施形態では、Mo又はW前駆体はMo(thd)又はW(thd)である。いくつかの実施形態では、Mo又はW前駆体はカルボニル(CO)配位子を含まない。いくつかの実施形態では、Mo又はW前駆体は6個のカルボニル(CO)配位子を含まない。いくつかの実施形態では、Mo又はW前駆体は、1、2、3、4又は5個のカルボニル(CO)配位子を有する。いくつかの実施形態では、Mo又はW前駆体はβ−ジケトネートであり、Mo(thd)でもW(thd)でもない。
Moは、+VI、+V、+IV、+III、+II、+I、0、−I、及び−IIを含むいくつかの酸化状態を有する。Wは、+VI、+V、+IV、+III、+II、+I、0、−I、及び−IIを含むいくつかの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IIIの酸化状態を有し、例えばMoはMo(thd)中に+IIIの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、例えばCpMo(CO)Cl、MeMo(PMe、CpW(CO)Cl、及びMeW(PMe中に、+IIの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは+Iの酸化状態を有し、例えば、MoはMo(hfac)中に+Iの酸化状態を有する。いくつかの実施形態では、得られた薄膜中のMo又はWは、+IVの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体に由来するMo又はWは、得られた薄膜の形成中に酸化される。いくつかの実施形態では、Mo又はW前駆体中の、例えば、CpMoH、Mo(NMe、Mo(SBu)、及びMo(SCNMe、又はCpWH、W(NMe、W(SBu)、及びW(SCNMe等の中のMo又はWが+IVの酸化状態を有することが有益であることができる。
Mo又はW前駆体中のMo又はWの適切又は望ましい酸化状態は、特定の条件及び状況によることができ、ある特定の状況でのMo又はW前駆体中のMo又はWの最適な酸化状態は、当業者によって決定され得る。
いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IIIより高い酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IVの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+Iから+IIIの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IVから+VIまでの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+Vの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+VIの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IIの酸化状態を有さない。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、+IIIの酸化状態を有する。いくつかの実施形態では、Mo又はW前駆体中のMo又はWは、−IIの酸化状態を有さない。
したがって、いくつかの実施形態では、Mo又はW前駆体中のMo又はWは+Iから+IIIまでの酸化状態を含むことができ、得られる薄膜の形成中に酸化されることができると、Mo又はWは+IVの酸化状態を有する。
いかなる特定の理論に縛られることを望むものではないが、前駆体の金属の酸化状態が成膜された膜の金属の酸化状態に近いほど、所望の相、結晶構造、結晶化度、又は配向性の膜を成膜するに必要なエネルギー及び/又は時間はより少ない。更に、前駆体の金属が成膜された膜の金属の酸化状態に対してより低い酸化状態を有する場合、所望の相、結晶構造、結晶性、又は配向性の膜を成膜するに必要なエネルギー又は時間は、より少ないと考えられている。例えば、成膜された膜の金属が+IVの酸化状態を有する場合、前駆体の金属は、+III等の+IV未満の酸化状態を有し、従って、典型的なALDプロセスとは異なり、膜の成膜中に酸化を受けることが望ましい場合がある。
いくつかの実施形態では、Mo又はW前駆体は、本明細書で二座配位子と呼ばれる、2つの原子を介してMo又はWに結合する少なくとも1つの配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、少なくともO、N又はS原子を介してMo又はWに結合する少なくとも1つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、第1のサイトでO原子及び第2のサイトで第2のO原子を介してMo又はWに結合する少なくとも1つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、第1のサイトでO原子及び第2のサイトでN原子を介してMo又はWに結合する少なくとも1つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、配位子が第1のサイトでN原子及び第2のサイトで第2のN原子を介してMo又はWに結合する少なくとも1つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つの二座配位子を含む。いくつかの実施形態では、Mo又はW前駆体は3つの二座配位子を含む。
いくつかの実施形態では、Mo又はW前駆体は、少なくとも1つの、β−ジケトナト配位子である二座配位子を含む。いくつかの実施形態では、少なくとも1つの二座配位子はacac配位子である。いくつかの実施形態では、少なくとも1つの二座配位子はthd配位子である。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つのβ−ジケトナト配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、3つのβ−ジケトナト配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つのthd配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、少なくとも2つのacac配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、3つのthd配位子を含み得る。いくつかの実施形態では、Mo又はW前駆体は、3つのacac配位子を含み得る。
いくつかの実施形態では、Mo又はW前駆体は、溶媒なしで気化する。好ましい実施形態では、Mo又はW前駆体は、有機溶媒等の溶媒と混合されない。
いくつかの実施形態では、Mo又はWβ−ジケトネートは、任意の種類のMo又はW含有薄膜を成膜するためにALDタイプのプロセスで使用され得る。いくつかの実施形態では、Mo又はWβ−ジケトネートを用いて、Mo若しくはW元素膜、Mo若しくはW酸化物膜、Mo若しくはW窒化物膜、又はMo若しくはWシリサイド膜を成膜することができる。具体的には、任意の種類のMo又はW含有薄膜を成膜するために、ALDタイプのプロセスでMo(thd)及びW(thd)を使用し得る。
いくつかの実施形態では、Mo又はW元素薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成されることができ、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo又はWβ−ジケトネート前駆体と接触させて、基材上に最大でMo又はWβ−ジケトネート前駆体の1単分子層を形成することと、
過剰なMo又はWβ−ジケトネート前駆体及び反応副生成物がある場合には、それを表面から除去することと、
基材表面をH若しくは水素プラズマ、ラジカル、又は原子等の第2の反応物質と接触させることと、
過剰な第2の反応物質、及びMo又はWβ−ジケトネート前駆体層と第2の反応物質との間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
所望の厚さのMo又はW元素薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。
いくつかの実施形態では、Mo又はW酸化物薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成されることができ、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo又はWβ−ジケトネート前駆体と接触させて、基材上に最大でMo又はWβ−ジケトネート前駆体の1単分子層を形成することと、
過剰なMo又はWβ−ジケトネート前駆体及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を、水、オゾン、又は酸素プラズマ、ラジカル、若しくは原子等の酸素前駆体と接触させることと、
過剰な酸素前駆体、及びMo又はWのβ−ジケトネート前駆体層と酸素前駆体との間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
所望の厚さのMo又はW酸化物薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。
いくつかの実施形態では、Mo又はW窒化物薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成されることができ、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo又はWβ−ジケトネート前駆体と接触させて、基材上に最大でMo又はWβ−ジケトネート前駆体の1単分子層を形成することと、
過剰なMo又はWβ−ジケトネート前駆体及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を、窒素を含む前駆体と接触させることと、
過剰な酸素前駆体、及びMo又はWのβ−ジケトネート前駆体層と窒素を含む前駆体との間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
所望の厚さのMo又はW窒化物薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。
いくつかの実施形態では、窒素を含む適切な前駆体は、NHを含み得る。いくつかの実施形態では、窒素を含む適切な前駆体は、Nプラズマ、N原子、若しくはNラジカル、又はN及びH含有プラズマ、N及びH含有原子、若しくはN及びH含有ラジカル等の窒素含有プラズマを含み得る。
いくつかの実施形態では、Mo又はWシリサイド薄膜は、少なくとも1つの成膜サイクルを含むALDタイプのプロセスにより基材上に形成されることができ、該少なくとも1つの成膜サイクルは、
基材表面を気化したMo又はWβ−ジケトネート前駆体と接触させて、基材上に最大でMo又はWβ−ジケトネート前駆体の1単分子層を形成することと、
過剰なMo又はWβ−ジケトネート前駆体及び反応副生成物がある場合には、それを表面から除去することと、
基材表面を、ケイ素を含む前駆体と接触させることと、
過剰なケイ素を含む前駆体、及びMo又はWのβ−ジケトネート前駆体層とケイ素を含む前駆体との間の反応で形成された任意の気体の副生成物を表面から除去することと、を含む。
所望の厚さのMo又はWシリサイド薄膜が形成されるまで、接触させる工程及び除去する工程を繰り返すことができる。
いくつかの実施形態では、Mo又はW含有材料は、基材上に少なくとも1つの成膜サイクルを含むプロセスで形成され、この成膜サイクルは、基材を気相Mo又はW前駆体及び第2の気相カルコゲン前駆体と交互にかつ連続して接触させることを含む。いくつかの実施形態では、成膜サイクルを2回以上繰り返し得る。いくつかの実施形態では、成膜サイクルは連続して2回以上繰り返し得る。いくつかの実施形態では、基材を気相Mo又はW前駆体と接触させた後に、及び基材を気相カルコゲン前駆体と接触させる前に、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去することができる。いくつかの実施形態では、基材を気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、過剰なカルコゲン前駆体及び反応副生成物がある場合、それを除去し得る。いくつかの実施形態では、基材をMo又はW気相前駆体と接触させた後に、及び基材を気相カルコゲン前駆体と接触させる前に、基材をパージガスと接触させ得る。いくつかの実施形態では、基材をカルコゲン気相前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、基材をパージガスと接触させ得る。
Mo又はWβ−ジケトネート前駆体の合成
本明細書に記載のALDプロセスにおいて使用されるMo又はW前駆体のいくつかを製造する方法も提供される。いくつかの実施形態では、M(L)の式を有する前駆体が合成され、式中、MはMo又はWであり、Lは好ましくはβ−ジケトナト配位子、最も好ましくはacac、hfac、又はthdである。いくつかの実施形態では、合成されるMo又はW前駆体は、MがMo又はWであるM(thd)の式を有する。いくつかの実施形態では、合成される前駆体はMo(thd)であり、他の実施形態ではW(thd)である。
いくつかの実施形態では、全ての取り扱い及び操作は、空気も、酸素も及び水分も含まない雰囲気中で行われ得る。いくつかの実施形態では、全ての取り扱い及び操作は、不活性ガス雰囲気、例えばN又はAr雰囲気中で行われ得る。
図2は、Mo又はWβ−ジケトネート前駆体20を形成する方法を一般的に例示するプロセスフロー図である。いくつかの実施形態では、Mo又はWβ−ジケトネート前駆体を作製する方法は、
工程21において還元剤でMo又はWハロゲン化物を還元することにより第1の生成物を形成することと、
続いて、溶媒を第1の生成物に加えることにより、工程22において第2の生成物を形成することと、それにより、第2の生成物MX(R、n=0−4、(式中、MはMo又はW、Xはハロゲン化物、及びRは溶剤)を形成することと、
アルカリ金属化合物、例えば、BuLi、MeLi、NaH、又はKHをβ−ジケトンと反応させることにより工程23において第3の生成物を形成することと、
続いて、ステップ24で第3の生成物を第2の生成物に加えることと、それにより、式M(L)、(式中、MはMo又はW、Lはβ−ジケトナト配位子)を有するMo又はWβ−ジケトネート前駆体を工程25で形成することと、を含む。
いくつかの実施形態では、工程21のMo又はWハロゲン化物は、好ましくは、無水Mo又はWハロゲン化物である。いくつかの実施形態では、工程21のMo又はWハロゲン化物は式MX、(式中、MはMo又はWであり、Xはハロゲン化物、好ましくはClである)を有する。いくつかの実施形態では、工程21の金属ハロゲン化物は式MX又はMX、(式中、MはWであり、Xはハロゲン化物である)を有し得る。いくつかの実施形態では、還元剤、好ましくは有機溶媒、例えばエーテルで還元する前に、工程21のMo又はWハロゲン化物を溶媒に添加する。好ましい実施形態では、溶剤はEtOである。
いくつかの実施形態では、還元剤は金属Sn等の金属を含む。いくつかの実施形態では、還元剤は有機種、好ましくはビス(トリアルキルシリル)6員環系又は関連化合物、例えば1,4−ビス(トリメチルシリル)−1,4−ジヒドロピラジン(DHP)等を含む。好ましくは、還元剤は、粉末又はSnペレット等のペレットの形態で提供される。いくつかの実施形態では、Mo又はWハロゲン化物を還元することは、Mo又はWハロゲン化物を含む溶液に還元剤を加えることにより、第1の生成物を形成することを含む。好ましい実施形態では、Mo又はWハロゲン化物を還元することは、MClのEtO溶液にSnペレットを加えることにより、MCl(EtO)、(式中、MはMo又はWである)を形成することを含む。
いくつかの実施形態では、Mo又はWのハロゲン化物と還元剤との混合物を第1の持続時間攪拌する。いくつかの実施形態では、反応が完了するまで混合物を撹拌する。MCl(EtO)、(式中、MはMo又はWである)を含む所望の第1の生成物が形成された後、混合物を沈降させることができる。いくつかの実施形態では、反応が完了した後、第1の生成物を、第1の生成物において望ましくない任意の溶媒、副生成物、過剰反応物、又は任意の他の化合物から分離及び単離し得る。
いくつかの実施形態では、溶媒を第1の生成物に加えることにより、第2の生成物を形成する。好ましい実施形態では、溶剤はTHFである。いくつかの実施形態では、混合物を第2の持続時間撹拌する。いくつかの実施形態では、反応が完了するまで混合物を撹拌する。所望の第2の生成物が形成された後、混合物を沈降させることができる。いくつかの実施形態では、反応が完了した後、第2の生成物を、第2の生成物において望ましくない任意の溶媒、副生成物、過剰反応物、又は任意の他の化合物から分離及び単離し得る。好ましい実施形態では、第2の生成物を形成することは、THFを第1の生成物に加えることにより、MCl(THF)、(式中、MはMo又はWである)を形成することを含み得る。
いくつかの実施形態において、第2の生成物MoCl(THF)は、Stoffelbachら「Improved Preparations of Molybdenum Coordination Compounds from Tetrachlorobis(diethyl ether)molybdenum(IV).」、Eur. J. Inorg. Chem. 10/2001: 2699−2703に開示された方法従って形成され、その全体は参照により本明細書に組み込まれる。
いくつかの実施形態では、第3の生成物は、アルカリ金属化合物をβ−ジケトンと反応させることにより形成される。好ましい実施形態では、アルカリ金属化合物はブチルリチウムを含む。いくつかの実施形態では、アルカリ金属化合物は、例えば、KH、NaH、又はMeLiを含み得る。いくつかの実施形態では、アルカリ金属化合物は、アルカン溶液、好ましくはヘキサン溶液として提供され得る。いくつかの実施形態では、アルカリ金属化合物を溶媒に加えてもよい。いくつかの実施形態では、溶媒は、複素環式溶媒を含み得る。好ましい実施形態では、溶剤はTHFである。
いくつかの実施形態では、β−ジケトン化合物は、Hthd、Hacac、Htfac(ここで、Htfacはトリフルオロアセチルアセトン)、Hfod(ここで、fodは2,2−ジメチル−6,6,7,7,8,8,8−ヘプタフルオロ−3,5−オクタンジオン)、又はHhfac、好ましくは、Hthdを含み得る。いくつかの実施形態では、アルカリ金属化合物をβ−ジケトン化合物と反応させることは、β−ジケトン化合物をアルカリ金属化合物を含む溶液に加えることにより、式ML(式中、Mはアルカリ金属、及びLはβ−ジケトナト配位子)を有する第3の生成物を形成することを含み得る。好ましい実施形態では、ヘキサン中のブチルリチウムをTHFに加えて溶液を形成する。そして、Hthdを溶液に加えてブチルリチウムと反応させ、それによりLithdを含む第3の生成物を形成する。
いくつかの実施形態では、溶液は、場合によっては、反応が完了する前に、間に、及び/又は後に、任意に冷却される。いくつかの実施形態では、β−ジケトン化合物を、アルカリ金属化合物を加える前に冷却し得る。いくつかの実施形態では、反応が完了するまで溶液を撹拌してもよい。いくつかの実施形態では、反応によって生成された任意の気体の副生成物を、例えばバブラーを介して排出し得る。
いくつかの実施形態では、第3の生成物を第2の生成物に加え、それにより、式ML、(式中、MはMo又はW、Lはβ−ジケトナト配位子)を有するMo又はWβ−ジケトネート前駆体を形成する。いくつかの実施形態では、第3の生成物を加える前に第2の生成物を溶媒に加えてもよい。好ましい実施形態では、溶剤はTHFである。いくつかの実施形態では、第3の生成物を混合物に加えることにより、Mo又はWβ−ジケトネート前駆体を形成する。いくつかの実施形態では、第3の生成物は、式ML(式中、Mはアルカリ金属、及びLはβ−ジケトナト配位子)を有し得る。いくつかの実施形態では、第3の生成物は、第3の生成物を含む溶液を含み得る。好ましい実施形態では、MCl(THF)のTHF懸濁液にLithdを加えて、それによりM(thd)(式中、MはMo又はW)を形成する。
いくつかの実施形態では、第3の生成物を加える前に第2の生成物を冷却してもよい。いくつかの実施形態では、第3の生成物を加えた後、混合物を室温に温める。いくつかの実施形態では、混合物を第2の持続時間撹拌する。いくつかの実施形態では、反応が完了するまで混合物を撹拌する。
反応がほぼ完了した後、最終生成物を、最終生成物において望ましくない任意の溶媒、副生成物、過剰反応物、又は任意の他の化合物から分離及び単離し得る。
いくつかの実施形態では、Mo又はWβ−ジケトネート前駆体を作製する方法は、
工程21において、無水MoClをSnで還元することにより第1の生成物を形成することと、
続いて、テトラヒドロフラン(THF)を含む溶媒を第1の生成物へ加えることにより、工程22で第2の生成物MoCl(THF)を形成することと、それにより第2の生成物MoCl(THF)を形成することと、
ブチルリチウムをHthdと反応させることにより、工程23において、第3の生成物Lithdを形成することと、
続いて、ステップ24で第3の生成物Lithdを第2の生成物MoCl(THF)に加えることと、それにより工程25で前駆体Mo(thd)を形成すること、とを含む。
実施例1
Mo(thd)を以下のプロセスにより合成した。すべての取り扱いと操作は、標準シュレンクテクニック(Schlenk techniques)と不活性ガス(N又はAr)グローブボックスを使用して、空気と水分を厳密に排除して行った。
最初に、無水MoCl5.00g(18.3mmol)とSnペレット10g(84mmol)をEtO50ml中に懸濁させた。混合物を室温で1時間撹拌して、溶液及び固体を形成した。固体をシュレンク瓶の底に沈降させ、大部分のEtO溶液をAr圧力及びテフロン(登録商標)のキャピラリーチューブを用いて除去した。
次に、THF50mlを加え、混合物を室温で3時間撹拌して固体のMoCl(THF)を形成した。Ar圧力及びテフロンのキャピラリーチューブを用いてTHF/MoCl(THF)懸濁液を別のシュレンク瓶へ移すことにより、MoCl(THF)を過剰のSnから分離した。そして、固体MoCl(THF)をシュレンク焼結体を用いて懸濁液から濾過し、EtOで洗浄した。
次に、Lithd溶液を調製した。THF30mlをCO/アセトン浴で冷却し、1.6BuLiのヘキサン溶液4.48mlを加えた。次に、Hthd1.321g(7.168mmol)をシリンジを用いてこの溶液へゆっくりと加えた。次いで、この溶液を室温で2時間撹拌した。気体の副生成物を、溶液を含むシュレンク瓶から水銀バブラーを通して排出した。
次に、固体MoCl(THF)をTHF20mlに懸濁した。次に、この懸濁液をCO/アセトン浴で冷却し、テフロンのキャピラリーとAr圧力を用いて、先に調製したLithd溶液を加えた。得られた溶液を室温に温め、一晩撹拌した。
次に、水浴及び真空を用いてTHF溶媒を蒸発させた。得られた固体生成物を昇華装置に移し、160℃〜180℃、0.5mbarで昇華させた。得られたMo(thd)昇華物をグローブボックス内で捕集した。
合成した化合物を、質量分析法を用いて分析した。図3に示すように、Mo(thd)に対応する同位体パターンを有する分子イオンがm/z647に見られる。Mo(thd)に加えて、酸素を有するフラグメントイオン、例えば、[Mo(thd)、[Mo(thd)O]、[Mo(thd)−Bu]、及び[Mo(thd)O等に対応するいくつかのピークが見られる。しかし、これらのピークは、質量分析計へ試料を導入中にMo(thd)化合物が空気に曝されるためと思われる。
合成された化合物の分子構造を、単結晶X線回折(SCXRD)を用いて解析した。合成されたMo(thd)化合物の構造を図4に例示する。
Mo(thd)を合成するために使用した手順と同様の手順を用いてMo(acac)及びMo(hfac)も合成した。Mo(acac)及びMo(hfac)をそれぞれ合成するために、Hthdの代わりにHacac及びHhfacを使用した。熱重量分析(TGA)を用いて、3つのMoβ−ジケトネート化合物の熱的特性を調べた。図5に示すように、Mo(thd)及びMo(hfac)の熱重量分析曲線は、温度が上昇するにつれてこれらの化合物の蒸発を示し、Mo(acac)の熱重量分析曲線は、温度が上昇するにつれてこの化合物が主に分解されることを示している。
カルコゲン前駆体
多くのカルコゲン前駆体が、本明細書に開示されるALDプロセスにおいて使用され得ることは、当業者に理解されるであろう。いくつかの実施形態では、カルコゲン前駆体は、以下のリストから選択される。HS、HSe、HTe、(CHS、(NH4)2S、ジメチルスルホキシド((CH3)2SO)、(CHSe、(CHTe、元素又は原子のS、Se、Te、カルコゲン−水素結合を含む他の前駆体、例えば、H、HSe、HTe、又は式R−Y−H(式中、Rは置換又は非置換の炭化水素、好ましくはC−Cのアルキル又は置換されたアルキル、例えば、アルキルシリル基、より好ましくは直鎖状又は分岐状C〜Cアルキル基等であり、YはS、Se又はTeであることができる)を有するカルコゲノール。いくつかの実施形態では、カルコゲン前駆体は、式R−S−H、(式中、Rは置換又は非置換の炭化水素、好ましくはC1〜C8のアルキル基、より好ましくは直鎖状又は分岐状のC〜Cのアルキル基であることができる)を有するチオールである。いくつかの実施形態では、カルコゲン前駆体は、式(RSi)Y、(式中、RSiはアルキルシリル基であり、YはSe又はTeであることができる)を有する。いくつかの実施形態では、カルコゲン前駆体は、S又はSeを含む。いくつかの好ましい実施形態では、カルコゲン前駆体は、Sを含む。いくつかの実施形態では、カルコゲン前駆体は、硫黄元素などのカルコゲン元素を含んでいてもよい。いくつかの実施形態では、カルコゲン前駆体はTeを含まない。いくつかの実施形態では、カルコゲン前駆体はSeを含む。いくつかの実施形態では、カルコゲン前駆体は、S、Se又はTeを含む前駆体から選択される。いくつかの実施形態では、カルコゲン前駆体は、H、(式中、nは4〜10である)を含む。
適切なカルコゲン前駆体は、少なくとも1つのカルコゲン−水素結合を含む限り、多くのカルコゲン含有化合物を含み得る。いくつかの実施形態では、カルコゲン前駆体は、カルコゲンプラズマ、カルコゲン原子又はカルコゲンラジカルを含み得る。励起されたカルコゲン前駆体が望ましいいくつかの実施形態では、プラズマが反応チャンバー内又は反応チャンバーの上流で生成されてもよい。いくつかの実施形態では、カルコゲン前駆体は、プラズマ、原子又はラジカル等の励起されたカルコゲン前駆体を含まない。いくつかの実施形態では、カルコゲン前駆体は、HS等のカルコゲン−水素結合を含むカルコゲン前駆体から形成されたカルコゲンプラズマ、カルコゲン原子又はカルコゲンラジカルを含み得る。いくつかの実施形態では、カルコゲン前駆体は、カルコゲンプラズマ、カルコゲン原子又はカルコゲンラジカル、例えば硫黄、セレン又はテルルを含むプラズマ、好ましくは硫黄を含むプラズマを含み得る。いくつかの実施形態では、プラズマ、原子、又はラジカルはテルルを含む。いくつかの実施形態では、プラズマ、原子、又はラジカルはセレンを含む。
実施例2
MoCl及びHSを前駆体として使用し、前駆体の滞留時間なしにフロー型反応器(ASM America F−120反応器)内で、150℃〜500℃の反応温度で種々の成膜実験を行った。Al、ZnS、ソーダライムガラス、Si、及びIr等のさまざまな基材を使用した。成膜実験が行われた後、MoもSも、膜の成長を示すであろう量では基材上で検出されなかった。EDXを試料上で行い、微量のMoのみが示された。Sは試料上で全く検出されなかった。このプロセスは、Browningら「Atomic layer deposition of MoS thin films」で報告されており、その全体は参照により本明細書に組み込まれる。本発明者らは、Browningの論文に記載されているプロセス条件に関して、合理的に同様の条件で同様の実験を行ったが、テストは成功せず、おそらくその中で開示されたプロセスの非ロバスト性を示した。
実施例3
Mo前駆体としてのMo(thd)及びカルコゲン前駆体としてのHSを利用して、本明細書に開示されるALDプロセスに従ってMoS薄膜を成膜した。MoSを、シリコン、二硫化チタン、アルミナ、及びソーダライムガラス基材上に成膜した。基材を、約175℃〜約500℃の範囲の成膜温度で、Mo(thd)及びHSの交互パルスと接触させた。
175℃〜350℃の成膜温度では、MoSの成膜は観察されなかった。基材上の膜の量は、約375℃より高い成膜温度で増加するようであった。最も高い成長速度は約500℃の成膜温度で達成された。この成膜温度で、基材は紫色又は褐色のMoS膜で覆われた。
得られたMoS膜はFESEMで特徴付けられ、膜の形態は、膜が成膜された基材とは無関係に実質的に同一であることが見出された。一部の膜の表面に薄片状の構造が観察されたが、断面FESEM画像は、図6に示すように、膜が緻密であり、亀裂やピンホールがないことを明らかにした。
図7に示すように、ALD MoS膜の成長速度は、Mo(thd)及びHSの両方のパルス長が約0.5〜1秒の場合、約0.2Å/サイクルで飽和した。膜の厚さは、図8に示すように、実質的に直線的に増加することが観察されたが、500成膜サイクル後にわずかに急な勾配によって示されるように、インキュベーション期間が存在し得る。
Mo(thd)パルス長の増加は成長速度に影響しなかったが、膜の形態は影響を受けた。図9は、0.2秒及び0.5秒のパルスで成膜された膜が鋭い薄片状構造を含むほぼ同一の表面を有することを例示する。膜厚の差は見られなかったが、1秒以上のMo(thd)パルス長は粒子を含む表面をもたらした。得られた膜は1000成膜サイクルを用いて成膜されたが、0.2秒、0.5秒、及び1秒のMo(thd)パルス長を用いて成膜された膜の2000サイクル成膜された膜と比較すると、同様の表面構造は、4秒のMo(thd)パルス長でも観察された。したがって、Mo(thd)のパルス長も影響を及ぼしやすいため、膜厚は表面の構造的な違いに影響し得る。
Sパルス長を変化させると、膜表面構造において同様の差異をもたらしたが、ここではより短いHSパルス長により、薄片のない表面が得られた。図10に示すように、0.2秒のHSパルス長は、粒子を含む表面を有する膜をもたらした。より長いパルスは、縁の鋭い薄片を含む表面をもたらした。
MoS膜の成長は、10〜2000の成膜サイクルで成膜されたMoS膜について視覚的に解析された。0.5秒のパルス時間及び1秒のパージ時間でMo前駆体としてMo(thd)を使用し、一方、パルス時間0.5秒、パージ時間1秒でカルコゲン前駆体としてHSを使用した。全ての試料の成膜温度は500℃であった。図11Aは、10〜50サイクルで成膜されたMoS膜を例示し、図11Bは、100〜2000サイクルで成膜されたMoS膜を示す。膜の表面構造が結晶質であり、ほぼ1500成膜サイクルまでほぼ平坦であるように見える膜表面上の鋭い薄片の存在は、厚さに左右されているように思われる。2000成膜サイクル後、隆起した薄片構造は膜の全表面を覆う。
成膜したMoS膜の組成をEDXにより分析した。Mo3565の理論的な膜組成は、Oxford INCAソフトウェアを使用して、シリコン基材上のMoS膜からなる理論的試料のスペクトルを合成することにより得られた。図12Aに示すように、Mo(thd)パルス長を変化させながら、500℃で成膜されたMoS膜の組成をEDXで分析した。図12Bに示すように、HSパルス長を変化させながら、500℃で成膜されたMoS膜の組成をEDXで分析した。両方の場合において、測定されたMoS膜組成は、理論組成と同様であると決定された。
ALDプロセスにより500℃で成膜されたMoS2膜試料の元素比率も測定し、図13に例示した。MoS2膜は、250〜2000成膜サイクルを有するALDプロセスにより成膜された。成膜サイクルの数が増加するにつれて、MoS2膜の測定された元素比率はMoリッチからSリッチになった。2000成膜サイクルを有するALDプロセスにより成膜されたMoS2試料は、Mo4258の測定された組成を有していた。インキュベーション期間が存在し得るが、成膜された膜厚は、図13に例示するように、ほぼ直線的に増加することが観察された。
図14に例示されるように、2組の成膜されたMoS膜試料の組成を微小角入射X線回折(GIXRD)により分析した。1組の試料MoS膜を、硫黄前駆体パルスを0.5秒で一定に保ちながら、Mo前駆体パルス時間を0.2秒から4秒まで変化させたALDプロセスにより成膜した。第2組の試料MoS膜を、Mo前駆体パルスを0.5秒で一定に保ちながら、硫黄前駆体パルス時間を0.2秒から2秒まで変化させたALDプロセスにより成膜した。試料を500℃で成膜した。図14に示す(002)のピークの強度は、Mo前駆体パルス時間が減少するにつれて増加し、硫黄前駆体パルス時間が増加するにつれて増加した。
ラマン分光法を用いて成膜された膜の相を同定した。MoSは、Mo及びS原子の面内振動については383cm−1に、S原子の面外振動については406cm−1に特徴的なピークを有する。図15に示すように、成膜されたMoS薄膜のこれらの両方のピークがはっきりと見える。
図16に例示するように、2組の成膜されたMoS膜試料の相を、ラマン分光法を用いて解析した。1組の試料MoS膜を、硫黄前駆体パルスを0.5秒で一定に保ちながら、Mo前駆体パルス時間を0.2秒から4秒まで変化させたALDプロセスにより成膜した。第2組の試料MoS膜を、Mo前駆体パルスを0.5秒で一定に保ちながら、硫黄前駆体パルス時間を0.2秒から2秒まで変化させたALDプロセスにより成膜した。試料を500℃で成膜した。面内及び面外のMoSピークの強度は、Mo前駆体パルス時間の減少とともに増加することが観察され、硫黄前駆体パルス時間の増加とともに増加することが観察された。
図17に例示するように、ALDプロセスにより成膜された2つのMoS薄膜試料の元素組成を、X線光電子分光法(XPS)を用いて調べた。1つの試料は、0.2秒のMo前駆体パルスでALDプロセスにより成膜され、一方、第2の試料は、4秒のMo前駆体パルス時間でALDプロセスにより成膜された。
図18に例示するように、Mo前駆体としてMo(thd)を使用し、10〜50成膜サイクルを有するALDプロセスにより成膜されたMoS膜試料の表面粗さも、原子間力顕微鏡(AFM)を用いて調べた。10サイクル及び50サイクルにより成膜された試料の粗さは0.41nmであることが判明し、一方、25サイクルにより成膜された試料の粗さは0.44nmであることが判明した。膜の表面の形体は、すべての試料について10nm未満のサイズであったが、表面の形体のサイズは成膜サイクル数と共に増加するように見えた。
図19は、Mo前駆体としてMo(thd)を使用し、ALDプロセスにより425℃〜500℃の温度で成膜されたMoS膜の成長速度及び元素比率を例示する。成長速度は成膜温度の上昇と共に増加することが観察され、最高成長速度は500℃の成膜温度で観察された。成膜された膜の組成は、成膜温度により変化した。
図20に例示するように、MoS膜の成長は、ALDにより成膜されたMoS膜について視覚的に解析された。0.5秒のパルス時間及び1秒のパージ時間でMo前駆体としてMo(thd)を使用し、一方、パルス時間0.5秒、パージ時間1秒でカルコゲン前駆体としてHSを使用した。成膜温度を350℃〜500℃まで変化させた。
2D材料
本明細書に記載のALDプロセスは、Mo又はWを含む2D材料、例えばMoS、WS、MoSe、又はWSe2D材料などのMo又はWジカルコゲナイドを成膜するのに使用され得る。単層材料とも呼ばれる2D材料は、単一の連結された単分子層からなる材料である。2D材料は、単一の連結された単分子層を形成するが、複数の単層は、本明細書に開示される成膜プロセスにより成膜され得る。例えば、2D MoSの場合、2D材料は、Mo原子の1つの層がS原子の2つの層の間に挟まれるように配置された、共有結合したMoS分子の単一層を含む。MoSの基本的な原子構造は、当業者によく知られているであろう。
そのような特殊な特徴により、2D材料は、例えば、光電子、スピントロニクス、及びバレートロニクス(valleytronics)において潤滑として、THzの生成と検出において触媒、化学的及び生物学的センサーとして使用するために、スーパーキャパシタ、LED、太陽電池、リチウムイオン電池、並びにMOSFETチャネル材料等の幅広い将来性がある用途に有用である。
グラフェンのような他の2D材料とは異なり、2D Mo又はWジカルコゲナイドは、半導体デバイスの小型化に有用となる独自の電子特性を有する。例えば、グラフェンとは異なり、2D Mo又はWジカルコゲナイドは、直接禁止帯を有し、半電導性である。従って、Mo又はWジカルコゲナイドは、電子デバイスにおいて有用であり、例えば、Mo又はWジカルコゲナイドは、ゲートスタック又はトランジスタにおいてチャネル材料として使用され得る。
いくつかの実施形態によれば、Mo又はWを含む2D材料は、本明細書で開示される方法に従ってALDにより成膜され得る。いくつかの実施形態では、Mo又はWを含む2D材料は、Mo又はWを含む化合物の10単分子層以下、好ましくは5単分子層未満、最も好ましくは3単分子層以下を含み得る。
いくつかの実施形態では、Mo又はWを含む2D材料は、Mo又はWジカルコゲナイドの10単分子層以下、好ましくは5単分子層未満、最も好ましくは3単分子層以下を含み得る。いくつかの実施形態では、Mo又はWを含む2D材料は、MoS、WS、MoSe、WSe、MoTe、又はWTeの10単分子層以下、好ましくは5単分子層未満、最も好ましくは3単分子層以下を含み得る。
いくつかの実施形態では、基材上にMo又はWを含む2D材料を成膜する方法は、本明細書に開示されるように、複数のサイクルを含むALDプロセスを含み得る。いくつかの実施形態では、Mo又はWを含む2D材料を成膜する方法は、本明細書に開示されるように、500成膜サイクル以下、好ましくは200成膜サイクル以下、最も好ましくは100成膜サイクル以下を含むALDプロセスを含み得る。特定の前駆体、基材及びプロセス条件により当業者が選択できるように、Mo又はWを含む2D材料を基材上に成膜する方法は、本明細書に開示されるように、50サイクル以下、25サイクル以下、15サイクル以下、又は10サイクル以下を含むALDプロセスを含み得る。
いくつかの実施形態では、Mo又はWを含む成膜された2D材料は、10nm未満、より好ましくは5nm未満、より好ましくは3nm未満、より好ましくは2nm未満、より好ましくは1.5nm未満、及び最も好ましくは1.0nm未満であることができる。
いくつかの実施形態では、成膜された2D材料は、約0.75nm未満、好ましくは約0.5nm未満、最も好ましくは約0.4nm以下の粗さ(R)を有する。粗さを、例えば、原子間力顕微鏡(AFM)又はX線反射(XRR)で測定することができる。超薄型2D材料膜の場合、AFMが好ましい方法であることができる。
いくつかの実施形態では、Mo又はWを含む2D材料を、電子デバイス、例えばゲートスタック内のチャネル材料として使用することができる。いくつかの実施形態では、Mo又はWを含む2D材料を、ゲート誘電体の後に、すなわちチャネルラストに成膜することができる。いくつかの実施形態では、Mo又はWを含む2D材料を、ゲート誘電体の前に、すなわちチャネルファーストに成膜することができる。いくつかの実施形態では、ゲートスタックは、チャネルがゲートスタック内のゲートの上にあるように、上下を逆に製造されてもよい。
図21は、自然酸化物1410を含むシリコン基材1400上に成膜されたMoS薄膜1420の収差補正走査形トンネル電子顕微鏡(AC−STEM)画像である。基材1400のシリコン原子1401は、画像の下半分において白色ドットとして見られ、一方、自然酸化物1410は、MoS薄膜1420とシリコン基材1400との間のより暗い層である。ここに示されるMoSは、約2〜3分子層であり、約10〜15Åの厚さを有する。単分子層間の間隔は約6〜8Åであり、これはMoSの予測される範囲内である。
用語「膜」及び「薄膜」は、本明細書では簡略化のために使用される。「膜」及び「薄膜」は、本明細書に開示された方法により成膜された任意の連続的又は非連続的な構造及び材料を意味することを意図する。「膜」及び「薄膜」としては、例えば、2D材料、ナノロッド、ナノチューブ若しくはナノ粒子、又は平坦な単一の部分的な若しくは完全な分子層、又は部分的な若しくは完全な原子層、又は原子及び/若しくは分子のクラスター、を挙げることができる。「膜」及び「薄膜」は、ピンホールを有する材料又は層を含み得るが、それでも少なくとも部分的に連続している。
本明細書で使用されるカルコゲンという用語は、主として硫黄、セレン及びテルルの化学元素を指すことを意図するが、当業者には明らかであるように、場合によっては、この用語は酸素を指す場合もある。同様に、カルコゲナイド及びジカルコゲナイドという用語は、主に硫化物、セレン化物、及びテルル化物を指すことを意図するが、当業者には明らかであるように、場合によっては、このような用語は酸化物を指す場合もある。
前述の発明は、いくつかの好ましい実施形態に関して記載されているが、他の実施形態は、当業者には明らかであろう。更に、他の組み合わせ、省略、置換及び変更は、本明細書の開示を考慮すると、当業者には明らかであろう。したがって、本発明は、好ましい実施形態を列挙することによって限定されることを意図しておらず、代わりに添付の特許請求の範囲を参照して定義される。

Claims (46)

  1. 反応チャンバー内の基材上にMo又はW含有薄膜を形成する、少なくとも1つのサイクルを含むプロセスであって、前記サイクルは、
    最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、前記基材を気相Mo又はW前駆体と接触させる工程であって、前記Mo又はW前駆体中のMo又はWは、+IV以下であるが0ではない酸化状態を有する、接触させる工程と、
    過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する工程と、
    前記基材を気相カルコゲン前駆体と接触させる工程であって、前記カルコゲン前駆体は、前記基材表面上の前記Mo又はW前駆体と反応する、接触させる工程と、
    過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去することと、
    場合によっては、所望の厚さのMo又はW含有薄膜が形成されるまで、前記接触させる工程及び前記除去する工程を繰り返す工程と、を含む、プロセス。
  2. 前記プロセスは、原子層堆積(ALD)プロセスである、請求項1に記載のプロセス。
  3. 前記プロセスは、2つ以上の連続サイクルを含む、請求項1に記載のプロセス。
  4. 前記Mo又はW含有薄膜は、Mo又はW硫化物、セレン化物、又はテルル化物薄膜である、請求項1に記載のプロセス。
  5. 前記Mo又はW前駆体中のMo又はWの前記酸化状態は+IIIである、請求項1に記載のプロセス。
  6. 前記カルコゲン前駆体は、HS、HSe、HTe、(CHS、(CHSe、又は(CHTeを含む、請求項1に記載のプロセス。
  7. 反応チャンバー内の基材上にMo又はW硫化物、セレン化物、又はテルル化物薄膜を形成する、少なくとも1つのサイクルを含む原子層堆積(ALD)プロセスであって、前記サイクルは、
    最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、前記基材を気相Mo又はW前駆体と接触させる工程であって、前記Mo又はW前駆体は、少なくとも1つの二座配位子を含む、接触させる工程と、
    過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する工程と、
    前記基材を気相カルコゲン前駆体と接触させる工程であって、前記カルコゲン前駆体は、前記基材表面上の前記Mo又はW前駆体と反応する、接触させる工程と、
    過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去する工程と、
    所望の厚さのMo又はW含有薄膜が形成されるまで、前記接触させる工程及び前記除去する工程を繰り返す工程と、を含む、プロセス。
  8. 前記二座配位子は、O、S、又はN原子を介してMo又はW原子に結合する、請求項7に記載のプロセス。
  9. 前記二座配位子は、2つのO原子を介してMo又はW原子に結合する、請求項8に記載のプロセス。
  10. 前記二座配位子は、O原子及びN原子を介してMo又はW原子に結合する、請求項8に記載のプロセス。
  11. 前記二座配位子は、2つのN原子を介してMo又はW原子に結合する、請求項8に記載のプロセス。
  12. 前記二座配位子は、β−ジケトナト配位子である、請求項7に記載のプロセス。
  13. 前記β−ジケトナト配位子は、アセチルアセトナト(acac)配位子である、請求項12に記載のプロセス。
  14. 前記β−ジケトナト配位子は、2,2,6,6−テトラメチル−3,5−ヘプタンジオナト(thd)配位子である、請求項12に記載のプロセス。
  15. 前記Mo又はW前駆体は、少なくとも2つの二座配位子を含む、請求項7に記載のプロセス。
  16. 前記Mo又はW前駆体は、3つの二座配位子を含む、請求項7に記載のプロセス。
  17. 反応チャンバー内の基材上にMo又はW硫化物、セレン化物、又はテルル化物2D材料を形成する、少なくとも1つのサイクルを含む原子層堆積(ALD)プロセスであって、前記サイクルは、
    最大で第1のMo又はW前駆体の単分子層が基材表面上に形成されるように、前記基材を気相Mo又はW前駆体と接触させる工程であって、前記Mo又はW前駆体は、Mo又はWβ−ジケトネートである、接触させる工程と、
    過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する工程と、
    前記基材を気相硫黄、セレン、又はテルル前駆体と接触させる工程であって、前記硫黄、セレン、又はテルル前駆体は、前記基材表面上の前記Mo又はW前駆体と反応する、接触させる工程と、
    過剰な硫黄、又はセレン前駆体及び反応副生成物がある場合には、それを除去する工程と、を含む、プロセス。
  18. 前記Mo又はW含有薄膜は、Mo又はW硫化物、セレン化物、又はテルル化物薄膜である、請求項17に記載のプロセス。
  19. 前記Mo又はW前駆体を含むMo又はW原子の酸化状態は+IIIである、請求項17に記載のプロセス。
  20. カルコゲン前駆体は、HS、HSe、HTe、(CHS、(CHSe、又は(CHTeを含む、請求項17に記載のプロセス。
  21. 前記Mo又はW前駆体はMo(thd)であり、及びカルコゲン前駆体はHSである、請求項17に記載のプロセス。
  22. 前記Mo又はW前駆体はW(thd)であり、及びカルコゲン前駆体はHSである、請求項17に記載のプロセス。
  23. 前記2D材料はMoSを含む、請求項17に記載のプロセス。
  24. Mo又はWβ−ジケトネート前駆体を作製する方法であって、前記方法は、
    式MX(R)、(式中、nは0〜4の数であり、MはMo又はWであり、Xはハロゲン化物であり、Rは溶媒である)を有する第1の反応物質を提供する工程と、
    アルカリ金属化合物をβ−ジケトン化合物と反応させることにより第1の生成物を形成する工程と、
    続いて前記第1の生成物を前記第1の反応物質に加え、それにより、式ML、(式中、MはMo又はWであり、Lはβ−ジケトナト配位子である)を有するMo又はWβ−ジケトネート前駆体を形成する工程と、を含む、方法。
  25. 前記第1の反応物質を提供する工程は、
    Mo又はWハロゲン化物を還元剤で還元することにより第1の中間生成物を形成する工程と、
    続いて溶媒を前記第1の生成物に加えることにより第2の中間生成物を形成し、それにより前記第1の反応物質を形成する工程と、を更に含む、請求項24に記載の方法。
  26. 前記Mo又はWハロゲン化物はMoClであり、前記β−ジケトン化合物はHthdであり、及び前記形成されたMo又はWβ−ジケトネート前駆体はMo(thd)である、請求項24に記載の方法。
  27. Mo又はWβ−ジケトネート化合物を形成する方法であって、前記Mo又はWβ−ジケトネート化合物中のMo又はWは、+IIIの酸化状態を有し、前記方法は、
    式MX(R)、(式中、nは0〜4の数であり、MはMo又はWであり、Xはハロゲン化物であり、Rは溶媒である)を有する第1の反応物質を提供する工程と、
    アルカリ金属化合物をβ−ジケトン化合物と反応させることにより、第1の生成物を形成する工程と、
    続いて前記第1の生成物を前記第1の反応物質と反応させ、それにより、式ML、(式中、Mは+IIIの酸化状態を有するMo又はWであり、Lはβ−ジケトナト配位子である)を有するMo又はWβ−ジケトネート化合物を形成する工程と、を含む、方法。
  28. 反応チャンバー内の基材上にMo又はW含有材料を形成する、少なくとも1つの成膜サイクルを含むプロセスであって、前記成膜サイクルは、
    前記基材を気相Mo又はW前駆体及び第2の気相カルコゲン前駆体と交互にかつ連続して接触させる工程を含み、
    前記Mo又はW前駆体中のMo又はWは、+IV以下であるが0ではない酸化状態を有する、プロセス。
  29. 前記成膜サイクルを2回以上繰り返す、請求項28に記載のプロセス。
  30. 前記基材を気相Mo又はW前駆体と接触させた後に、及び前記基材を前記気相カルコゲン前駆体と接触させる前に、過剰なMo又はW前駆体及び反応副生成物がある場合には、それを除去する工程、を更に含む、請求項28に記載のプロセス。
  31. 前記基材を気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、過剰なカルコゲン前駆体及び反応副生成物がある場合には、それを除去する工程、を更に含む、請求項28に記載のプロセス。
  32. 前記基材を前記Mo又はW気相前駆体と接触させた後に、及び前記基材を前記気相カルコゲン前駆体と接触させる前に、前記基材をパージガスと接触させる工程を更に含む、請求項28に記載のプロセス。
  33. 前記基材を前記気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、前記基材をパージガスと接触させる工程を更に含む、請求項28に記載のプロセス。
  34. 前記基材を前記Mo又はW気相前駆体と接触させた後に、及び前記基材を前記気相カルコゲン前駆体と接触させる前に、前記基材を真空に曝す工程を更に含む、請求項28に記載のプロセス。
  35. 前記基材を前記気相カルコゲン前駆体と接触させた後に、及び別の成膜サイクルを開始する前に、前記基材を真空に曝す工程を更に含む、請求項28に記載のプロセス。
  36. 前記Mo又はW含有材料は、Mo又はW元素を含む、請求項28に記載のプロセス。
  37. 前記Mo又はW含有材料は、Mo又はWの酸化物材料を含む、請求項28に記載のプロセス。
  38. 前記Mo又はW含有材料は、Mo又はWの窒化物材料を含む、請求項28に記載のプロセス。
  39. 前記Mo又はW含有材料は、Mo又はWのシリサイド材料を含む、請求項28に記載のプロセス。
  40. 前記成膜サイクルは、最大でMo又はW含有材料の単層を前記基材上に形成する、請求項28に記載のプロセス。
  41. 前記成膜サイクルは、Mo又はW含有材料の単層の約50%以下を前記基材上に形成する、請求項28に記載のプロセス。
  42. 前記成膜サイクルは、Mo又はW含有材料の単層の約25%以下を前記基材上に形成する、請求項28に記載のプロセス。
  43. 前記成膜サイクルは、Mo又はW含有材料の単層の約10%以下を前記基材上に形成する、請求項28に記載のプロセス。
  44. Mo又はW含有材料を前記基材上に形成する前に、前記基材に前処理プロセスを行う工程を更に含む、請求項28に記載のプロセス。
  45. 前記第2の気相カルコゲン前駆体はプラズマを含む、請求項28に記載のプロセス。
  46. 前記プラズマは、−S−H結合を含む化合物から形成される、請求項45に記載のプロセス。
JP2017559384A 2015-05-27 2016-05-24 モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法 Active JP6929790B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562167220P 2015-05-27 2015-05-27
US62/167,220 2015-05-27
US201562181126P 2015-06-17 2015-06-17
US62/181,126 2015-06-17
PCT/US2016/033955 WO2016191432A1 (en) 2015-05-27 2016-05-24 Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021131383A Division JP7062819B2 (ja) 2015-05-27 2021-08-11 モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法

Publications (2)

Publication Number Publication Date
JP2018515692A true JP2018515692A (ja) 2018-06-14
JP6929790B2 JP6929790B2 (ja) 2021-09-01

Family

ID=57394282

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2017559384A Active JP6929790B2 (ja) 2015-05-27 2016-05-24 モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
JP2021131383A Active JP7062819B2 (ja) 2015-05-27 2021-08-11 モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
JP2022068603A Active JP7262646B2 (ja) 2015-05-27 2022-04-19 モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
JP2023064038A Pending JP2023089105A (ja) 2015-05-27 2023-04-11 モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2021131383A Active JP7062819B2 (ja) 2015-05-27 2021-08-11 モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
JP2022068603A Active JP7262646B2 (ja) 2015-05-27 2022-04-19 モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
JP2023064038A Pending JP2023089105A (ja) 2015-05-27 2023-04-11 モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法

Country Status (6)

Country Link
US (3) US11047042B2 (ja)
JP (4) JP6929790B2 (ja)
KR (3) KR102344660B1 (ja)
CN (2) CN107923039B (ja)
TW (2) TWI718915B (ja)
WO (1) WO2016191432A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021516447A (ja) * 2018-03-06 2021-07-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属カルコゲナイドピラーを形成する方法
JP2022536371A (ja) * 2019-06-16 2022-08-15 アプライド マテリアルズ インコーポレイテッド Liイオンバッテリアノード用の保護インターフェース

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6929790B2 (ja) * 2015-05-27 2021-09-01 エーエスエム アイピー ホールディング ビー.ブイ. モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10619242B2 (en) * 2016-12-02 2020-04-14 Asm Ip Holding B.V. Atomic layer deposition of rhenium containing thin films
US10662074B2 (en) 2016-12-30 2020-05-26 Nanoco Technologies Ltd. Template-assisted synthesis of 2D nanosheets using nanoparticle templates
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102184699B1 (ko) * 2017-12-13 2020-12-01 한양대학교 에리카산학협력단 전이금속-디칼코게나이드 박막, 및 그 제조 방법
US20210079519A1 (en) * 2018-02-03 2021-03-18 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material
US11393681B2 (en) 2018-03-07 2022-07-19 Uchicago Argonne, Llc Methods to deposit and etch controlled thin layers of transition metal dichalcogenides
US11447862B2 (en) * 2018-03-07 2022-09-20 Uchicago Argonne, Llc Methods to deposit controlled thin layers of transition metal dichalcogenides
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
CN109182996B (zh) * 2018-11-05 2020-11-24 中国兵器工业第五九研究所 钨合金涂层制备设备及方法
KR102156981B1 (ko) * 2018-11-28 2020-09-16 충북대학교 산학협력단 전이금속 디칼코게나이드 박막의 제조 방법
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11142824B2 (en) 2019-04-23 2021-10-12 Uchicago Argonne, Llc Method of producing thin layer of large area transition metal dichalcogenides MoS2 and others
KR20210009160A (ko) 2019-07-16 2021-01-26 삼성전자주식회사 전이금속 칼코겐 화합물 박막의 형성방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
CN110863189A (zh) * 2019-11-11 2020-03-06 中国科学院上海技术物理研究所 一种脉冲式注入反应物生长单层碲化物掺杂结构的方法
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積
KR20210119809A (ko) 2020-03-25 2021-10-06 삼성전자주식회사 몰리브덴 화합물과 이를 이용한 집적회로 소자의 제조 방법
KR20210154739A (ko) 2020-06-11 2021-12-21 에이에스엠 아이피 홀딩 비.브이. 전이금속 디칼코지나이드 박막의 원자층 증착 및 식각
TW202204662A (zh) * 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11626284B2 (en) * 2020-10-02 2023-04-11 Applied Materials, Inc. Method of forming a 2-dimensional channel material, using ion implantation
KR102589396B1 (ko) 2020-12-01 2023-10-13 고려대학교 산학협력단 전이금속 이황화물 박막의 제조방법, 이를 이용하는 유기발광다이오드 소자 제조방법 및 이에 의해 제조된 유기발광다이오드 소자
TW202231903A (zh) * 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11869806B2 (en) * 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts
CN115448954B (zh) * 2022-10-11 2024-05-03 中山大学 一种ald前驱体钼配合物及其制备方法
KR20240063021A (ko) * 2022-10-31 2024-05-09 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 박막의 증착 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004507551A (ja) * 2000-08-28 2004-03-11 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド ソース材料組成物および化学的蒸着法による基板上への金属膜形成方法
JP2009542654A (ja) * 2006-06-28 2009-12-03 プレジデント アンド フェロウズ オブ ハーバード カレッジ 金属(iv)テトラ−アミジネート化合物ならびに蒸着においての使用
JP2010226092A (ja) * 2009-02-27 2010-10-07 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012099594A (ja) * 2010-11-01 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3429903A (en) * 1968-03-12 1969-02-25 American Metal Climax Inc Method of preparing molybdenum (iii) acetylacetonate
US3784631A (en) 1972-03-09 1974-01-08 Goodyear Tire & Rubber Dimerization or codimerization of alpha-olefins
US5028724A (en) * 1990-03-30 1991-07-02 Air Products And Chemicals, Inc. Synthesis of volatile fluorinated and non-fluorinated metal-beta-ketonate and metal-beta-ketoiminato complexes
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US7323581B1 (en) * 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US5980983A (en) * 1997-04-17 1999-11-09 The President And Fellows Of Harvard University Liquid precursors for formation of metal oxides
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US7034169B1 (en) * 2004-12-30 2006-04-25 Air Products And Chemicals, Inc. Volatile metal β-ketoiminate complexes
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
EP2029790A1 (en) * 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
CN101117308A (zh) 2006-08-04 2008-02-06 浙江医药股份有限公司新昌制药厂 乙酰丙酮酸钼的制备方法
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US20080254218A1 (en) 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
CN101343732A (zh) * 2007-04-16 2009-01-14 气体产品与化学公司 用于化学气相沉积的金属前体溶液
US8142847B2 (en) * 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
WO2010114386A1 (en) * 2009-03-30 2010-10-07 Universitetet I Oslo Thin films containing molybdenum oxide
WO2011095849A1 (en) 2010-02-03 2011-08-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
JP5839830B2 (ja) 2010-04-28 2016-01-06 昭和電工株式会社 有機金属錯体化合物、有機金属錯体化合物の製造方法および有機金属錯体化合物を含む光硬化性組成物
EP2609102B1 (en) * 2010-08-27 2014-12-31 Sigma-Aldrich Co. LLC Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
JP2012209413A (ja) 2011-03-29 2012-10-25 Tdk Corp 太陽電池用金属プリカーサー形成材、太陽電池用金属プリカーサーの製造方法およびib−iiia−via族系化合物太陽電池の製造方法
JP5730670B2 (ja) * 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
KR101464173B1 (ko) 2013-07-23 2014-11-21 영남대학교 산학협력단 전이금속 칼코겐화합물 박막 형성 방법
KR101621470B1 (ko) * 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
WO2015056944A1 (ko) 2013-10-14 2015-04-23 한국화학연구원 몰리브데넘 화합물 또는 텅스텐 화합물, 이의 제조 방법 및 이를 이용하여 박막을 형성하는 방법
FR3016889B1 (fr) * 2014-01-24 2016-01-22 Commissariat Energie Atomique Procede de reaslisation par ald d'une couche mince de formule myx
JP6437324B2 (ja) 2014-03-25 2018-12-12 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
KR101535573B1 (ko) * 2014-11-04 2015-07-13 연세대학교 산학협력단 전이금속 칼코겐 화합물 합성 방법
CN104561937B (zh) 2015-01-05 2017-08-15 上海纳米技术及应用国家工程研究中心有限公司 原子层沉积制备具有固体润滑作用的ws2薄膜方法
JP6929790B2 (ja) * 2015-05-27 2021-09-01 エーエスエム アイピー ホールディング ビー.ブイ. モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
US10358407B2 (en) * 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004507551A (ja) * 2000-08-28 2004-03-11 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド ソース材料組成物および化学的蒸着法による基板上への金属膜形成方法
JP2009542654A (ja) * 2006-06-28 2009-12-03 プレジデント アンド フェロウズ オブ ハーバード カレッジ 金属(iv)テトラ−アミジネート化合物ならびに蒸着においての使用
JP2010226092A (ja) * 2009-02-27 2010-10-07 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012099594A (ja) * 2010-11-01 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021516447A (ja) * 2018-03-06 2021-07-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属カルコゲナイドピラーを形成する方法
JP7064009B2 (ja) 2018-03-06 2022-05-09 アプライド マテリアルズ インコーポレイテッド 金属カルコゲナイドピラーを形成する方法
JP2022536371A (ja) * 2019-06-16 2022-08-15 アプライド マテリアルズ インコーポレイテッド Liイオンバッテリアノード用の保護インターフェース
JP7314320B2 (ja) 2019-06-16 2023-07-25 アプライド マテリアルズ インコーポレイテッド Liイオンバッテリアノード用の保護インターフェース

Also Published As

Publication number Publication date
JP2023089105A (ja) 2023-06-27
CN107923039A (zh) 2018-04-17
JP2021191754A (ja) 2021-12-16
JP7262646B2 (ja) 2023-04-21
JP6929790B2 (ja) 2021-09-01
KR20210129230A (ko) 2021-10-27
CN107923039B (zh) 2021-06-29
US20180127873A1 (en) 2018-05-10
CN113652672A (zh) 2021-11-16
WO2016191432A1 (en) 2016-12-01
JP7062819B2 (ja) 2022-05-06
KR20180012268A (ko) 2018-02-05
US11047042B2 (en) 2021-06-29
TW201641733A (zh) 2016-12-01
US20230227977A1 (en) 2023-07-20
US11624112B2 (en) 2023-04-11
TWI693294B (zh) 2020-05-11
KR102430540B1 (ko) 2022-08-08
TWI718915B (zh) 2021-02-11
KR102314722B1 (ko) 2021-10-20
TW202028508A (zh) 2020-08-01
US20210269915A1 (en) 2021-09-02
JP2022101619A (ja) 2022-07-06
KR102344660B1 (ko) 2021-12-29
CN113652672B (zh) 2023-12-22
KR20220000924A (ko) 2022-01-04

Similar Documents

Publication Publication Date Title
JP7262646B2 (ja) モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
JP7095172B2 (ja) レニウム含有薄膜の原子層堆積
US11667595B2 (en) Synthesis and use of precursors for vapor deposition of tungsten containing thin films
TW201915213A (zh) 利用循環沉積在基材上沉積金屬硫屬化物之方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190509

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200602

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200821

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210423

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210713

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210811

R150 Certificate of patent or registration of utility model

Ref document number: 6929790

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150