CN102446974A - Finfet及其制造方法 - Google Patents

Finfet及其制造方法 Download PDF

Info

Publication number
CN102446974A
CN102446974A CN2011103071700A CN201110307170A CN102446974A CN 102446974 A CN102446974 A CN 102446974A CN 2011103071700 A CN2011103071700 A CN 2011103071700A CN 201110307170 A CN201110307170 A CN 201110307170A CN 102446974 A CN102446974 A CN 102446974A
Authority
CN
China
Prior art keywords
face
fin
insulating regions
finfet
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011103071700A
Other languages
English (en)
Other versions
CN102446974B (zh
Inventor
林宏达
傅竹韵
黄信烨
杨淑婷
陈宏铭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mosaid Technologies Inc
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102446974A publication Critical patent/CN102446974A/zh
Application granted granted Critical
Publication of CN102446974B publication Critical patent/CN102446974B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本公开关于一种鳍片场效应晶体管(FinFET)。FinFET的示例性结构包括具有顶面的基板;在基板顶面上并具有锥形顶面的第一绝缘区域和第二绝缘区域;延伸在第一和第二绝缘区域之间的基板顶面上的基板的鳍片,其中鳍片包括凹陷部分,其具有低于第一和第二绝缘区域的锥形顶面的顶面,其中鳍片包括非凹陷部分,其具有高于所述锥形顶面的顶面;和在所述鳍片的非凹陷部分上的栅极堆叠。

Description

FINFET及其制造方法
技术领域
本发明涉及集成电路制造,更具体地说是涉及鳍片场效应晶体管。
背景技术
由于在追求高器件密度、高性能和低成本中半导体工业已经进步到纳米技术工艺节点,来自制造和设计方面的挑战已经导致了三维设计如鳍片场效应晶体管(FinFET)的发展。使用从通过如蚀刻掉一部分硅层而形成的基板延伸的薄垂直“鳍片”(或鳍片结构)制造典型的FinFET。将FinFET的沟道形成在所述垂直的鳍片中。将栅极提供在所述鳍片的上方(或缠绕)。沟道的两侧都具有栅极使得栅极从两侧控制沟道。另外,在FinFET的凹陷源极/漏极(S/D)部分中的,利用选择性生长硅锗(SiGe)的应变材料可用于提高载体迁移率。
然而,在互补金属-氧化物-半导体(CMOS)的制造中实现这些部件和工艺有挑战。例如,应变材料的非均匀分布导致施加到FinFET的沟道区域上的应力的非均匀性,从而增加器件不稳定和/或器件失灵的可能性。
因此,需要的是改进的器件和制造应变结构的方法。
发明内容
在一个实施例中,鳍片场效应晶体管(FinFET)包括含有顶面的基板;在包括锥形顶面的基板顶面上顶面的第一绝缘区域和第二绝缘区域;延伸到在第一和第二绝缘区域之间的基板顶面上方的基板鳍片,其中鳍片包括凹陷部分,所述凹陷部分具有位于第一和第二绝缘区域的锥形顶面下方的顶面,其中鳍片包括非凹陷部分,所述非凹陷部分具有高于锥形顶面的顶面;和位于鳍片的非凹陷部分上的栅极堆叠。
根据本发明所述的FinFET,其中所述锥形顶面包括平坦部分和锥形侧壁。
根据本发明所述的FinFET,其中所述平坦部分的宽度与所述第一绝缘区域的最大宽度的比率为0.05到0.95。
根据本发明所述的FinFET,其中所述锥形顶面包括弧形顶部。
根据本发明所述的FinFET,其中所述锥形顶面包括在所述锥形顶面中间的最高点。
根据本发明所述的FinFET,其中所述锥形顶面的最低点和所述基板的所述顶面的距离在约100到200nm的范围内。
根据本发明所述的FinFET,其中所述第一绝缘区域还包括在栅极堆叠下的具有平坦顶面的部分。
根据本发明所述的FinFET,其中所述锥形顶面的平坦部分的宽度小于所述平坦顶面的最大宽度。
根据本发明所述的FinFET,其中所述平坦顶面与所述锥形顶面的最高点共平面。
根据本发明所述的FinFET,其中所述平坦顶面高于所述锥形顶面的最高点。
根据本发明所述的FinFET,其中所述平坦顶面和所述锥形顶面的所述最高点之间的距离在约0.1到0.3nm的范围内。
根据本发明所述的FinFET,其中所述鳍片的非平坦部分的所述顶面和所述锥形顶面的最高点之间的距离在约100到200nm的范围内。
根据本发明所述的FinFET还包括:应变材料,在所述鳍片的所述凹陷部分上方,其中所述应变材料具有基本平坦的表面。
在又一个实施例中,制造鳍片场效应晶体管(FinFET)的方法包括提供具有第一绝缘区域和第二绝缘区域(各自具有顶面)的基板,以及位于第一和第二绝缘区域之间的鳍片,其中第一和第二绝缘区域的顶面在鳍片的顶面下方;在一部鳍片上和在一部分第一和第二绝缘区域上形成栅极堆叠;凹陷一部分未被栅极堆叠覆盖的鳍片从而形成鳍片的位于第一和第二绝缘区域的顶面下方的凹陷部分;蚀刻未被栅极堆叠覆盖的第一和第二绝缘区域的顶面的拐角从而形成第一和第二绝缘区域的锥形顶面;然后在鳍片的凹陷部分和第一以及第二绝缘区域的锥形顶面上选择性地生长应变材料。
根据本发明所述的方法,其中所述应变材料生长为具有基本平坦的表面。
根据本发明所述的方法,其中使用湿法蚀刻工艺蚀刻未被所述栅极堆叠覆盖的所述第一和第二绝缘区域的所述顶面的拐角。
根据本发明所述的方法,其中所述湿法蚀刻工艺包括在含有HF的溶液中蚀刻未被所述栅极堆叠覆盖的所述第一和第二绝缘区域的所述顶面的拐角。
根据本发明所述的方法,其中使用非偏干蚀刻工艺蚀刻未被所述栅极堆叠覆盖的所述第一和第二绝缘区域的所述顶面的拐角。
根据本发明所述的方法,其中使用CHF3作为蚀刻气体实施所述非偏干蚀刻工艺。
根据本发明所述的方法,其中使用BF3作为蚀刻气体实施所述非偏干蚀刻工艺。
以下参考附图的实施例给出了详细描述。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各种部件的数量和尺寸可以被任意增加或减少。
图1是根据本发明的各个方面,示出制造FinFET的方法的流程图;以及
图2A-图10C是根据本发明的各个实施例,示出制造的各个阶段的FinFET的透视图和横截面视图。
具体实施方式
据了解为了实施本发明的不同部件,以下公开提供了许多不同的实施例或示例。以下描述元件和布置的特定示例以简化本公开。当然这些仅仅是示例并不打算限定。例如,以下本描述中第一部件形成在第二部件上可包括其中第一部件和第二部件以直接接触形成的实施例,并且也可包括其中额外的部件形成插入到第一部件和第二部件中的实施例,使得第一部件和第二部件不直接接触。另外,本公开可在各个示例中重复参照数字和/或字母。该重复是为了简明和清楚,而且其本身没有指定所述各种实施例和/或结构之间的关系。
参照图1,示出了根据本公开的各个方面制造鳍片场效应晶体管(FinFET)的方法100的流程图。方法100开始于提供基板的步骤102。方法100的下一步是在基板中形成鳍片的步骤104。方法100继续到步骤106其中介电材料沉积到基板上,而且移除介电层的顶部部分从而形成第一和第二绝缘区域,因此第一和第二绝缘区域的顶面低于鳍片的顶面。方法100继续到步骤108其中在一部分鳍片上和一部分第一和第二绝缘区域上形成栅极堆叠。方法100继续到步骤110其中凹陷一部分未被栅极堆叠覆盖的鳍片从而形成鳍片的位于第一和第二绝缘区域的顶面下方的凹陷部分。方法100继续到步骤112,其中蚀刻未被栅极堆叠覆盖的第一和第二绝缘区域的顶面的拐角从而形成第一和第二绝缘区域的锥形顶面。方法100继续到步骤114其中在鳍片的凹陷区域和第一和第二绝缘区域的锥形顶面上选择性地生长应变材料。
如本公开中所使用的,FinFET200指任何基于鳍片的、多栅极晶体管。微处理器、存储单元、和/或其它集成电路(IC)中可包括FinFET200。可注意到图1的方法不生产完整的FinFET200。可使用互补金属-氧化物-半导体(CMOS)技术加工工艺制造完整的FinFET200。因此,可以理解可在图1的方法100之前,中间和之后提供额外的工艺,而且本文中只会简要地描述一些其它工艺。同样,为了更好地理解本公开的发明主旨,简化了图1到图10C。例如,虽然附图示出了FinFET200,可以理解IC可包括多个其它含有电阻器、电容器、电感器、保险丝等的器件。
参考图2A-图10C,示出了根据本发明的各个实施例的在制造的各个阶段的FinFET200的各个透视图和横截面视图。
图2A为根据实施例的处于制造的各个阶段之一上的具有基板202的FinFET200的透视图,且图2B为沿着图2A的线a-a取的FinFET的横截面视图。在一个实施例中,基板202包括晶体硅基板(如晶圆)。取决于设计需求(如p-型基板或n-型基板)基板202可包括各种掺杂区域。在一些实施例中,可使用p-型或n-型掺杂剂掺杂所述掺杂区域。例如,可使用p-型掺杂剂如硼或BF2;n-型掺杂剂如磷或砷;和/或其组合掺杂所述掺杂区域。可为n-型FinFET配置掺杂区域,或可替换地为p-型FinFET配置。
在一些可替换的实施例中,可由一些其它合适的元素半导体如金刚石或锗;合适的化合物半导体如砷化镓、碳化硅、砷化铟或磷化铟;或合适的合金半导体如碳锗硅、磷砷镓、或磷铟镓制造基板202。基板202还可包括为了提高性能可被应变的外延层(外延层),和/或可包括硅上绝缘体(SOI)结构。
通过蚀刻到基板202中形成鳍片。在一个实施例中,在半导体基板202上形成焊接层204a和掩模层204b。焊接层204a可为含有氧化硅(如使用热氧化工艺形成)的薄膜。焊接层204a可作为半导体基板202和掩模层204b之间的粘结层。焊接层204a也可用作蚀刻掩模层204b的蚀刻停止层。在至少一个实施例中,掩模层204b由氮化硅形成,例如使用低压化学气相沉积(LPCVD)或等离子体增强化学气相沉积(PECVD)。在后续的光刻工艺中将掩模层204b用作硬掩模。在掩模层204b上形成光敏层206,然后图案化光敏层206,在光敏层206中形成开口208。
图3A为根据实施例的处于制造的各个阶段之一上的FinFET200的透视图,且图3B为沿着图3A的线a-a取的FinFET的横截面视图。穿过开口208蚀刻掩模层204b和焊接层204a从而暴露出下面的半导体基板202。然后蚀刻暴露的半导体基板202从而形成带有半导体基板202的顶面202s的沟槽210。半导体基板202位于沟槽210之间的部分形成半导体鳍片212。沟槽210可为互相平行的条纹(从FinFET200的顶部看),且紧密地互相间隔。每个沟槽210具有宽度W、深度D,且通过间距S与相邻的沟道间隔分离。例如,沟槽210之间的间距S可小于约30nm。然后移除光敏层206。接着,实施清洗从而移除半导体基板202的原生氧化层。可使用稀释的氢氟酸(DHF)实施清洗。
在一些实施例中,沟槽210的深度D可在约2100埃到约2500埃的范围内,而沟槽210的宽度W可在约300埃到约1500埃的范围内。在示例性实施例中,沟槽210的纵横比(D/W)大于约7.0。在一些其它实施例中,纵横比甚至可能大于8.0。在又一些实施例中,纵横比小于约7.0或在7.0和8.0之间。然而,本领域的技术人员应意识到所有描述中重复的尺寸和值都仅仅是示例而且可以改变从而适应集成电路的不同尺寸。
然后将衬底氧化物(未示出)选择性地形成在沟槽210中。在实施例中,衬底氧化物可以是具有约20埃到约500埃之间厚度的热氧化物。在一些实施例中,可使用现场水汽生成(ISSG)和类似技术形成衬底氧化物。衬底氧化物围绕沟槽210的拐角形成,其降低了电场而因此改进了所得集成电路的性能。
图4A为根据实施例的处于制造的各个阶段之一上的FinFET200的透视图,且图4B为沿着图4A的线a-a取的FinFET的横截面视图。使用介电材料214填充沟槽210。介电材料214可包括氧化硅,因此在本公开中也可称其为氧化物214。在一些实施例中,也可使用其它介电材料如氮化硅、氮氧化硅、掺杂氟硅酸盐玻璃(FSG)或低-K介电材料。在实施例中,可使用高密度等离子体(HDP)CVD工艺,使用硅烷(SiH4)和氧气(O2)作为反应前体形成氧化物214。在其它实施例中,可使用次大气压CVD(SACVD)工艺或高纵横比工艺(HARP)形成氧化物214,其中工艺气体可包括四乙氧基硅烷(TEOS)和/或臭氧(O3)。在又一个实施例中,可使用旋布电介质(SOD)工艺如氢化倍半氧硅烷(HSQ)或甲基倍半氧硅烷(MSQ)形成氧化物214。
图4A和4B示出了介电材料214沉积之后所得到的结构。然后实施化学机械抛光,接着移除掩模层204b和焊接层204a。图5A和5B示出了所得的结构。图5A为根据实施例的处于制造的各个阶段之一上的FinFET200的透视图,且图5B为沿着图5A的线a-a取的FinFET的横截面视图。在下文将沟槽210中氧化物214的剩余部分称为绝缘区域216。在至少一个实施例中,掩模层204b由氮化硅形成,可使用利用热H3PO4的湿法工艺移除掩模层204b,同时可使用稀释的HF酸移除焊接层204a(如果由氧化硅形成)。在一些可替换的实施例中,在凹陷绝缘区域216之后实施掩模层204b和焊接层204a的移除,图6A和6B示出了凹陷步骤。
CMP工艺和掩模层204b以及焊接层204a的移除产生了图5A/5B中示出的结构。如图6A和6B所示,通过蚀刻步骤凹陷绝缘区域216从而导致了凹陷218。在一个实施例中,可使用湿法蚀刻工艺例如通过将基板202下沉到氢氟酸(HF)中实施蚀刻步骤。在另一个实施例中,可使用干法蚀刻工艺实施蚀刻步骤,例如可使用CHF3或BF3作为蚀刻气体实施干法蚀刻工艺。
剩余的绝缘区域216可包括平坦的顶面216t。剩余的绝缘区域216可包括第一隔离区域216a和第二隔离区域216b。而且,半导体鳍片212的上部部分222从剩余的绝缘区域216的平坦顶面216t上方伸出,因此将其用于形成FinFETs200的沟道区域。半导体鳍片212的上部部分222可包括顶面222t和侧壁222s。半导体鳍片212的上部部分222的高度H在约15nm到约50nm的范围内。在一些实施例中,高度H大于50nm或小于15nm。为了简化,在下文中将位于第一和第二绝缘区域216a、216b之间的半导体鳍片212的上部部分222称为沟道鳍片222a从而示出半导体鳍片212的每个上部部分,其中第一和第二绝缘区域216a、216b的平坦顶面216t低于半导体鳍片212的顶面222t。
至此的工艺步骤已经提供了具有第一绝缘区域216a和第二绝缘区域216b(具有各自的顶面216t)的基板202,以及处于第一和第二绝缘区域216a、216b之间的鳍片212,其中第一和第二绝缘区域的顶面216t低于鳍片212的顶面222t。
图7A为根据实施例的处于制造的各个阶段之一上的FinFET200的透视图,且图7B为沿着图7A的线a-a取的FinFET的横截面视图。将栅极堆叠220形成在基板202上,形成在沟道鳍片222a的非凹陷部分的顶面222t和侧壁222s上并且延伸到第一和第二绝缘区域216a、216b的平坦顶面216t上。在一些实施例中,栅极堆叠220包括栅极介电层220a和位于栅极介电层上的栅极电极层220b。
在图7A和7B中,将栅极介电层220a形成为覆盖沟道鳍片222a的顶面222t和侧壁222s。在一些实施例中,栅极介电层220a可包括氧化硅、氮化硅、氮氧化硅或高-k电介质。高-k电介质包括金属氧化物。用于高-k电介质的金属氧化物的示例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物,和/或其混合物。在本实施例中,栅极介电层220a为具有约10到30埃厚度的高-k介电材料。可使用合适的工艺如原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、热氧化、UV-臭氧氧化或其组合形成栅极介电层220a。栅极介电层220a还包括界面层(未示出)从而减少栅极介电层220a和沟道鳍片222a之间的损伤。
然后在栅极介电层220a上形成栅极电极层220b。在至少一个实施例中,栅极电极层220b覆盖多个半导体鳍片212的上部部分222,因此得到的FinFET200包括多个鳍片。在一些可替换的实施例中,可使用半导体鳍片212的每个上部部分222形成独立的FinFET200。在一些实施例中,栅极电极层220b可包括单层或多层结构。在本实施例中,栅极电极层220b可包括多晶硅。而且,可使用均匀或非均匀掺杂使栅极电极层220b为掺杂的多晶硅。在一些可替换的实施例中,栅极电极层220b可包括金属如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlN、TaN、NiSi、CoSi、其它具有与基板材料兼容的逸出功的导电材料、或其组合物。在本实施例中,栅极电极层220b包括介于约30nm到约60nm范围内的厚度。可使用合适的工艺如ALD、CVD、PVD、电镀、或其组合物形成栅极电极层220b。
再参考图7A,FinFET200还包括在基板202上且沿着栅极堆叠220的边的介电层224。在一些实施例中,介电层224可包括氧化硅、氮化硅、氮氧化硅、或其它合适的材料。介电层224可包括单层或多层结构。可通过CVD、PVD、ALD、或其它合适的技术形成介电层224的疏水层。然后在介电层224上实施各向异性蚀刻从而在栅极堆叠220的两侧形成间隔224。介电层224具有约5到15nm的厚度。
图8A为根据实施例的处于制造的各个阶段之一上的FinFET200的透视图,且图8B为沿着图8A的线b-b取的FinFET的横截面视图。将半导体鳍片212上未被栅极堆叠220和间隔224覆盖的部分凹陷从而形成鳍片212的凹陷部分226,所述凹陷部分226具有低于第一和第二绝缘区域216a、216b的平坦顶面216t的顶面212r。在一个实施例中,使用一对间隔224作为硬掩模,实施偏蚀刻工艺以凹陷未被保护或被暴露的沟道鳍片222a的顶面222t从而形成半导体鳍片212的凹陷部分226。在实施例中,可在约1mTorr到1000mTorr的压力、约50W到1000W的功率、约20V到500V的偏电压、约40℃到60℃的温度下,使用蚀刻气体HBr和/或C12实施蚀刻工艺。同样,在所提供的实施例中,可以调整蚀刻工艺中使用的偏电压从而更好地控制蚀刻方向以得到半导体鳍片212的凹陷部分226的目标轮廓。
图9A为根据实施例的处于制造的各个阶段之一上的FinFET200的透视图,且图9B为沿着图9A的线b-b取的FinFET的横截面视图。半导体鳍片212的凹陷部分226形成之后,蚀刻未被栅极堆叠220覆盖的第一和第二绝缘区域216a、216b的平坦顶面216t的拐角从而形成第一和第二绝缘区域216a、216b的锥形顶面216u。在一个实施例中,可使用湿法蚀刻工艺实施蚀刻步骤,例如通过将基板202下沉到氢氟酸(HF)中。在另一个实施例中,可使用非偏干法蚀刻工艺实施蚀刻步骤,例如使用CHF3或BF3作为蚀刻气体实施干法蚀刻工艺。
在一个实施例中,第一和第二绝缘区域216a、216b的锥形顶面216u包括平坦的部分和倾斜的或斜面的侧壁(如图9A和9B中所示)。因此,锥形顶面216u的平坦部分的宽度W2小于平坦顶面216u的最大宽度W1。在一个实施例中,平坦部分的宽度W2与第一绝缘区域216a的最大宽度W3的比率为0.05到0.95。锥形顶面216u的最低点和基板202的顶面202s的距离D1在约100到200nm的范围内。
图9C为另一个FinFET200实施例的横截面视图。在图9C示出的实施例中,进一步移除未被栅极堆叠220覆盖的第一和第二绝缘区域216a、216b的平坦顶面216t的拐角直到锥形顶面216u的平坦部分消失从而形成锥形顶部表面216u(图9C中所示)的弧形顶部。可以观察到相邻的半导体鳍片212之间的间隔具有中线228,中线228高于靠近半导体鳍片222的锥形顶面216u的弧形顶部。换句话说,锥形顶面216u包括处于圆锥形顶面216u中间的最高点P。而且,锥形顶面216u的最低点和基板202的顶面202s的距离D2在约100到200nm之间。在一个实施例中,平坦顶面216t与锥形顶面216u的最高点P共平面。在另一个实施例中,平坦顶面216t高于平坦顶面216u的最高点P。平坦顶面216t和锥形顶面216u的最高点P之间的距离D3在约0.1到0.3nm的范围内。在又一个实施例中,半导体鳍片212还包括在栅极堆叠220下方具有比锥形顶面216u高的顶面222t的非凹陷部分。半导体鳍片212的非凹陷部分的顶面222t和锥形顶面216u的最高点P之间的距离D4在约100到200nm的范围内。
图10A为根据实施例的处于制造的各个阶段之一上的FinFET200的透视图,且图10B为沿着图10A的线b-b取的FinFET的横截面视图。图10C为另一个具有形成在图9C所示结构上的应变材料230的FinFET200实施例的横截面视图。然后,通过选择性地在半导体鳍片212的凹陷部分226上生长应变材料230以及延伸到第一和第二绝缘区域216a、216b的锥形顶面216u上产生图10A、10Ba和10C所示的结构。由于应变材料230的晶格常数与基板202不同,因此拉紧或压缩半导体鳍片212的沟道区域以实现器件的载体迁移率和提高器件性能。在至少一个实施例中,通过LPCVD工艺外延生长应变材料230如碳化硅(SiC)从而形成n-型FinFET的源极和漏极区域。在约400到800℃的温度,约1到200Torr的压力下,使用Si3H8和SiH3CH作为反应气体实施LPCVD工艺。在至少另一个实施例中,通过LPCVD工艺外延增长应变材料230如锗硅(SiGe)从而形成p-型FinFET的源极和漏极区域。在约400到800℃的温度,约1到200Torr的压力下,使用SiH4和GeH4作为反应气体实施LPCVD工艺。
在本实施例中,应变材料230的选择性增长继续直到材料230在基板202的表面202a的上方垂直延伸的距离介于10到100nm之间且横向延伸在第一和第二绝缘区域216a、216b的锥形顶面216u上。应该注意到第一和第二绝缘区域216a、216b的锥形顶面216u使得增长前体在应变材料230从半导体鳍片212的不同凹陷部分226选择性增长期间更容易接触到增长表面从而消除合并的应变材料230下方的空隙。在一些实施例中,合并的应变材料230下方的空隙降低了应变材料230的应变效率,如带有空隙的应变材料230向FinFEt的沟道区域中提供的应力比应变材料230中未形成空隙的结构少,从而增加了期间不稳定和/或器件失灵的可能性。在本实施例中,当将从不同的凹陷部分226生长来的应变材料230合并时应变材料230具有基本平坦的表面。因此,制造FinFET200的本方法可制造空隙降低的应变结构从而提高载体迁移率和器件性能。
可以了解FinFET200可经历进一步的CMOS工艺从而形成各种部件如触点/通孔、互连金属层、介电层、钝化层等。可以观察到改进过的绝缘和应变结构提供一定数量的应力给FinFET的沟道区域,从而提高器件性能。
虽然通过示例和根据优选的实施例描述了本发明,但是应理解本发明不限于公开的实施例。相反地,本发明意图涵盖各种改进和相似的布置(对本领域的技术人员来说显而易见的)。因此,所附权利要求的范围应与最广泛的解释一致以涵盖所有这些改进和相似的布置。

Claims (10)

1.一种鳍片场效应晶体管(FinFET),包括:
基板,包含顶面;
第一绝缘区域和第二绝缘区域,在所述基板顶表面上且包含锥形顶表面;
所述基板的鳍片,延伸在所述第一和第二绝缘区域之间的所述基板顶面上,其中所述鳍片包括凹陷部分,所述凹陷部分的顶面低于所述第一和第二绝缘区域的所述锥形顶面,其中所述鳍片包括非凹陷部分,所述非凹陷部分的顶面高于所述锥形顶面;以及
栅极堆叠,其在所述鳍片的所述非凹陷部分上。
2.根据权利要求1所述的FinFET,其中所述锥形顶面包括平坦部分和锥形侧壁。
3.根据权利要求2所述的FinFET,其中所述平坦部分的宽度与所述第一绝缘区域的最大宽度的比率为0.05到0.95。
4.根据权利要求1所述的FinFET,其中所述锥形顶面包括弧形顶部。
5.根据权利要求1所述的FinFET,其中所述锥形顶面包括在所述锥形顶面中间的最高点。
6.根据权利要求1所述的FinFET,其中所述锥形顶面的最低点和所述基板的所述顶面的距离在100到200nm的范围内。
7.根据权利要求1所述的FinFET,其中所述第一绝缘区域还包括在栅极堆叠下的具有平坦顶面的部分。
8.根据权利要求7所述的FinFET,其中所述锥形顶面的平坦部分的宽度小于所述平坦顶面的最大宽度。
9.根据权利要求7所述的FinFET,其中所述平坦顶面与所述锥形顶面的最高点共平面。
10.一种制造鳍片场效应晶体管(FinFET)的方法,包括:
提供基板,所述基板具有分别包括顶面的第一绝缘区域和第二绝缘区域的,和介于所述第一和第二绝缘区域之间的鳍片,其中所述第一和第二绝缘区域的所述顶面低于所述鳍片的顶面;
在一部分所述鳍片和一部分所述第一和第二绝缘区域上方形成栅极堆叠;
凹陷一部分未被所述栅极堆叠覆盖的所述鳍片,从而形成所述鳍片的凹陷部分低于所述第一和第二绝缘区域的顶面;
蚀刻未被所述栅极堆叠覆盖的所述第一和第二绝缘区域的顶面的拐角从而形成所述第一和第二绝缘区域的锥形顶面;以及
在所述鳍片的所述凹陷部分和所述第一和第二绝缘区域的所述锥形顶面上选择性地生长应变材料。
CN201110307170.0A 2010-10-13 2011-10-11 Finfet及其制造方法 Active CN102446974B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/903,712 2010-10-13
US12/903,712 US8440517B2 (en) 2010-10-13 2010-10-13 FinFET and method of fabricating the same

Publications (2)

Publication Number Publication Date
CN102446974A true CN102446974A (zh) 2012-05-09
CN102446974B CN102446974B (zh) 2014-05-14

Family

ID=45933410

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110307170.0A Active CN102446974B (zh) 2010-10-13 2011-10-11 Finfet及其制造方法

Country Status (3)

Country Link
US (5) US8440517B2 (zh)
CN (1) CN102446974B (zh)
TW (1) TWI431778B (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103094275A (zh) * 2011-11-04 2013-05-08 台湾积体电路制造股份有限公司 具有mom电容器的集成电路及其制造方法
CN103531467A (zh) * 2012-07-02 2014-01-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103578964A (zh) * 2012-07-20 2014-02-12 中芯国际集成电路制造(上海)有限公司 半导体结构和鳍式场效应管的形成方法、刻蚀装置
WO2014071650A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 半导体器件及其制造方法
WO2014071652A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 半导体器件及其制造方法
CN103985755A (zh) * 2013-02-08 2014-08-13 中国科学院微电子研究所 半导体设置及其制造方法
CN104471714A (zh) * 2012-06-13 2015-03-25 美商新思科技有限公司 N沟道和P沟道端对端FinFET单元架构
CN104576386A (zh) * 2013-10-14 2015-04-29 中国科学院微电子研究所 一种FinFET及其制造方法
CN104681615A (zh) * 2013-11-26 2015-06-03 台湾积体电路制造股份有限公司 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法
CN105097517A (zh) * 2014-04-25 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制造方法、电子装置
CN105097522A (zh) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN105304488A (zh) * 2014-05-29 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应晶体管的形成方法
CN105513966A (zh) * 2014-09-26 2016-04-20 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN106252391A (zh) * 2015-06-09 2016-12-21 联华电子股份有限公司 半导体结构及其制作方法
CN106711217A (zh) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN106711142A (zh) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN107045986A (zh) * 2016-02-01 2017-08-15 格罗方德半导体公司 在FinFET器件上形成应变沟道区的方法
CN107275331A (zh) * 2012-09-28 2017-10-20 英特尔公司 沟槽限定的外延生长器件层
CN109216181A (zh) * 2012-11-30 2019-01-15 中国科学院微电子研究所 鳍结构制造方法

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8426923B2 (en) 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
US8207038B2 (en) * 2010-05-24 2012-06-26 International Business Machines Corporation Stressed Fin-FET devices with low contact resistance
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US9064892B2 (en) 2011-08-30 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
US8723236B2 (en) * 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
KR101964262B1 (ko) * 2011-11-25 2019-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8609480B2 (en) * 2011-12-21 2013-12-17 Globalfoundries Inc. Methods of forming isolation structures on FinFET semiconductor devices
US9087687B2 (en) 2011-12-23 2015-07-21 International Business Machines Corporation Thin heterostructure channel device
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9490318B2 (en) * 2012-06-15 2016-11-08 Lawrence Livermore National Security, Llc Three dimensional strained semiconductors
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US8969963B2 (en) 2012-10-12 2015-03-03 International Business Machines Corporation Vertical source/drain junctions for a finFET including a plurality of fins
TWI573270B (zh) * 2012-10-29 2017-03-01 聯華電子股份有限公司 多閘極場效電晶體及其製程
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US8815668B2 (en) 2012-12-07 2014-08-26 International Business Machines Corporation Preventing FIN erosion and limiting Epi overburden in FinFET structures by composite hardmask
US9812556B2 (en) * 2012-12-28 2017-11-07 Renesas Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device
KR102017616B1 (ko) * 2013-01-02 2019-09-03 삼성전자주식회사 전계 효과 트랜지스터
US9368619B2 (en) 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
US9466668B2 (en) 2013-02-08 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Inducing localized strain in vertical nanowire transistors
US9209066B2 (en) * 2013-03-01 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of semiconductor device
CN110098186B (zh) 2013-03-30 2023-08-04 英特尔公司 基于鳍状物的晶体管架构上的平面器件
US20140306286A1 (en) * 2013-04-10 2014-10-16 International Business Machines Corporation Tapered fin field effect transistor
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
CN103346086B (zh) * 2013-05-31 2016-08-10 上海华力微电子有限公司 嵌入式锗硅结构的制造方法
KR20140142423A (ko) * 2013-06-03 2014-12-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9093531B2 (en) 2013-06-11 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9257427B2 (en) 2013-07-15 2016-02-09 Globalfoundries Inc. Merged tapered finFET
US9293587B2 (en) 2013-07-23 2016-03-22 Globalfoundries Inc. Forming embedded source and drain regions to prevent bottom leakage in a dielectrically isolated fin field effect transistor (FinFET) device
US9048317B2 (en) * 2013-07-31 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
CN104425601B (zh) * 2013-08-30 2018-02-16 中国科学院微电子研究所 半导体器件及其制造方法
US9287262B2 (en) * 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
US9141745B2 (en) 2013-10-31 2015-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for designing Fin-FET semiconductor device
US9570609B2 (en) 2013-11-01 2017-02-14 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
US9484423B2 (en) 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
US9601381B2 (en) * 2013-12-05 2017-03-21 Stmicroelectronics (Crolles 2) Sas Method for the formation of a finFET device with epitaxially grown source-drain regions having a reduced leakage path
US9882053B2 (en) * 2013-12-23 2018-01-30 Intel Corporation Molded dielectric fin-based nanostructure
US9112033B2 (en) * 2013-12-30 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9431523B2 (en) * 2014-01-16 2016-08-30 Globalfoundries Inc. Local thinning of semiconductor fins
US9793268B2 (en) * 2014-01-24 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for gap filling improvement
KR102151768B1 (ko) * 2014-01-27 2020-09-03 삼성전자주식회사 반도체 장치 및 그 제조방법
KR102170856B1 (ko) * 2014-02-19 2020-10-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9647113B2 (en) * 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9461170B2 (en) 2014-04-23 2016-10-04 Taiwan Semiconductor Manufacturing Company Ltd. FinFET with ESD protection
KR102146469B1 (ko) 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9214462B2 (en) 2014-05-01 2015-12-15 International Business Machines Corporation Recessed source drain contact regions independent of device pitch by unmerged epitaxy on fin portions
KR102158961B1 (ko) 2014-05-13 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9312364B2 (en) * 2014-05-27 2016-04-12 International Business Machines Corporation finFET with dielectric isolation after gate module for improved source and drain region epitaxial growth
TWI615976B (zh) 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
US9299803B2 (en) 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9647098B2 (en) 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
CN106663667B (zh) 2014-08-29 2020-02-14 英特尔公司 用于用多个金属层填充高纵横比的窄结构的技术以及相关联的配置
US9536985B2 (en) 2014-09-29 2017-01-03 Globalfoundries Inc. Epitaxial growth of material on source/drain regions of FinFET structure
US9450093B2 (en) 2014-10-15 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US10164108B2 (en) * 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9391201B2 (en) * 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
KR20160072476A (ko) * 2014-12-15 2016-06-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
EP3238264A4 (en) * 2014-12-23 2018-08-22 Intel Corporation Apparatus and methods of forming fin structures with sidewall liner
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9397162B1 (en) * 2014-12-29 2016-07-19 Globalfoundries Inc. FinFET conformal junction and abrupt junction with reduced damage method and device
US9406752B2 (en) 2014-12-29 2016-08-02 Globalfoundries Inc. FinFET conformal junction and high EPI surface dopant concentration method and device
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9478660B2 (en) 2015-01-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Protection layer on fin of fin field effect transistor (FinFET) device structure
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9564528B2 (en) 2015-01-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9391078B1 (en) 2015-01-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finFET devices
US9349859B1 (en) 2015-01-29 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Top metal pads as local interconnectors of vertical transistors
US9543439B2 (en) * 2015-01-30 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US9406680B1 (en) 2015-02-13 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
KR102320049B1 (ko) 2015-02-26 2021-11-01 삼성전자주식회사 경사진 활성 영역을 갖는 반도체 소자
US9564493B2 (en) 2015-03-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
US9406675B1 (en) 2015-03-16 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method of manufacturing the same
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
KR102326316B1 (ko) * 2015-04-10 2021-11-16 삼성전자주식회사 반도체 소자의 제조 방법
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
KR102251061B1 (ko) 2015-05-04 2021-05-14 삼성전자주식회사 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US9530889B2 (en) 2015-05-21 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
KR102395073B1 (ko) 2015-06-04 2022-05-10 삼성전자주식회사 반도체 소자
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
CN106328526A (zh) * 2015-06-25 2017-01-11 联华电子股份有限公司 鳍状晶体管与鳍状晶体管的制作方法
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US9391065B1 (en) * 2015-06-29 2016-07-12 Globalfoundries Inc. Electrostatic discharge and passive structures integrated in a vertical gate fin-type field effect diode
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9583623B2 (en) 2015-07-31 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof
CN106409748B (zh) 2015-08-03 2020-11-17 联华电子股份有限公司 半导体元件及其制作方法
US10164096B2 (en) 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9666581B2 (en) 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9905641B2 (en) 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10032873B2 (en) 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9647122B2 (en) 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
CN114300363A (zh) * 2015-09-16 2022-04-08 蓝枪半导体有限责任公司 半导体元件及其制作方法
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
US10177143B2 (en) * 2015-10-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Limited FinFET device and method for fabricating the same
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
TWI683395B (zh) 2015-11-12 2020-01-21 聯華電子股份有限公司 鰭狀電晶體與鰭狀電晶體的製作方法
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9553191B1 (en) * 2015-11-16 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US11264452B2 (en) 2015-12-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
TWI707403B (zh) 2016-01-06 2020-10-11 聯華電子股份有限公司 半導體元件及其製作方法
CN105702737B (zh) * 2016-02-05 2019-01-18 中国科学院微电子研究所 连接有负电容的多栅FinFET及其制造方法及电子设备
US9825036B2 (en) 2016-02-23 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device
US9691765B1 (en) 2016-03-08 2017-06-27 International Business Machines Corporation Fin type field effect transistors with different pitches and substantially uniform fin reveal
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US9748389B1 (en) 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9905692B2 (en) * 2016-05-20 2018-02-27 Globalfoundries Inc. SOI FinFET fins with recessed fins and epitaxy in source drain region
US9786784B1 (en) * 2016-05-27 2017-10-10 Samsung Electronics Co., Ltd. Vertical field effect transistor and method of fabricating the same
US9899382B2 (en) 2016-06-01 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10115624B2 (en) 2016-06-30 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US10164098B2 (en) 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10205002B2 (en) 2016-07-26 2019-02-12 Applied Materials, Inc. Method of epitaxial growth shape control for CMOS applications
US9870926B1 (en) 2016-07-28 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9793105B1 (en) 2016-08-02 2017-10-17 United Microelectronics Corporation Fabricating method of fin field effect transistor (FinFET)
WO2018063277A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Integrated circuit devices with non-collapsed fins and methods of treating the fins to prevent fin collapse
US10008418B2 (en) 2016-09-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US10026840B2 (en) 2016-10-13 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
US10312346B2 (en) * 2016-10-19 2019-06-04 International Business Machines Corporation Vertical transistor with variable gate length
US10510618B2 (en) 2016-10-24 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET EPI channels having different heights on a stepped substrate
US9741823B1 (en) * 2016-10-28 2017-08-22 Internation Business Machines Corporation Fin cut during replacement gate formation
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10872889B2 (en) 2016-11-17 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10529861B2 (en) 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11437516B2 (en) 2016-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for growing epitaxy structure of finFET device
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10490661B2 (en) 2016-11-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dopant concentration boost in epitaxially formed material
US10515951B2 (en) 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10453943B2 (en) * 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US9935173B1 (en) 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10431670B2 (en) 2016-12-15 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd Source and drain formation technique for fin-like field effect transistor
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10522694B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US10134876B2 (en) 2017-03-31 2018-11-20 Globalfoundries Inc. FinFETs with strained channels and reduced on state resistance
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US9941150B1 (en) 2017-04-27 2018-04-10 International Business Machines Corporation Method and structure for minimizing fin reveal variation in FinFET transistor
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
KR102432467B1 (ko) 2017-08-30 2022-08-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10535529B2 (en) 2018-06-05 2020-01-14 International Business Machines Corporation Semiconductor fin length variability control
US10861973B2 (en) 2018-06-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with a diffusion blocking layer
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10861969B2 (en) 2018-07-16 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming FinFET structure with reduced Fin buckling
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10553707B1 (en) * 2018-08-22 2020-02-04 Globalfoundries Inc. FinFETs having gates parallel to fins
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11101347B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
US11024650B2 (en) 2019-04-26 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and a method for fabricating the same
US11282934B2 (en) 2019-07-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for metal gate electrode and method of fabrication
US11557590B2 (en) 2020-02-19 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate profile optimization
US12046479B2 (en) 2020-08-13 2024-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-containing STI liner for SiGe channel
CN114121678B (zh) * 2022-01-27 2022-04-29 广东省大湾区集成电路与系统应用研究院 一种finfet的制造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040150054A1 (en) * 2003-01-29 2004-08-05 Kawasaki Microelectronics, Inc. Semiconductor integrated circuit including fuse device and method for manufacturing the same
US20080073667A1 (en) * 2006-09-27 2008-03-27 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
CN101261991A (zh) * 2007-03-06 2008-09-10 台湾积体电路制造股份有限公司 半导体结构与芯片
US20080242010A1 (en) * 2004-01-17 2008-10-02 Hwa-Sung Rhee At least penta-sided-channel type of finfet transistor
CN101303975A (zh) * 2007-05-07 2008-11-12 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其形成方法

Family Cites Families (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2833946B2 (ja) 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
KR0146203B1 (ko) 1995-06-26 1998-12-01 김광호 반도체 집적회로의 회로소자값 조정회로
US5963789A (en) 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US5949986A (en) 1996-09-05 1999-09-07 California Institute Of Technology Chip connectivity verification program
US6065481A (en) 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
TW468273B (en) 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3660783B2 (ja) 1997-06-30 2005-06-15 松下電器産業株式会社 半導体集積回路
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
JP4044721B2 (ja) 2000-08-15 2008-02-06 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6558477B1 (en) 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US6830994B2 (en) 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
FR2830984B1 (fr) 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6737302B2 (en) 2001-10-31 2004-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4118045B2 (ja) 2001-12-07 2008-07-16 富士通株式会社 半導体装置
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
JP2004014737A (ja) 2002-06-06 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6713365B2 (en) 2002-09-04 2004-03-30 Macronix International Co., Ltd. Methods for filling shallow trench isolations having high aspect ratios
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP4031329B2 (ja) 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6791155B1 (en) 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6946373B2 (en) 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7087499B2 (en) 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US20040192067A1 (en) 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6872647B1 (en) 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
TWI242232B (en) 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6881668B2 (en) 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
KR100585111B1 (ko) 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
US7153744B2 (en) 2003-12-03 2006-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-aligned poly for embedded flash
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
KR100552058B1 (ko) 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7299005B1 (en) 2004-01-07 2007-11-20 Sprint Spectrum L.P. Radio frequency repeater with automated block/channel selection
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
US6956277B1 (en) 2004-03-23 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Diode junction poly fuse
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050221591A1 (en) 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100605104B1 (ko) 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
JP4493398B2 (ja) 2004-05-13 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置
US7157351B2 (en) 2004-05-20 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone vapor clean method
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US6940747B1 (en) 2004-05-26 2005-09-06 Hewlett-Packard Development Company, L.P. Magnetic memory device
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
WO2005122276A1 (ja) 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法
KR100604870B1 (ko) 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100594282B1 (ko) 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
JP5203558B2 (ja) 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
TWI283066B (en) 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7067400B2 (en) 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
KR20070057200A (ko) 2004-09-27 2007-06-04 다우 글로벌 테크놀로지스 인크. 플라즈마 강화 화학 기상 증착에 의한 다층 코팅
US7018901B1 (en) 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
US6949768B1 (en) 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
KR100605499B1 (ko) 2004-11-02 2006-07-28 삼성전자주식회사 리세스된 게이트 전극을 갖는 모스 트랜지스터 및 그제조방법
KR100693783B1 (ko) 2004-11-04 2007-03-12 주식회사 하이닉스반도체 내부전원 발생장치
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
JP4696127B2 (ja) 2004-12-06 2011-06-08 エヌエックスピー ビー ヴィ 半導体基板上にエピタキシャル層を形成する方法およびこの方法によって形成するデバイス
US7026232B1 (en) 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US20060151808A1 (en) 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
JP4958797B2 (ja) 2005-02-24 2012-06-20 ソイテック SiGe層の表面領域を酸化させる方法、SGOI構造体内の少なくとも1つの接合境界面を安定化させる方法、及びSiGe層を半導体材料製の基板層と接合する方法
JP2006303451A (ja) 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US7338614B2 (en) 2005-04-05 2008-03-04 Analog Devices, Inc. Vapor HF etch process mask and method
JP2006324628A (ja) 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
JPWO2006132172A1 (ja) * 2005-06-07 2009-01-08 日本電気株式会社 フィン型電界効果型トランジスタ、半導体装置及びその製造方法
JP4427489B2 (ja) 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
KR100655788B1 (ko) 2005-06-30 2006-12-08 삼성전자주식회사 반도체 소자의 세정방법 및 이를 이용한 반도체 소자의제조방법.
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
EP1744351A3 (en) 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
JP4774247B2 (ja) 2005-07-21 2011-09-14 Okiセミコンダクタ株式会社 電圧レギュレータ
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
US7323389B2 (en) 2005-07-27 2008-01-29 Freescale Semiconductor, Inc. Method of forming a FINFET structure
JP4749076B2 (ja) 2005-07-27 2011-08-17 ルネサスエレクトロニクス株式会社 半導体装置
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
KR101155097B1 (ko) 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
US7323374B2 (en) 2005-09-19 2008-01-29 International Business Machines Corporation Dense chevron finFET and method of manufacturing same
US7589387B2 (en) 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7767541B2 (en) 2005-10-26 2010-08-03 International Business Machines Corporation Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7718500B2 (en) 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070152276A1 (en) 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP2007194336A (ja) 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
KR100827435B1 (ko) 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP2007258485A (ja) 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
JP4791868B2 (ja) 2006-03-28 2011-10-12 株式会社東芝 Fin−NAND型フラッシュメモリ
US7407847B2 (en) 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
KR100813527B1 (ko) 2006-04-06 2008-03-17 주식회사 하이닉스반도체 반도체 메모리의 내부 전압 발생 장치
US8076189B2 (en) 2006-04-11 2011-12-13 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
DE602007000665D1 (de) 2006-06-12 2009-04-23 St Microelectronics Sa Verfahren zur Herstellung von auf Si1-yGey basierenden Zonen mit unterschiedlichen Ge-Gehalten auf ein und demselben Substrat mittels Kondensation von Germanium
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8211761B2 (en) 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7685911B2 (en) 2006-09-05 2010-03-30 Proxene Tools Co., Ltd. Monkey wrench
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7410854B2 (en) 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
CN100527380C (zh) 2006-11-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片浅沟槽隔离刻蚀的方法
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US7538391B2 (en) 2007-01-09 2009-05-26 International Business Machines Corporation Curved FINFETs
US7456087B2 (en) 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
JP2008227026A (ja) 2007-03-12 2008-09-25 Toshiba Corp 半導体装置の製造方法
KR100844938B1 (ko) 2007-03-16 2008-07-09 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7727842B2 (en) 2007-04-27 2010-06-01 Texas Instruments Incorporated Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
TW200901368A (en) 2007-06-23 2009-01-01 Promos Technologies Inc Shallow trench isolation structure and method for forming thereof
JP2009016418A (ja) 2007-07-02 2009-01-22 Nec Electronics Corp 半導体装置
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US7692213B2 (en) 2007-08-07 2010-04-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
US20090053883A1 (en) 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JP4361102B2 (ja) 2007-09-12 2009-11-11 富士フイルム株式会社 圧電素子の製造方法
CN101145916A (zh) 2007-10-12 2008-03-19 数字科技股份有限公司 网路安全认证系统
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US8440917B2 (en) * 2007-11-19 2013-05-14 International Business Machines Corporation Method and apparatus to reduce impedance discontinuity in packages
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US8189376B2 (en) 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
CN101960570A (zh) 2008-02-26 2011-01-26 Nxp股份有限公司 制造半导体器件的方法和半导体器件
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
US8987092B2 (en) * 2008-04-28 2015-03-24 Spansion Llc Methods for fabricating memory cells having fin structures with semicircular top surfaces and rounded top corners and edges
US8258585B2 (en) 2008-05-29 2012-09-04 Panasonic Corporation Semiconductor device
US8716786B2 (en) 2008-06-17 2014-05-06 Infineon Technologies Ag Semiconductor device having different fin widths
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US7923321B2 (en) 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US8247285B2 (en) 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US8120063B2 (en) 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
CA2659912C (en) 2009-03-24 2012-04-24 Sarah Mary Brunet Nasal prong protector
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8236658B2 (en) 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8298925B2 (en) * 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8785286B2 (en) 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US20110256682A1 (en) 2010-04-15 2011-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
DE102010029527B4 (de) * 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
DE102010038742B4 (de) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
US8367498B2 (en) * 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8435845B2 (en) * 2011-04-06 2013-05-07 International Business Machines Corporation Junction field effect transistor with an epitaxially grown gate structure
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US8866192B1 (en) * 2013-07-17 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, high electron mobility transistor (HEMT) and method of manufacturing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040150054A1 (en) * 2003-01-29 2004-08-05 Kawasaki Microelectronics, Inc. Semiconductor integrated circuit including fuse device and method for manufacturing the same
US20080242010A1 (en) * 2004-01-17 2008-10-02 Hwa-Sung Rhee At least penta-sided-channel type of finfet transistor
US20080073667A1 (en) * 2006-09-27 2008-03-27 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
CN101261991A (zh) * 2007-03-06 2008-09-10 台湾积体电路制造股份有限公司 半导体结构与芯片
CN101303975A (zh) * 2007-05-07 2008-11-12 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其形成方法

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11133301B2 (en) 2011-11-04 2021-09-28 Taiwan Semiconductor Manafacturing Company, Ltd. Integrated circuit having a MOM capacitor and transistor
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
US10163896B2 (en) 2011-11-04 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
CN103094275A (zh) * 2011-11-04 2013-05-08 台湾积体电路制造股份有限公司 具有mom电容器的集成电路及其制造方法
CN104471714A (zh) * 2012-06-13 2015-03-25 美商新思科技有限公司 N沟道和P沟道端对端FinFET单元架构
CN103531467A (zh) * 2012-07-02 2014-01-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103531467B (zh) * 2012-07-02 2016-08-03 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103578964A (zh) * 2012-07-20 2014-02-12 中芯国际集成电路制造(上海)有限公司 半导体结构和鳍式场效应管的形成方法、刻蚀装置
CN103578964B (zh) * 2012-07-20 2016-12-28 中芯国际集成电路制造(上海)有限公司 半导体结构和鳍式场效应管的形成方法、刻蚀装置
CN107275331A (zh) * 2012-09-28 2017-10-20 英特尔公司 沟槽限定的外延生长器件层
US9397096B2 (en) 2012-11-09 2016-07-19 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
WO2014071652A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 半导体器件及其制造方法
WO2014071650A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 半导体器件及其制造方法
US9601566B2 (en) 2012-11-09 2017-03-21 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
CN109216181A (zh) * 2012-11-30 2019-01-15 中国科学院微电子研究所 鳍结构制造方法
WO2014121538A1 (zh) * 2013-02-08 2014-08-14 中国科学院微电子研究所 半导体设置及其制造方法
CN103985755A (zh) * 2013-02-08 2014-08-13 中国科学院微电子研究所 半导体设置及其制造方法
US9450100B2 (en) 2013-02-08 2016-09-20 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangements and methods of manufacturing the same
CN103985755B (zh) * 2013-02-08 2017-10-27 中国科学院微电子研究所 半导体设置及其制造方法
CN104576386A (zh) * 2013-10-14 2015-04-29 中国科学院微电子研究所 一种FinFET及其制造方法
CN104576386B (zh) * 2013-10-14 2018-01-12 中国科学院微电子研究所 一种FinFET及其制造方法
CN104681615A (zh) * 2013-11-26 2015-06-03 台湾积体电路制造股份有限公司 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法
CN104681615B (zh) * 2013-11-26 2017-09-29 台湾积体电路制造股份有限公司 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法
CN105097517B (zh) * 2014-04-25 2018-07-20 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制造方法、电子装置
CN105097517A (zh) * 2014-04-25 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制造方法、电子装置
CN105097522A (zh) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN105097522B (zh) * 2014-05-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN105304488B (zh) * 2014-05-29 2018-07-10 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应晶体管的形成方法
CN105304488A (zh) * 2014-05-29 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应晶体管的形成方法
CN105513966A (zh) * 2014-09-26 2016-04-20 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN105513966B (zh) * 2014-09-26 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN106252391A (zh) * 2015-06-09 2016-12-21 联华电子股份有限公司 半导体结构及其制作方法
CN106711142A (zh) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN106711217A (zh) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN106711217B (zh) * 2015-11-16 2023-08-08 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN107045986B (zh) * 2016-02-01 2020-09-22 格罗方德半导体公司 在FinFET器件上形成应变沟道区的方法
CN107045986A (zh) * 2016-02-01 2017-08-15 格罗方德半导体公司 在FinFET器件上形成应变沟道区的方法

Also Published As

Publication number Publication date
US9716091B2 (en) 2017-07-25
CN102446974B (zh) 2014-05-14
US8809940B2 (en) 2014-08-19
US20130228865A1 (en) 2013-09-05
US20160379977A1 (en) 2016-12-29
TWI431778B (zh) 2014-03-21
TW201216467A (en) 2012-04-16
US9209300B2 (en) 2015-12-08
US20120091538A1 (en) 2012-04-19
US9379215B2 (en) 2016-06-28
US20160087079A1 (en) 2016-03-24
US20140327091A1 (en) 2014-11-06
US8440517B2 (en) 2013-05-14

Similar Documents

Publication Publication Date Title
CN102446974B (zh) Finfet及其制造方法
US10937894B2 (en) Structure of a fin field effect transistor (FinFET)
CN103367442B (zh) 鳍式场效应晶体管的栅极堆叠件
US20190245066A1 (en) Recessing STI to Increase FIN Height in FIN-First Process
CN103296069B (zh) FinFET及其制造方法
CN103107196B (zh) 鳍式场效应晶体管及其制造方法
KR101422354B1 (ko) 반도체 디바이스의 더미 게이트 전극
US10186602B2 (en) Fin structure of semiconductor device
CN106711142A (zh) 鳍式场效应晶体管及其制造方法
CN103227200A (zh) 鳍式场效应晶体管及其制造方法
US9691766B1 (en) Fin field effect transistor and method for fabricating the same
CN106711217A (zh) 鳍式场效应晶体管及其制造方法
CN104051532A (zh) 半导体器件的源极/漏极结构
CN106803497A (zh) 鳍式场效应晶体管及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20220712

Address after: Ontario, Canada

Patentee after: MOSAID TECHNOLOGIES Inc.

Address before: Taiwan, Hsinchu, China

Patentee before: Taiwan Semiconductor Manufacturing Co.,Ltd.

TR01 Transfer of patent right