CN104681615B - 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法 - Google Patents

用于具有掩埋SiGe氧化物的FinFET器件的结构和方法 Download PDF

Info

Publication number
CN104681615B
CN104681615B CN201410692918.7A CN201410692918A CN104681615B CN 104681615 B CN104681615 B CN 104681615B CN 201410692918 A CN201410692918 A CN 201410692918A CN 104681615 B CN104681615 B CN 104681615B
Authority
CN
China
Prior art keywords
dielectric
fin
semiconductor
semiconductor structure
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410692918.7A
Other languages
English (en)
Other versions
CN104681615A (zh
Inventor
江国诚
王志豪
吴志强
卡洛斯·H.·迪亚兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104681615A publication Critical patent/CN104681615A/zh
Application granted granted Critical
Publication of CN104681615B publication Critical patent/CN104681615B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation

Abstract

本发明提供了一种半导体器件,该器件包括:第一半导体材料的衬底;具有堆叠在衬底上的第一部分、第二部分和第三部分的鳍部件;形成在衬底上并且设置在鳍部件的两侧上的隔离部件;包括所述第二半导体材料、设置在第二部分的凹进的侧壁上、限定位于半导体氧化物部件上面并且位于第三部分下面的凹陷的空隙的半导体氧化物部件;以及设置在鳍部件和隔离部件上的栅极堆叠件。栅极堆叠件包括延伸进入凹陷的空隙并且填充所述凹陷的空隙的栅极介电层。第一和第三部分包括具有第一晶格常数的第一半导体材料。第二部分包括具有第二晶格常数的第二半导体材料,第二晶格常数不同于第一晶格常数。本发明涉及用于具有掩埋SiGe氧化物的FinFET器件的结构和方法。

Description

用于具有掩埋SiGe氧化物的FinFET器件的结构和方法
技术领域
本发明涉及用于具有掩埋SiGe氧化物的FinFET器件的结构和方法。
背景技术
半导体集成电路(IC)工业已经经历了指数式增长。IC材料和设计中的技术进步已经产生了数代IC,其中每代IC都具有比上一代IC更小和更复杂的电路。在IC发展过程中,功能密度(即,每一芯片面积上互连器件的数量)通常已经增加而几何尺寸(即,使用制造工艺可以制造的最小元件(或线))却已减小。这种按比例缩小工艺通常通过提高生产效率和降低相关成本而带来益处。
这种按比例缩小工艺也增加了加工和制造IC的复杂度,并且为了实现这些进步,需要IC加工和制造中的类似发展。例如,已经引入诸如鳍式场效应晶体管(FinFET)的三维晶体管以代替平面晶体管。此外,诸如硅锗的外延生长也被引入晶体管。虽然现有的FinFET器件和制造FinFET器件的方法通常已足够满足它们的预期目的,但是它们并非在所有方面都尽如人意。例如,发现了由于锗迁移引起的晶体管泄漏。需要用于FinFET器件的结构和方法以解决上述问题。
发明内容
为了解决现有技术中存在的问题,根据本发明的一个方面,提供了一种半导体结构,包括:第一半导体材料的衬底,具有第一晶格常数;鳍部件,形成在所述衬底上,其中,所述鳍部件包括:所述第一半导体材料的第一部分,设置在所述衬底上方;第二半导体材料层的第二部分,设置在所述第一部分上方,其中,所述第二半导体材料具有与所述第一晶格常数不同的第二晶格常数;和所述第一半导体材料的第三部分,设置在所述第二部分上方;隔离部件,形成在所述衬底上并且设置在所述鳍部件的两侧上,其中,所述第二部分的顶面位于所述隔离部件的顶面之上并且所述第二部分包括凹进的侧壁;半导体氧化物部件,包括所述第二半导体材料,设置在所述第二部分的凹进的侧壁上,限定位于半导体氧化物部件上面并且位于所述鳍部件的第三部分下面的凹陷的空隙;以及栅极堆叠件,设置在所述鳍部件和所述隔离部件上,其中,所述栅极堆叠件包括延伸进入所述凹陷的空隙并且填充在所述凹陷的空隙中的栅极介电层,从而在所述凹陷的空隙中形成侧面电介质顶端。
在上述半导体结构中,所述半导体氧化物部件包括第一介电材料;以及所述侧面电介质顶端包括与所述第一介电材料不同的第二介电材料。
在上述半导体结构中,所述半导体氧化物部件包括氧化硅锗和氧化锗中的至少一种;以及所述侧面电介质顶端包括高k介电材料。
在上述半导体结构中,所述高k介电材料选自由HfSiO、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4和氮氧化物(SiON)组成的组。
在上述半导体结构中,所述鳍部件的第三部分位于所述隔离部件的顶面之上;以及所述侧面电介质顶端从所述隔离部件的顶面至所述第三部分的底面跨越垂直尺寸H。
在上述半导体结构中,所述侧面电介质顶端跨越水平尺寸W;所述水平尺寸W介于从约1nm至约4nm之间;以及所述垂直尺寸H介于从约3nm至约6nm之间。
在上述半导体结构中,还包括:形成在所述鳍部件上的源极和漏极,其中,所述源极和漏极彼此跨越在第一方向上并且直接位于所述栅极堆叠件下方的沟道区插入在所述源极和漏极之间。
在上述半导体结构中,所述源极、所述漏极和所述栅极堆叠件配置为n型场效应晶体管。
在上述半导体结构中,所述第一半导体材料包括硅而所述第二半导体材料包括硅锗。
在上述半导体结构中,所述栅极堆叠件还包括设置在所述栅极介电层上的栅电极,并且所述栅电极包括金属。
根据本发明的另一方面,还提供了一种半导体结构,包括:隔离部件,形成在半导体衬底中;鳍部件,在第一方向上延伸和形成在所述半导体衬底上,并且由所述隔离部件环绕,其中,所述鳍部件包括从所述半导体衬底延伸的第一部分;设置在所述第一部分上的第一半导体材料的第二部分;和设置在所述第二部分上的第二半导体材料的第三部分,其中,所述第一半导体材料具有第一晶格常数并且所述第二半导体材料具有与所述第一晶格常数不同的第二晶格常数;半导体氧化物部件,形成所述鳍部件的第二部分的侧壁;以及栅极堆叠件,在从所述鳍部件上方至所述隔离部件上方的第二方向上延伸,其中,所述栅极堆叠件包括延伸以填充凹陷的空隙的栅极介电层,所述凹陷的空隙垂直地限定在所述半导体氧化物部件和所述鳍部件的第三部分之间。
在上述半导体结构中,填充在所述凹陷的空隙中的所述栅极介电层形成位于所述半导体氧化物部件上的侧面电介质顶端;所述半导体氧化物部件包括第一介电材料;以及所述侧面电介质顶端包括与所述第一介电材料不同的第二介电材料。
在上述半导体结构中,所述半导体氧化物部件包括氧化硅锗和氧化锗中的至少一种;以及所述侧面电介质顶端包括高k介电材料。
在上述半导体结构中,所述高k介电材料包括HfSiO。
在上述半导体结构中,所述鳍部件的第三部分位于所述隔离部件的顶面之上;所述侧面电介质顶端从所述隔离部件的顶面至所述第三部分的底面跨越垂直尺寸H,所述垂直尺寸H介于从约3nm至约6nm之间;以及所述侧面电介质顶端跨越水平尺寸W,所述水平尺寸W介于从约1nm至约4nm之间。
在上述半导体结构中,还包括:形成在所述鳍部件上的源极和漏极,其中,所述源极和所述漏极彼此跨越在第一方向上,并且限定在所述鳍部件中且直接位于所述栅极堆叠件下方的沟道区插入在所述源极和所述漏极之间。
在上述半导体结构中,还包括位于所述栅极堆叠件下面的沟道区,其中,所述源极、所述漏极和所述栅极堆叠件配置为n型场效应晶体管。
在上述半导体结构中,所述第一半导体材料包括硅而所述第二半导体材料包括硅锗;以及所述栅极堆叠件还包括设置在所述栅极介电层上的栅电极,并且所述栅电极包括金属。
根据本发明的又一方面,还提供了一种方法,包括:在半导体衬底上形成隔离部件,从而限定位于所述半导体衬底上的有源区;凹进所述有源区以形成鳍沟槽;通过在所述有源区内的半导体衬底上第一外延生长第一半导体层和在所述第一半导体层上第二外延生长第二半导体层而在所述鳍沟槽上形成鳍部件;对所述隔离部件实施第一凹进工艺,从而使得所述第一半导体层位于所述隔离部件下方并且嵌入在所述隔离部件中;在所述鳍部件上方和所述隔离部件上方形成伪栅极堆叠件;在所述鳍部件上形成源极和漏极;实施热氧化工艺以选择性地氧化所述第一半导体层以在所述第一半导体层的侧壁上形成半导体氧化物部件;实施第二凹进工艺,从而将所述隔离部件凹进至位于所述第二半导体层下方,从而产生垂直地限定在所述第二半导体层和所述半导体氧化物部件之间的凹陷的空隙;以及形成包括栅极介电层和栅电极的栅极堆叠件,其中,所述栅极介电层延伸至所述凹陷的空隙并且填充在所述凹陷的空隙中。
在上述方法中,在所述半导体衬底上第一外延生长所述第一半导体层包括外延生长硅锗;第二外延生长所述第二半导体层包括外延生长硅;实施所述热氧化工艺包括选择性地氧化所述硅锗以形成所述半导体氧化物部件;以及形成所述栅极堆叠件包括形成高k介电材料的所述栅极介电层。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该强调的是,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据本发明的各个方面的用于制造FinFET器件的示例性方法的流程图。
图2是根据本发明的实施例的正在经历工艺的FinFET器件的示意性立体图。
图3至图6是根据图1的方法构建的示例性FinFET器件在各制造阶段的截面图。
图7是根据本发明的实施例的正在经历工艺的FinFET器件的示意性立体图。
图8A、图9A、图10A、图11A、图12A是根据图1的方法构建的处于各个制造阶段的FinFET器件沿着图7中的线A-A截取的截面图。
图8B、图9B、图10B、图11B、图12B是根据图1的方法构建的处于各个制造阶段的FinFET器件沿着图7中的线B-B截取的截面图。
图13和图14是图12A的FinFET器件的部分的细节。
具体实施方式
为了实施所提供的主题的不同特征,本发明提供了许多不同的实施例或实例。以下描述部件和布置的特定实例以简化本发明。当然这些仅仅是实例并不打算限定。例如,以下描述中第一部件形成在第二部件上方或上可包括其中第一和第二部件以直接接触形成的实施例,并且也可包括其中在第一和第二部件之间形成额外的部件的实施例,使得第一和第二部件不直接接触。再者,本发明可在各个实例中重复参照标号和/或字母。该重复是为了简明和清楚,而且其本身没有规定所述各种实施例和/或结构之间的关系。
本发明针对,但不限制于鳍式场效应晶体管(FinFET)器件。例如,FinFET器件可以是包括P型金属氧化物半导体(PMOS)FinFET器件和N型金属氧化物半导体(NMOS)FinFET器件的互补金属氧化物半导体(CMOS)器件。以下公开将继续以FinFET作为实例来说明本发明的各个实施例。然而,应当理解,除非特定要求,否则本申请不应限制于器件的特定类型。
图1是根据本发明的各方面的用于制造半导体结构(具体地,包括具有一个或多个FinFET器件的FinFET结构)200的方法100的流程图。图2和图7是根据方法100制造的半导体结构200的侧视立体图。图3至图6是根据方法100构建的处于制造阶段的半导体结构200的截面图。图8A、图9A、图10A、图11A、图12A是半导体结构200沿着图7的线A-A截取的截面图。图8B、图9B、图10B、图11B、图12B是半导体结构200沿着图7的线B-B截取的截面图,其中,线B-B垂直于线A-A的方向。应当理解,在该方法之前、期间和之后可以实施额外的步骤,并且可以替换或消除描述的一些步骤以用于该方法的其他实施例。参考各个视图来共同地描述半导体结构200及其制造方法100。
参考图1和图2至图3,方法100开始于操作102,提供衬底210。在本实施例中,衬底210是块状硅衬底。可选地,衬底210可以包括诸如晶体结构形式的硅或锗的元素半导体;诸如硅锗、碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、和/或锑化铟的化合物半导体;或它们的组合。
在另一实施例中,衬底210包含在衬底中具有绝缘层的绝缘体上硅(SOI)结构。示例性绝缘层可以是埋氧层(BOX)。可以使用注氧隔离(SIMOX)、晶圆接合、和/或其他合适的方法制造SOI衬底。
根据本领域公知的设计需求,衬底210可以包括各种掺杂部件。掺杂部件可以掺杂有p型掺杂剂,诸如硼;n型掺杂剂,诸如磷或砷;或它们的组合。掺杂部件可以通过离子注入形成并且可以包括阱结构,诸如P型阱、N型阱或两者。
在衬底210上形成一个或多个隔离部件,从而限定第一鳍式有源区(或第一鳍)220。在本实施例中,隔离部件230是浅沟槽隔离(STI)部件(也由230指代)。STI部件230由包括沉积、光刻、和/或蚀刻工艺的任何合适的工序形成。在一个实施例中,STI部件230通过包括以下步骤的工序形成:形成第一硬掩模层212、穿过第一硬掩模层212的开口对衬底210施加蚀刻工艺以在衬底210中形成沟槽、用一种或多种介电材料(诸如氧化硅)填充沟槽,以及实施化学机械抛光(CMP)工艺以去除过量的介电材料和平坦化顶面,从而形成STI部件230并且限定第一鳍有源区220。
硬掩模层212可以通过沉积材料层(诸如氮化硅)、通过光刻工艺形成图案化的光刻胶(抗蚀剂)层和穿过图案化的光刻胶层的开口蚀刻材料层以形成图案化的硬掩模层212来形成。CMP工艺还可以额外地去除硬掩模层212。可选地,可以在CMP工艺之后通过诸如湿蚀刻的蚀刻工艺去除硬掩模层212。
示例性的光刻工艺可以包括:形成光刻胶层、通过光刻曝光工艺使光刻胶曝光、实施曝光后烘烤工艺、以及对光刻胶层进行显影以形成图案化的光刻胶层。光刻工艺可以可选地通过其他技术代替,诸如电子束写入、离子束写入、无掩模图案化或分子印刷。
在另一个实施例中,图案化的光刻胶层直接使用图案化的掩模层212作为蚀刻工艺的蚀刻掩模以在衬底中210形成沟槽。在又一个实施例中,图案化的硬掩模层212包括氧化硅、氮化硅、氮氧化硅、或任何其他合适的介电材料。图案化的硬掩模层212可以包括单个材料层或多个材料层。硬掩模层212可以通过热氧化、化学汽相沉积(CVD)、原子层沉积(ALD)、或任何其他适当的方法形成。
以隔离鳍有源区的形式在衬底210上形成隔离部件230。例如,STI部件230将各第一鳍220彼此隔离。隔离部件230包括氧化硅、氮化硅、氮氧化硅、气隙、其他合适的材料或它们的组合。
参考图1和图4,方法100进行至操作104,在N型FET区315中凹进第一鳍220以形成沟槽310。在凹进工艺之前,形成第二硬掩模320以保护诸如P型FET区325的预定区。特别地,诸如通过较短的蚀刻持续时间控制凹进工艺以实现适度的凹进。在一个实施例中,凹进深度介于约70nm和约100nm的范围内。
第二硬掩模320的形成在许多方面类似于以上结合图3论述的第一硬掩模212的形成。凹进工艺可以包括干蚀刻工艺、湿蚀刻工艺、和/或它们的组合。
可以通过设计为选择性地蚀刻半导体衬底210的半导体材料的蚀刻工艺来实现凹进工艺。凹进工艺还可以包括选择性湿蚀刻或选择性干蚀刻。在一个实施例中,湿蚀刻溶液包括四甲基氢氧化铵(TMAH)、HF/HNO3/CH3COOH溶液、或其他合适的溶液。相应的蚀刻工艺可以利用各种蚀刻参数来调整,诸如使用的蚀刻剂、蚀刻温度、蚀刻溶液浓度、蚀刻压力、源功率、RF偏置电压、RF偏置功率、蚀刻剂流速和/或其他合适的参数。例如,湿蚀刻溶液可以包括NH4OH、KOH(氢氧化钾)、HF(氢氟酸)、TMAH(四甲基氢氧化铵)、其他合适的湿蚀刻溶液或它们的组合。干蚀刻工艺包括使用氯基化学物质的偏置等离子体蚀刻工艺。其他干蚀刻剂气体包括CF4、NF3、SF6和He。干蚀刻也可以使用诸如DRIE(深反应离子蚀刻)的机制各向异性地实施。
参考图1和图5,方法100进行至操作106,在沟槽310中沉积第一半导体材料层410和在第一半导体材料410的顶上沉积第二半导体材料层420。在本实施例中,通过选择性外延生长沉积第一半导体材料层410和第二半导体材料层420。在各个实例中,外延工艺包括CVD沉积技术(例如,汽相外延(VPE)和/或超高真空CVD(UHV-CVD))、分子束外延和/或其他合适的工艺。
第一半导体材料层410和第二半导体材料层420彼此不同。第一半导体材料层410具有第一晶格常数而第二半导体材料层420具有与第一晶格常数不同的第二晶格常数。在本实施例中,第一半导体材料层410包括硅锗(SiGe),而第二半导体材料层420包括具有小于第一半导体材料层410的晶格常数的第二晶格常数的硅。在各个实例中,第一半导体材料层410和第二半导体材料层420可以包括锗(Ge)、硅(Si)、砷化镓(GaAs)、砷化铝镓(AlGaAs)、硅锗(SiGe)、磷砷化镓(GaAsP)、或其他合适的材料。此外,可以实施CMP工艺以去除过量的半导体材料层(410和420),并使半导体结构200的顶面平坦化。在一个实例中,第一半导体材料层410的厚度介于约35nm和约40nm的范围内。
参考图1和图6,方法100进行至操作108,凹进第二半导体材料层420周围的隔离部件230以横向地暴露第二半导体材料层420的上部,从而在N型FET区315中形成第二鳍510和额外地在P型FET区325中形成第三鳍520。在本实施例中,第二鳍510形成为层420、410和210(从顶部到底部的顺序)的堆叠件。第三鳍520可以由衬底材料210形成。凹进工艺可以包括干蚀刻工艺、湿蚀刻工艺和/或它们的组合。在本实施例中,控制凹进深度,从而使得第二半导体材料层420基本上被暴露,但第一半导体材料层410仍然嵌入在凹进的隔离部件230中。在一个实例中,第一半导体材料层410的顶面比凹进的隔离部件230的顶面低介于约3nm和约10nm的范围内的垂直尺寸。
第二鳍510包括从半导体衬底210延伸的第一部分、形成在第一部分上的第二部分410以及设置在第二部分上的第三部分420。在本实施例中,第三部分420包括具有第一晶格常数的相同的半导体材料(诸如硅),而第二部分410包括具有与第一晶格常数不同的第二晶格常数的第二半导体材料(诸如硅锗)。
参考图7,在一些实施例中,第二鳍510和第三鳍520各自包括源极/漏极区530和栅极区540。在进一步的实施例中,源极/漏极区530中的一个是源极区,并且源极/漏极区530中的另一个是漏极区。源极/漏极区530由栅极区540分隔开。
参考图1和图8A至图8B,方法100进行至操作110,形成栅极堆叠件610和位于栅极堆叠件610的侧壁上的侧壁间隔件620。在使用后栅极工艺的一个实施例中,该栅极堆叠件610是伪栅极并且将在后续阶段中由最终的栅极堆叠件代替。特别地,伪栅极堆叠件610将在高热温度工艺(诸如源极/漏极形成期间的用于源极/漏极活化的热退火工艺)之后随后由高k介电层(HK)和金属栅电极(MG)代替。伪栅极堆叠件610在衬底210上形成并且部分地设置在第二鳍510和第三鳍520的部分上方。在一个实施例中,伪栅极堆叠件610包括介电层612、电极层614和第三硬掩模616。由包括沉积和图案化的合适的工序形成伪栅极堆叠件610。图案化工艺还包括光刻和蚀刻。在各个实例中,沉积包括CVD、物理汽相沉积(PVD)、ALD、热氧化、其他合适的技术或它们的组合。光刻工艺包括光刻胶(或抗蚀剂)涂布(例如,旋涂)、软烘烤、掩模对准、曝光、曝光后烘烤、显影光刻胶、清洗、干燥(例如,硬烘烤)、其他合适的工艺和/或它们的组合。蚀刻工艺包括干蚀刻、湿蚀刻、和/或其他蚀刻方法(例如,反应离子蚀刻)。
介电层612包括氧化硅。可选地或额外地,介电层612可以包括氮化硅、高k介电材料或其他合适的材料。电极层614可以包括多结晶体硅(多晶硅)。第三硬掩模616包括合适的介电材料,诸如氮化硅、氮氧化硅或碳化硅。
侧壁间隔件620可以包括介电材料,诸如氧化硅、氮化硅、碳化硅、氮氧化硅或它们的组合。侧壁间隔件620可以包括多层。侧壁间隔件620的典型的形成方法包括:在栅极堆叠件610上方沉积介电材料和然后各向异性地回蚀刻介电材料。回蚀刻工艺可以包括多步蚀刻以获得蚀刻选择性,灵活性和期望的过蚀刻控制。
再次参考图1和图8A至图8B,方法100还包括操作112,在源极/漏极区530中形成源极/漏极部件710。可以通过在源极/漏极区530中对第二鳍510和第三鳍520的部分进行凹进以形成源极/漏极凹进沟槽并且在源极/漏极凹进沟槽中外延生长第三半导体材料层来形成源极/漏极部件710。第三半导体材料层包括Ge、Si、GaAs、AlGaAs、SiGe、GaAsP或其他合适的材料。源极/漏极部件710可以通过一个或多个外延的或外延(epi)工艺形成。源极/漏极部件710可以在外延工艺期间原位掺杂。例如,外延生长的SiGe源极/漏极部件710可以掺杂硼;和外延生长的Si外延源极/漏极部件710可以掺杂碳以形成Si:C源极/漏极部件,可以掺杂磷以形成Si:P源极/漏极部件,或可以掺杂碳和磷以形成SiCP源极/漏极部件。在一个实施例中,源极/漏极部件710不原位掺杂,实施注入工艺(即,结注入工艺)以掺杂源极/漏极部件710。另外,在伪栅极堆叠件610的间隙之间的衬底210上形成层间介电(ILD)层720。ILD层720包括氧化硅、氮氧化硅、低k介电材料或其他合适的介电材料。ILD层720可以包括单层或可选的多层。ILD层720是由诸如CVD、ALD和旋涂(SOG)的合适的技术形成的。之后可以实施化学机械抛光(CMP)工艺以去除过量的ILD层720并且平坦化半导体结构200的顶面。
可以在一个工序中共同地执行操作110和112以形成伪栅极堆叠件610和源极/漏极部件710。下面描述了该工序的一个实施例。首先通过沉积和图案化形成伪栅极堆叠件610。例如,介电层612包括氧化硅并且是由诸如原位蒸汽生长(ISSG)的合适的技术形成的。电极层614包括多晶硅并且是由诸如CVD的合适的技术沉积的。硬掩模层616包括合适的介电材料(诸如氮化硅)并且是由诸如CVD的合适的技术沉积的。然后,通过光刻工艺和蚀刻工艺图案化硬掩模层616,从而在硬掩模层中形成各个开口,暴露出开口内的下面的伪栅极材料。将硬掩模用作蚀刻掩模,穿过硬掩模层的开口对伪栅极材料施加另一蚀刻工艺,从而形成栅极堆叠件610。之后,施加蚀刻工艺以使源极/漏极区530中的第二鳍510和第三鳍520的部分凹进以形成源极/漏极凹进沟槽并且在源极/漏极凹进沟槽中外延生长第三半导体材料层。对半导体结构200施加具有第一掺杂剂量的第一离子注入工艺,从而形成轻掺杂的漏极(LDD)部件。可选地,LDD部件通过原位掺杂形成。之后通过沉积和各向异性蚀刻形成间隔件620。之后,对半导体结构200施加具有大于第一掺杂剂量的第二掺杂剂量的第二离子注入工艺,从而形成重掺杂的源极和漏极部件,该重掺杂的源极和漏极部件通过间隔件620偏移LDD部件。LDD部件和重掺杂的源极和漏极部件共同地组成源极和漏极部件710。此后,通过沉积和抛光(诸如CMP)形成ILD层720。在形成ILD之前或可选地之后,可以去除硬掩模层616。
参考图1和图9A至图9B,方法100进行至操作114,去除伪栅极堆叠件610以形成一个或多个栅极沟槽810,但隔离部件230仍然保留在栅极沟槽810中,从而使得第二鳍510的第一半导体材料层410嵌入在隔离部件230中。换句话说,隔离部件230的顶面高于第一半导体材料层410的顶面。通过诸如选择性湿蚀刻或选择性干蚀刻的蚀刻工艺去除伪栅极堆叠件,该蚀刻工艺设计为相对于第一半导体材料层410和第二半导体材料层420以及侧壁间隔件620具有足够的蚀刻选择性。蚀刻工艺可以包括利用相应的蚀刻剂的一个或多个蚀刻步骤。
参考图1和图10A至图10B,方法100进行至操作116,对半导体结构200实施热氧化工艺。在本实施例中,第一半导体材料层410和第二半导体材料层420处于不同的环境中。特别地,在高应变条件下嵌入第一半导体材料层410并且第二半导体材料层420暴露于无应变或低应变。由于第一和第二半导体材料层的条件不同,热氧化工艺被设计和调整为对氧化未暴露的第一半导体材料层410具有较大的选择性。用于选择性氧化的热氧化工艺的调整包括调整第一半导体材料层410的厚度、第一半导体材料层410的组分(诸如锗浓度)和氧化温度。在一个实施例中,在氧环境中进行热氧化工艺。在另一实施例中,在蒸汽环境和氧环境的组合中进行热氧化工艺。在本实例中,在介于约500℃至约600℃的温度和介于约1atm至约20atm的压力下在H2O反应气体中实施半导体结构200的热氧化工艺。
在热氧化工艺期间,位于栅极沟槽810中的第一半导体材料层410的至少侧部转化为半导体氧化物部件815。因此,在体积膨胀的情况下,半导体氧化物部件815对第二鳍510中的第二半导体材料层420施加应力,其中,晶体管沟道被限定在栅极下面。
在一个实施例中,第一半导体材料层410包括硅锗(SiGex)并且第二半导体材料层420包括硅(Si)。下标x是以原子百分比计的Ge组分并且可以将它调整为满足预定的体积膨胀目标。在一个实施例中,x介于从40%到70%的范围内。第一半导体材料层410由热氧化工艺部分地或完全地氧化,从而形成包括氧化硅锗(SiGeOy)和氧化锗(GeOy)的半导体氧化物部件815,其中下标y是以原子百分比计的氧组分。半导体氧化物部件在垂直方向上从第一半导体材料层410的顶面延伸至底面。在本实例中,半导体氧化物部件815在垂直方向上延伸,其中水平尺寸从第一半导体材料层410的顶面至底面变化。在进一步的实例中,半导体氧化物部件815的水平尺寸达到其最大值并且当接近于半导体氧化物部件815的顶面和底面时减小为零,结果产生截面图中的橄榄形状。
第一半导体材料层410的氧化在FET的沟道中建立了用于提高迁移率的适当的应变。在热氧化工艺期间,暴露的第二半导体材料层420可以部分地氧化为位于其暴露表面上的半导体氧化物层820。
参考图1和图11A至图11B,方法100进行至操作118,利用合适的蚀刻剂通过蚀刻工艺去除氧化物半导体层820,蚀刻剂诸如包括选择性地去除氧化物的氢氟酸(HF)的溶液。在蚀刻工艺期间,也对隔离部件230进行凹进,从而使得隔离部件230的顶面从位于第一半导体材料层410的顶面之上凹进为低于第一半导体材料层410的顶面。换言之,在凹进之后,隔离部件230稍微低于第二半导体材料层420。因此,暴露半导体氧化物部件815的顶端部分。此外,蚀刻工艺也去除半导体氧化物部件815的暴露的顶端部分,导致凹陷的空隙822。在一个实例中,凹陷的空隙822延伸介于约3nm至约6nm的范围内的垂直尺寸并且延伸介于约1nm至约4nm的范围内的水平尺寸。
参考图1和图12A至图12B,方法100进行操作120,在衬底210上方形成金属栅极堆叠件(MG)910,其包裹在第二鳍的部分上方。金属栅极堆叠件910包括栅极介电层和位于栅极电介质上的栅电极。在一个实施例中,该栅极介电层包括具有高介电常数的介电材料层(HK介电层,在本实施例中,介电常数大于热氧化硅的介电常数),而栅电极包括金属、金属合金或金属硅化物。金属栅极堆叠件910的形成包括沉积以形成各种栅极材料和CMP工艺以去除过量的栅极材料和平坦化半导体结构200的顶面。
在图13和图14中以局部截面图的形式进一步示出了半导体结构200。特别地,为了清楚,放大了半导体结构200的一部分。在实施例中,栅极介电层包括通过诸如原子层沉积(ALD)、CVD、热氧化或臭氧氧化的合适的方法沉积的界面层(IL)912。IL 912包括氧化物、HfSiO和氮氧化物。通过合适的技术在IL 912上沉积HK介电层914,合适的技术诸如ALD、CVD、金属有机CVD(MOCVD)、物理汽相沉积(PVD)、其他合适的技术或它们的组合。HK介电层914可以包括LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化物(SiON)或其他合适的材料。
金属栅(MG)电极916可以包括单层或可选地包括多层结构,诸如具有功函以提高器件性能的金属层(功函金属层)、衬垫层、润湿层、粘附层和导电层(金属、金属合金或金属硅化物)的各种组合。MG电极916可以包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、任何合适的材料或它们的组合。可以通过ALD、PVD、CVD或其他合适的工艺形成MG电极916。可以分别地形成具有不同金属层的N-FET和P-FFET的MG电极916。可以实施CMP工艺以去除过量的MG电极916。CMP工艺为金属栅电极916和ILD层720提供了基本平坦的顶面。
当通过操作120在栅极沟槽中形成栅极堆叠件910时,栅极介电材料也在凹陷的空隙822中填充。如图14和其他附图所示,栅极堆叠件910位于相应的沟道区上方,每个沟道区在第一方向上从相应的源极区跨越至漏极区。由于隔离部件230通过操作118被进一步凹进,因此栅极堆叠件910的底面低于第一半导体材料层410的顶面。
跨越垂直于第一方向的第二方向从两侧对位于相应的沟道区下方的第一半导体材料层410进行凹进。在图13的截面图中,沿着第二方向,凹进的第一半导体层410在中部具有最小厚度并且在顶部和底部具有最大厚度。较大厚度基本上等于第一半导体材料层410的厚度。凹进的第一半导体层410由半导体氧化物部件815进一步保护,半导体氧化物部件815具有从中间部分至底部减小至零的厚度。用栅极介电材料填充凹陷的空隙822,称为侧面电介质顶端(side dielectric tip)920,侧面电介质顶端920直接位于半导体氧化物部件815上。侧面电介质顶端920包括与侧面电介质氧化物部件815的介电材料不同的介电材料。在本实施例中,侧面电介质顶端920包括HK介电材料,并且半导体氧化物部件815包括氧化硅锗或氧化锗。
如图14进一步示出的,侧面电介质顶端920均延伸垂直尺寸H和水平尺寸W。在本实例中,垂直尺寸H介于约1nm至约4nm的范围内,并且水平尺寸W介于约1nm至约4nm的范围内。在操作116的热氧化工艺和其他工艺期间,锗迁移可能减小电阻和诱导源极/漏极短路。特别地,它会导致穿过半导体氧化物部件的顶端部分从源极至漏极的泄漏。通过公开的方法100和半导体结构200,去除了半导体氧化物部件的顶端部分并且由具有增加的隔离效应和改进的晶体管性能的侧面电介质顶端920代替。
FinFET器件200可以进行进一步的CMOS或MOS技术加工以形成本领域已知的各种部件和区域。例如,随后的加工可以在衬底210上形成各种接触件/通孔/线和多层互连部件(例如,金属层和层间电介质),这些接触件/通孔/线和多层互连部件配置为连接各种部件以形成包括一个或多个FinFET场效应晶体管的功能电路。在进一步的实例中,多层互连包括垂直互连件,诸如通孔或接触件,和水平互连件,诸如金属线。各种互连部件可以实现为各种导电材料,包括铜、钨、和/或硅化物。在一个实例中,镶嵌和/或双镶嵌工艺用于形成铜有关的多层互连结构。
可以在方法100之前、期间和之后实施额外的操作,并且可以替换或省略以上描述的一些操作以用于该方法的其他实施例。基于以上描述,本发明提供了在具有增大的隔离效应和改进的器件性能的N-FET中具有应变沟道的半导体结构。
从而,本发明提供了半导体结构的一个实施例。该半导体结构包括:具有第一晶格常数的第一半导体材料的衬底;以及形成在衬底上的鳍部件。鳍部件在第一方向上延伸并且包括:设置在衬底上方的第一半导体材料的第一部分;设置在第一部分上方的第二半导体材料层的第二部分;和设置在第二部分上方的第一半导体材料层的第三部分。第二半导体材料具有与第一晶格常数不同的第二晶格常数。该半导体结构还包括形成在衬底上并且设置在鳍部件的两侧上的隔离部件。第二部分的顶面位于隔离部件的顶面之上并且第二部分包括凹进的侧壁,该凹进的侧壁彼此跨越在垂直于第一方向的第二方向上。该半导体结构还包括包含第二半导体材料的半导体氧化物部件,半导体氧化物部件设置在第二部分的凹进的侧壁上,并且限定位于半导体氧化物部件上面并且位于鳍部件的第三部分下面的凹陷的空隙。该半导体结构还包括设置在鳍部件和隔离部件上的栅极堆叠件。栅极堆叠件跨越在第二方向上并且包括延伸进入凹陷的空隙并且填充凹陷的空隙的栅极介电层,从而在凹陷的空隙中形成侧面电介质顶端。
本发明还提供了半导体结构的另一个实施例。该半导体结构包括:形成在半导体衬底中的隔离部件;在第一方向上延伸和形成在半导体衬底上,并且由隔离部件环绕的鳍部件,其中,鳍部件包括从半导体衬底延伸的第一部分;设置在第一部分上的第一半导体材料的第二部分;和设置在第二部分上的第二半导体材料的第三部分;形成鳍部件的第二部分的侧壁的半导体氧化物部件;以及在从鳍部件上方至隔离部件上方的第二方向上延伸的栅极堆叠件。第一半导体材料具有第一晶格常数并且第二半导体材料具有与第一晶格常数不同的第二晶格常数。栅极堆叠件包括延伸以填充凹陷的空隙的栅极介电层,凹陷的空隙垂直地限定在半导体氧化物部件和鳍部件的第三部分之间。
本发明还提供了制造半导体结构的方法的实施例。该方法包括:在半导体衬底上形成隔离部件,从而限定位于半导体衬底上的有源区;凹进有源区以形成鳍沟槽;通过在有源区内的半导体衬底上第一外延生长第一半导体层和在第一半导体层上第二外延生长第二半导体层而在鳍沟槽上形成鳍部件;对隔离部件实施第一凹进工艺,从而使得第一半导体层位于隔离部件下方并且嵌入在隔离部件中;在鳍部件上方和隔离部件上方形成伪栅极堆叠件;在鳍部件上形成源极和漏极;实施热氧化工艺以选择性地氧化第一半导体层以在第一半导体层的侧壁上形成半导体氧化物部件;实施第二凹进工艺,从而将隔离部件凹进至位于第二半导体层下方,从而产生垂直地限定在第二半导体层和半导体氧化物部件之间的凹陷的空隙;以及形成包括栅极介电层和栅电极的栅极堆叠件,其中,栅极介电层延伸至凹陷的空隙并且填充在凹陷的空隙中。
上面论述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各个方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (20)

1.一种半导体结构,包括:
第一半导体材料的衬底,具有第一晶格常数;
鳍部件,形成在所述衬底上,其中,所述鳍部件包括:
所述第一半导体材料的第一部分,设置在所述衬底上方;
第二半导体材料层的第二部分,设置在所述第一部分上方,其中,所述第二半导体材料具有与所述第一晶格常数不同的第二晶格常数;和
所述第一半导体材料的第三部分,设置在所述第二部分上方;
隔离部件,形成在所述衬底上并且设置在所述鳍部件的两侧上,其中,所述第二部分的顶面位于所述隔离部件的顶面之上并且所述第二部分包括凹进的侧壁;
半导体氧化物部件,包括所述第二半导体材料,设置在所述第二部分的凹进的侧壁上,限定位于半导体氧化物部件上面并且位于所述鳍部件的第三部分下面的凹陷的空隙;以及
栅极堆叠件,设置在所述鳍部件和所述隔离部件上,其中,所述栅极堆叠件包括延伸进入所述凹陷的空隙并且填充在所述凹陷的空隙中的栅极介电层,从而在所述凹陷的空隙中形成侧面电介质顶端。
2.根据权利要求1所述的半导体结构,其中,
所述半导体氧化物部件包括第一介电材料;以及
所述侧面电介质顶端包括与所述第一介电材料不同的第二介电材料。
3.根据权利要求2所述的半导体结构,其中,
所述半导体氧化物部件包括氧化硅锗和氧化锗中的至少一种;以及
所述侧面电介质顶端包括高k介电材料。
4.根据权利要求3所述的半导体结构,其中,所述高k介电材料选自由HfSiO、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4和氮氧化物(SiON)组成的组。
5.根据权利要求1所述的半导体结构,其中,
所述鳍部件的第三部分位于所述隔离部件的顶面之上;以及
所述侧面电介质顶端从所述隔离部件的顶面至所述第三部分的底面跨越垂直尺寸H。
6.根据权利要求5所述的半导体结构,其中,
所述侧面电介质顶端跨越水平尺寸W;
所述水平尺寸W介于从1nm至4nm之间;以及
所述垂直尺寸H介于从3nm至6nm之间。
7.根据权利要求1所述的半导体结构,还包括:形成在所述鳍部件上的源极和漏极,其中,所述源极和漏极彼此跨越在第一方向上并且直接位于所述栅极堆叠件下方的沟道区插入在所述源极和漏极之间。
8.根据权利要求7所述的半导体结构,其中,所述源极、所述漏极和所述栅极堆叠件配置为n型场效应晶体管。
9.根据权利要求1所述的半导体结构,其中,所述第一半导体材料包括硅而所述第二半导体材料包括硅锗。
10.根据权利要求1所述的半导体结构,其中,所述栅极堆叠件还包括设置在所述栅极介电层上的栅电极,并且所述栅电极包括金属。
11.一种半导体结构,包括:
隔离部件,形成在半导体衬底中;
鳍部件,在第一方向上延伸和形成在所述半导体衬底上,并且由所述隔离部件环绕,其中,所述鳍部件包括从所述半导体衬底延伸的第一部分;设置在所述第一部分上的第一半导体材料的第二部分;和设置在所述第二部分上的第二半导体材料的第三部分,其中,所述第一半导体材料具有第一晶格常数并且所述第二半导体材料具有与所述第一晶格常数不同的第二晶格常数;
半导体氧化物部件,形成所述鳍部件的第二部分的侧壁;以及
栅极堆叠件,在从所述鳍部件上方至所述隔离部件上方的第二方向上延伸,其中,所述栅极堆叠件包括延伸以填充凹陷的空隙的栅极介电层,所述凹陷的空隙垂直地限定在所述半导体氧化物部件和所述鳍部件的第三部分之间。
12.根据权利要求11所述的半导体结构,其中,
填充在所述凹陷的空隙中的所述栅极介电层形成位于所述半导体氧化物部件上的侧面电介质顶端;
所述半导体氧化物部件包括第一介电材料;以及
所述侧面电介质顶端包括与所述第一介电材料不同的第二介电材料。
13.根据权利要求12所述的半导体结构,其中,
所述半导体氧化物部件包括氧化硅锗和氧化锗中的至少一种;以及
所述侧面电介质顶端包括高k介电材料。
14.根据权利要求13所述的半导体结构,其中,所述高k介电材料包括HfSiO。
15.根据权利要求12所述的半导体结构,其中,
所述鳍部件的第三部分位于所述隔离部件的顶面之上;
所述侧面电介质顶端从所述隔离部件的顶面至所述第三部分的底面跨越垂直尺寸H,所述垂直尺寸H介于从3nm至6nm之间;以及
所述侧面电介质顶端跨越水平尺寸W,所述水平尺寸W介于从1nm至4nm之间。
16.根据权利要求11所述的半导体结构,还包括:形成在所述鳍部件上的源极和漏极,其中,所述源极和所述漏极彼此跨越在第一方向上,并且限定在所述鳍部件中且直接位于所述栅极堆叠件下方的沟道区插入在所述源极和所述漏极之间。
17.根据权利要求16所述的半导体结构,还包括位于所述栅极堆叠件下面的沟道区,其中,所述源极、所述漏极和所述栅极堆叠件配置为n型场效应晶体管。
18.根据权利要求11所述的半导体结构,其中,
所述第一半导体材料包括硅而所述第二半导体材料包括硅锗;以及
所述栅极堆叠件还包括设置在所述栅极介电层上的栅电极,并且所述栅电极包括金属。
19.一种形成半导体结构的方法,包括:
在半导体衬底上形成隔离部件,从而限定位于所述半导体衬底上的有源区;
凹进所述有源区以形成鳍沟槽;
通过在所述有源区内的半导体衬底上第一外延生长第一半导体层和在所述第一半导体层上第二外延生长第二半导体层而在所述鳍沟槽上形成鳍部件;
对所述隔离部件实施第一凹进工艺,从而使得所述第一半导体层位于所述隔离部件下方并且嵌入在所述隔离部件中;
在所述鳍部件上方和所述隔离部件上方形成伪栅极堆叠件;
在所述鳍部件上形成源极和漏极;
实施热氧化工艺以选择性地氧化所述第一半导体层以在所述第一半导体层的侧壁上形成半导体氧化物部件;
实施第二凹进工艺,从而将所述隔离部件凹进至位于所述第二半导体层下方,从而产生垂直地限定在所述第二半导体层和所述半导体氧化物部件之间的凹陷的空隙;以及
形成包括栅极介电层和栅电极的栅极堆叠件,其中,所述栅极介电层延伸至所述凹陷的空隙并且填充在所述凹陷的空隙中。
20.根据权利要求19所述的方法,其中,
在所述半导体衬底上第一外延生长所述第一半导体层包括外延生长硅锗;
第二外延生长所述第二半导体层包括外延生长硅;
实施所述热氧化工艺包括选择性地氧化所述硅锗以形成所述半导体氧化物部件;以及
形成所述栅极堆叠件包括形成高k介电材料的所述栅极介电层。
CN201410692918.7A 2013-11-26 2014-11-26 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法 Active CN104681615B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/090,072 2013-11-26
US14/090,072 US9559181B2 (en) 2013-11-26 2013-11-26 Structure and method for FinFET device with buried sige oxide

Publications (2)

Publication Number Publication Date
CN104681615A CN104681615A (zh) 2015-06-03
CN104681615B true CN104681615B (zh) 2017-09-29

Family

ID=53181896

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410692918.7A Active CN104681615B (zh) 2013-11-26 2014-11-26 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法

Country Status (3)

Country Link
US (5) US9559181B2 (zh)
KR (1) KR101597909B1 (zh)
CN (1) CN104681615B (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US9099559B2 (en) * 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
US10037991B2 (en) * 2014-01-09 2018-07-31 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for fabricating FinFETs with different threshold voltages
US9431523B2 (en) * 2014-01-16 2016-08-30 Globalfoundries Inc. Local thinning of semiconductor fins
US9391077B2 (en) * 2014-02-10 2016-07-12 International Business Machines Corporation SiGe and Si FinFET structures and methods for making the same
US9837537B2 (en) * 2014-02-17 2017-12-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9564530B2 (en) 2014-06-23 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US9406782B2 (en) * 2014-06-27 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9773705B2 (en) 2015-06-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET channel on oxide structures and related methods
US9397005B1 (en) * 2015-07-20 2016-07-19 International Business Machines Corporation Dual-material mandrel for epitaxial crystal growth on silicon
TWI677098B (zh) * 2015-10-02 2019-11-11 聯華電子股份有限公司 鰭狀場效電晶體及其製造方法
KR102315275B1 (ko) * 2015-10-15 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
WO2017096780A1 (zh) * 2015-12-07 2017-06-15 中国科学院微电子研究所 具有高质量外延层的半导体器件及其制造方法
TWI612674B (zh) * 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 鰭式場效電晶體及其製造方法
CN107564859B (zh) * 2016-07-01 2020-02-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
WO2018004680A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Self-aligned gate edge trigate and finfet devices
US10020186B2 (en) * 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
CN107706111B (zh) * 2016-08-09 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10008386B2 (en) 2016-09-12 2018-06-26 International Business Machines Corporation Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
US9786760B1 (en) * 2016-09-29 2017-10-10 International Business Machines Corporation Air gap and air spacer pinch off
CN108735804B (zh) * 2017-04-25 2021-05-14 中芯国际集成电路制造(上海)有限公司 晶体管及其制作方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US20200144374A1 (en) * 2017-06-30 2020-05-07 Intel Corporation Transistor with wide bandgap channel and narrow bandgap source/drain
DE102018114209A1 (de) * 2017-07-31 2019-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Source -und-drain-struktur mit einem reduzierten kontaktwiderstand und einer verbesserten beweglichkeit
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10325819B1 (en) * 2018-03-13 2019-06-18 Globalfoundries Inc. Methods, apparatus and system for providing a pre-RMG replacement metal contact for a finFET device
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10679995B2 (en) * 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10644138B2 (en) * 2018-08-14 2020-05-05 International Business Machines Corporation Fin field-effect transistors with enhanced strain and reduced parasitic capacitance
KR102573408B1 (ko) 2018-09-11 2023-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20200098753A1 (en) * 2018-09-25 2020-03-26 Intel Corporation High performance semiconductor oxide material channel regions for nmos
US10636911B1 (en) * 2018-11-20 2020-04-28 Nanya Technology Corporation Fin structure and method for manufacturing the same
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20210121464A (ko) 2020-03-30 2021-10-08 삼성전자주식회사 핀을 포함하는 반도체 소자 및 이의 제조 방법
US11335683B2 (en) * 2020-06-30 2022-05-17 Qualcomm Incorporated Device channel profile structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101752258A (zh) * 2008-12-05 2010-06-23 台湾积体电路制造股份有限公司 形成半导体结构的方法
CN102446974A (zh) * 2010-10-13 2012-05-09 台湾积体电路制造股份有限公司 Finfet及其制造方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7138685B2 (en) * 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100578130B1 (ko) 2003-10-14 2006-05-10 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 다중 실리콘 핀 및 그형성 방법
KR100634372B1 (ko) * 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7067868B2 (en) 2004-09-29 2006-06-27 Freescale Semiconductor, Inc. Double gate device having a heterojunction source/drain and strained channel
US7241649B2 (en) * 2004-10-29 2007-07-10 International Business Machines Corporation FinFET body contact structure
US20070194353A1 (en) * 2005-08-31 2007-08-23 Snyder John P Metal source/drain Schottky barrier silicon-on-nothing MOSFET device and method thereof
JP4256381B2 (ja) * 2005-11-09 2009-04-22 株式会社東芝 半導体装置
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7485520B2 (en) * 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet
JP5602340B2 (ja) * 2007-10-30 2014-10-08 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法
US8618556B2 (en) * 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8962400B2 (en) * 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
KR101909205B1 (ko) * 2012-04-20 2018-10-17 삼성전자 주식회사 핀형 전계 효과 트랜지스터를 구비한 반도체 소자
US8883570B2 (en) * 2012-07-03 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate FETs and methods for forming the same
US8872280B2 (en) * 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9105741B2 (en) * 2012-09-13 2015-08-11 International Business Machines Corporation Method of replacement source/drain for 3D CMOS transistors
US8823065B2 (en) * 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9306069B2 (en) 2013-09-11 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of fin field effect transistor
US9006786B2 (en) 2013-07-03 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9209303B2 (en) * 2013-01-14 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9559181B2 (en) * 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US9859429B2 (en) * 2013-01-14 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
US9318606B2 (en) * 2013-01-14 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
US9159832B2 (en) * 2013-03-08 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor fin structures and methods for forming the same
US9263554B2 (en) * 2013-06-04 2016-02-16 International Business Machines Corporation Localized fin width scaling using a hydrogen anneal
US8963251B2 (en) * 2013-06-12 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with strain technique
US9349850B2 (en) * 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
CN104576386B (zh) * 2013-10-14 2018-01-12 中国科学院微电子研究所 一种FinFET及其制造方法
CN104576382B (zh) * 2013-10-14 2017-09-12 中国科学院微电子研究所 一种非对称FinFET结构及其制造方法
US9431523B2 (en) * 2014-01-16 2016-08-30 Globalfoundries Inc. Local thinning of semiconductor fins
US9373706B2 (en) * 2014-01-24 2016-06-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a semiconductor material on a fin, and related semiconductor devices
US9391077B2 (en) * 2014-02-10 2016-07-12 International Business Machines Corporation SiGe and Si FinFET structures and methods for making the same
US10468528B2 (en) * 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
JP2015220420A (ja) * 2014-05-21 2015-12-07 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体装置
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US10199502B2 (en) * 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
CN111564371B (zh) * 2014-09-09 2023-03-24 蓝枪半导体有限责任公司 鳍状结构及其制造方法
KR102150254B1 (ko) * 2014-09-15 2020-09-02 삼성전자주식회사 반도체 소자의 제조 방법
KR20160033865A (ko) * 2014-09-18 2016-03-29 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
US9484461B2 (en) * 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9614056B2 (en) * 2014-10-28 2017-04-04 Globalfoundries Inc. Methods of forming a tri-gate FinFET device
US9953857B2 (en) * 2014-11-20 2018-04-24 International Business Machines Corporation Semiconductor device with buried local interconnects
KR102290793B1 (ko) * 2014-12-18 2021-08-19 삼성전자주식회사 반도체 장치, 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
US9536795B2 (en) * 2015-02-24 2017-01-03 International Business Machines Corporation Multiple threshold voltage trigate devices using 3D condensation
US9349868B1 (en) * 2015-06-26 2016-05-24 International Business Machines Corporation Gate all-around FinFET device and a method of manufacturing same
US9679763B1 (en) * 2015-11-20 2017-06-13 International Business Machines Corporation Silicon-on-insulator fin field-effect transistor device formed on a bulk substrate
FR3060840B1 (fr) * 2016-12-15 2019-05-31 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'un dispositif semi-conducteur a espaceurs internes auto-alignes
US10243060B2 (en) * 2017-03-24 2019-03-26 International Business Machines Corporation Uniform low-k inner spacer module in gate-all-around (GAA) transistors

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101752258A (zh) * 2008-12-05 2010-06-23 台湾积体电路制造股份有限公司 形成半导体结构的方法
CN102446974A (zh) * 2010-10-13 2012-05-09 台湾积体电路制造股份有限公司 Finfet及其制造方法

Also Published As

Publication number Publication date
US20150144999A1 (en) 2015-05-28
US10804381B2 (en) 2020-10-13
US20180102419A1 (en) 2018-04-12
US9882032B2 (en) 2018-01-30
KR20150060578A (ko) 2015-06-03
KR101597909B1 (ko) 2016-02-25
US11380783B2 (en) 2022-07-05
CN104681615A (zh) 2015-06-03
US9559181B2 (en) 2017-01-31
US20210083079A1 (en) 2021-03-18
US20230010954A1 (en) 2023-01-12
US11735650B2 (en) 2023-08-22
US20170117391A1 (en) 2017-04-27

Similar Documents

Publication Publication Date Title
CN104681615B (zh) 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法
US11574907B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US20210202743A1 (en) FinFET Device With High-K Metal Gate Stack
US11889674B2 (en) Structure and method for SRAM FinFET device having an oxide feature
US10325816B2 (en) Structure and method for FinFET device
CN103928517B (zh) FinFET器件及其制造方法
US9209185B2 (en) Method and structure for FinFET device
CN103928515B (zh) 半导体器件及其制造方法
CN105023844B (zh) FinFET器件的结构和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant