TWI431778B - 鰭式場效應電晶體及其製造方法 - Google Patents

鰭式場效應電晶體及其製造方法 Download PDF

Info

Publication number
TWI431778B
TWI431778B TW100106853A TW100106853A TWI431778B TW I431778 B TWI431778 B TW I431778B TW 100106853 A TW100106853 A TW 100106853A TW 100106853 A TW100106853 A TW 100106853A TW I431778 B TWI431778 B TW I431778B
Authority
TW
Taiwan
Prior art keywords
top surface
fin
field effect
effect transistor
tapered
Prior art date
Application number
TW100106853A
Other languages
English (en)
Other versions
TW201216467A (en
Inventor
Hung Ta Lin
Chu Yun Fu
Shin Yeh Huang
Shu Tine Yang
Hung Ming Chen
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201216467A publication Critical patent/TW201216467A/zh
Application granted granted Critical
Publication of TWI431778B publication Critical patent/TWI431778B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Description

鰭式場效應電晶體及其製造方法
本發明係有關於積體電路的製造,且特別是有關於一種鰭式場效應電晶體。
隨著半導體工業進展至奈米技術製程節點,為了追求更高的裝置密度、較高的性能、及較低的成本,其在製造及設計方面都面臨挑戰,因而發展出三維設計,例如鰭式場效應電晶體(FinFET)。典型鰭式場效應電晶體的製造為利用如蝕刻基板的部分矽層而形成延伸自基板的垂直薄鰭板(或鰭板結構)。在垂直鰭板中形成鰭式場效電晶體的通道。在鰭板上提供(例如為包覆)閘極。在通道的兩側都具有閘極使得閘極可由兩側控制通道。此外,在鰭式場效應電晶體的凹陷源極/汲極區中利用選擇性成長矽鍺(SiGe)應力材料,可以提升載子遷移率。
然而,在互補型金氧半(CMOS)製程中製造上述元件為一大挑戰。例如,應力材料不平均的分佈會造成應力不平均的施加在鰭式場效應電晶體的通道區,因此增加裝置不穩定及/或裝置失效的可能性。
據此,業界亟需一種改良的裝置及應力結構的製造方法。
在一實施例中,鰭式場效應電晶體(FinFET)包括具有頂表面的基板;具有漸細的頂表面(tapered top surface)的基板頂表面上之第一絕緣區及第二絕緣區;基板的鰭板延伸至基板頂表面上介於第一及第二絕緣區間,其中鰭板包括凹陷部分,其具有頂表面低於第一及第二絕緣區的漸細的頂表面,其中鰭板包括非凹陷部分,其具有頂表面高於漸細的頂表面;以及在鰭板非凹陷部分上的閘極堆疊。
在另一實施例中,鰭式場效應電晶體(FinFET)包括具有頂表面的基板;具有漸細的頂表面(tapered top surface)的基板頂表面上之第一絕緣區及第二絕緣區,其中漸細的頂表面包括在漸細的頂表面中間的最高點;基板的鰭板延伸至基板頂表面上介於第一及第二絕緣區間,其中鰭板包括凹陷部分,其具有頂表面低於第一及第二絕緣區的漸細的頂表面,其中鰭板包括非凹陷部分,其具有頂表面高於漸細的頂表面;以及在鰭板非凹陷部分上的閘極堆疊。
在另一實施例中,鰭式場效應電晶體(FinFET)的製造方法包括提供具有第一絕緣區及第二絕緣區的基板,第一及第二絕緣區具有個別的頂表面,以及鰭板介於第一及第二絕緣區,其中第一及第二絕緣區的頂表面低於鰭板的頂表面;在部分鰭板上及部分第一及第二絕緣區上形成閘極堆疊;凹陷鰭板上未被閘極堆疊覆蓋的部分,以在第一及第二絕緣區的頂表面下形成鰭板的凹陷部分;蝕刻第一及第二絕緣區未被閘極堆疊覆蓋的部分頂表面的角落,以形成第一及第二絕緣區的漸細的頂表面;以及在鰭板的凹陷部分及第一及第二絕緣區的漸細的頂表面上選擇性成長應力材料。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
因本發明之不同特徵而提供數個不同的實施例。本發明中特定的元件及安排係為了簡化,但本發明並不以這些實施例為限。以下將配合所附圖式詳述本發明之實施例,其中同樣或類似的元件將盡可能以相同的元件符號表示。在圖式中可能誇大實施例的形狀與厚度以便清楚表面本發明之特徵。在下文中將特別描述本發明裝置之元件或與之直接相關之元件。應特別注意的是,未特別顯示或描述之元件可以該技術人士所熟知之各種形式存在。此外,當某一層是被描述為在另一層(或基材)”上”時,其可代表該層與另一層(或基材)直接接觸,或兩者之間另有其他層存在。此外,此處為簡明起見,在不同例子中以重複的元件符號及/或字母表示,但並未代表所述各實施例及/或結構間的關係。
參照第1圖,顯示根據本發明數個實施例製造鰭式場效應電晶體(FinFET)的方法100之流程圖。在方法100中,首先為步驟102,提供基板。方法100接下來的步驟104為在基板中形成鰭板。方法100接下來的步驟106為在基板上沉積介電材料以及移除介電材料的頂部以形成第一及第二絕緣區,使得第一及第二絕緣區的頂表面低於鰭板的頂表面。方法100而後在步驟108中為在部分鰭板以及在部分第一及第二絕緣區上形成閘極堆疊。方法100接著在步驟110中為凹蝕鰭板未被閘極堆疊覆蓋的部分,以在第一及第二絕緣區下形成鰭板的凹陷部分。方法100之步驟112為蝕刻第一及第二絕緣區未被閘極堆疊覆蓋的頂表面的角落,以形成第一及第二絕緣區漸細的頂表面。方法100接下來的步驟114為在鰭板的凹陷部分以及第一及第二絕緣區之漸細的頂表面上選擇性成長應力材料。
在本發明中,鰭式場效應電晶體200為任何具鰭板、多閘極電晶體。鰭式場效應電晶體200可包括微處理器(microprocessor)、記憶體單元(memory cell)、及/或其他積體電路(IC)。應注意第1圖的方法並未製造完整的鰭式場效應電晶體200。完整的鰭式場效應電晶體200的製造可利用互補型金氧半(CMOS)技術製程。因此,應了解在第1圖的方法100之前、之間、及之後可提供額外的步驟,且部分其他製程在此可僅簡略的敘述。此外,為了更清楚的了解本發明的發明概念,第1至10C圖已簡化。例如,雖然圖式顯示鰭式場效應電晶體200,應了解積體電路可包括許多其他裝置包括電阻、電容、感應器、保險絲等。
參照第2A至10C圖,顯示本發明各實施例製造鰭式場效應電晶體200的各階段之各透視圖及剖面圖。
第2A圖為根據一實施例,具有基板202的鰭式場效應電晶體200在一製造階段的透視圖。第2B圖為第2A圖鰭式場效應電晶體沿著線a-a的剖面圖。在一實施例中,基板202包括多晶矽基板(例如晶圓)。基板202可根據設計需求而包括各摻雜區(例如為p型基板或n型基板)。在一些實施例中,摻雜區可為p型或n型摻質摻雜。例如,摻雜區可為p型摻質摻雜,例如為硼或BF2 ;n型摻質,例如為磷或砷;及/或前述之組合。摻雜區的配置可為了n型鰭式場效應電晶體,或者可為了p型鰭式場效應電晶體。
在一些其他實施例中,基板202的形成可由一些適合的元素半導體,例如鑽石或鍺;適合的化合物半導體,例如為砷化鎵(gallium arsenide)、碳化矽(silicon carbide)、砷化銦(indium arsenide)、或磷化銦(indium phosphide);或適合的合金半導體,例如碳鍺矽(silicon germanium carbide)、磷砷鎵(gallium arsenic phosphide)、或磷銦鎵(gallium indium phosphide)。此外,基板202可包括磊晶層(epi-layer),其可具有應變以提升效能,及/或可包括絕緣層上有矽(silicon-on-insulator;SOI)結構。
對基板202進行蝕刻以形成鰭板。在一實施例中,在半導體基板202上形成墊層204a及罩幕層204b。墊層204a可為薄膜包括例如以熱氧化製程(thermal oxidation process)形成氧化矽。墊層204a可作為介於半導體基板202及罩幕層204b的黏著層。墊層204a也可作為蝕刻罩幕層204b的蝕刻停止層。在至少一實施例中,以氮化矽形成罩幕層204b,例如利用低壓化學氣相沉積(低壓化學氣相沉積)或電漿輔助化學氣相沉積(PECVD)。在後續微影製程中,以罩幕層204b作為硬罩幕。在罩幕層204b上形成感光(photo-sensitive)層206,而後圖案化,以在感光層206中形成開口208。
第3A圖為根據一實施例鰭式場效應電晶體在一製造階段的透視圖,而第3B圖為鰭式場效應電晶體沿著第3A圖的線a-a的剖面圖。經由開口208蝕刻罩幕層204b及墊層204a以暴露出下方的半導體基板202。而後蝕刻暴露的半導體基板202以形成具有半導體基板202的頂表面202s的溝槽210。半導體基板202在溝槽210間的部分形成半導體鰭板212。溝槽210可為彼此平行的條狀(由鰭式場效應電晶體200的頂部來看),且彼此間緊鄰相隔。溝槽210各具有寬度W、深度D、以及使相鄰溝槽分開的間隔S。例如,在溝槽210間的間隔S可小於30奈米。而後移除感光層206。接著,可進行清洗以移除半導體基板202的原生氧化物(native oxide)。可利用稀氫氟酸(DHF)進行清洗。
在一些實施例中,溝槽210的深度D的範圍可介於約2100埃至約2500埃,而溝槽210的寬度W的範圍可介於約200埃至約1500埃。在一實施例中,溝槽210的深寬比(D/W)可大於約7.0。在一些其他實施例中,深寬比甚至可大於約8.0。在又一些實施例中,深寬比低於約7.0或介於7.0至8.0。然而,本領域具通常知識者可了解所述尺寸及數值僅為舉例,可依照積體電路的不同尺寸而改變。
而後視需要在溝槽210中形成襯層氧化物(未顯示)。在一實施例中,襯層氧化物可為熱氧化物,具有厚度範圍介於約20埃至500埃。在一些實施例中,襯層氧化物的形成可利用臨場蒸氣產生技術(in-situ steam generation;ISSG)等。襯層氧化物的形成使溝槽210的角落變圓,而降低電場,且因此提升所得積體電路的性能。
第4A圖為根據一實施例製造鰭式場效應電晶體200的一製造階段的透視圖,而第4B圖為鰭式場效應電晶體沿著第4A圖的線a-a的剖面圖。介電材料214填入溝槽210中。介電材料214可包括氧化矽,因此在本發明中也稱為氧化物214。在一些實施例中,也可用其他介電材料,例如氮化矽、氮氧化矽、氟摻雜矽玻璃(fluoride-doped silicate glass;FSG)、或低介電常數介電材料。在一實施例中,氧化物214的形成可利用高密度電漿(high-density-plasma;HDP)化學氣相沉積製程,利用矽烷(silane)及氧作為反應前驅物。在其他實施例中,氧化物214的形成可利用次壓化學氣相沉積(sub-atmospheric CVD;SACVD)製程或高深寬比製程(high aspect ratio process;HARP),其中製程氣體可包括四乙氧基矽烷(tetraethylorthosilicate;TEOS)及/或臭氧(O3 )。在另一其他實施例中,氧化物214的形成可利用旋塗介電質(spin-on dielectric;SOD)製程,例如氫矽酸鹽(hydrogen silsesquioxane;HSQ)或甲基矽酸鹽(methyl silsesquioxane;MSQ)。
第4A及4B圖描繪在介電材料214沉積後所形成的結構。而後進行化學機械研磨,接著移除罩幕層204b及墊層204a。所形成的結構如第5A及5B圖所示。第5A圖為根據一實施例製造鰭式場效應電晶體200的一製造階段的透視圖,而第5B圖為鰭式場效應電晶體沿著第5A圖的線a-a的剖面圖。在溝槽210中剩餘的氧化物214部分此後稱為絕緣區216。在至少一實施例中,由氮化矽形成罩幕層204b,罩幕層204b的移除可利用熱磷酸的濕製程,而墊層204a若為氧化矽所形成,則其移除可利用稀氫氟酸。在一些其他實施例中,在凹蝕絕緣區216之後可進行罩幕層204b及墊層204a的移除,凹蝕步驟如第6A及6B圖所示。
第5A及5B圖顯示化學機械研磨製程及移除罩幕層204b及墊層204a所形成的結構。而如第6A及6B圖所示,藉由蝕刻步驟凹蝕絕緣區216,形成凹陷218。在一實施例中,蝕刻步驟的進行可利用濕蝕刻製程,例如將基板浸泡在氫氟酸中。在另一實施例中,蝕刻步驟的進行可利用乾蝕刻製程,例如乾蝕刻製程的進行可利用三氟甲烷(CHF3 )或氟化硼(BF3 )作為蝕刻氣體。
剩餘的絕緣區216可包括平的頂表面216t。剩餘的絕緣區216可包括第一絕緣區216a及第二絕緣區216b。並且,半導體鰭板212的上方部分222突出超過剩餘的絕緣區216的平的頂表面216t,因此用以形成鰭式場效應電晶體200的通道區。半導體鰭板212的上方部分222可包括頂表面222t及側壁222s。半導體鰭板212的上方部分222的高度H可介於約15奈米至約50奈米。在一些實施例中,高度H大於50奈米或小於15奈米。為了簡化,在第一及第二絕緣區216a、216b間的半導體鰭板212的上方部分222之後稱為通道鰭板222a,以顯示半導體鰭板212的各個上方部分,其中,第一及第二絕緣區216a、216b的平的頂表面216t低於半導體鰭板212的頂表面222t。
製程步驟至此已提供基板202,其具有各具頂表面216t的第一絕緣區216a及第二絕緣區216b,以及介於第一及第二絕緣區216a、216b間的鰭板212,其中,第一及第二絕緣區的頂表面216t低於鰭板212的頂表面222t。
第7A圖為根據一實施例製造鰭式場效應電晶體200的一製造階段的透視圖,而第7B圖為鰭式場效應電晶體沿著第7A圖的線a-a的剖面圖。在基板上的通道鰭板222a非凹陷部分的頂表面222t及側壁222s上形成閘極堆疊220,且延伸至第一及第二絕緣區216a、216b的平的頂表面216t。在一些實施例中,閘極堆疊220包括閘極介電層220a及在閘極介電層220a上的閘極電極層220b。
在第7A及7B圖中,形成閘極介電層220a以覆蓋通道鰭板222a的頂表面222t及側壁222s。在一些實施例中,閘極介電層220a可包括氧化矽、氮化矽、氮氧化矽、或高介電常數介電質。高介電常數介電質包括金屬氧化物。金屬氧化物作為高介電常數介電質的例子包括鋰(Li)、鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鈧(Sc)、釔(Y)、鋯(Zr)、鉿(Hf)、鋁(Al)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、鐿(Yb)、鎦(Lu)之氧化物、及/或前述之混合。在本發明一實施例中,閘極介電層220a為高介電常數介電層,其厚度介於約10至30埃。閘極介電層220a的形成可利用適當的製程,例如原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、熱氧化(thermal oxidation)、紫外線-臭氧氧化(UV-ozone oxidation)、或前述之組合。閘極介電層220a可更包括介面層(未顯示)以減少在閘極介電層220a及通道鰭板222a間的損害。介面層可包括氧化矽。
而後在閘極介電層220a上形成閘極電極層220b。在至少一實施例中,閘極電極層220b覆蓋一個以上的半導體鰭板212的上方部分222,使得所形成的鰭式場效應電晶體200包括一個以上的鰭板。在一些另外的實施例中,各個半導體鰭板212的上方部分222可用以形成個別的鰭式場效應電晶體200。在一些實施例中,閘極電極層220b可包括單層或多層。在本發明一實施例中,閘極電極層220b可包括多晶矽。再者,可平均或不平均的摻雜閘極電極層220b。在一些另外的實施例中,閘極電極層220b可包括金屬,例如為鋁、銅、鎢、鈦、鉭、氮化鈦、鋁化鈦、氮鋁化鈦、氮化鉭、矽化鎳、矽化鈷、其他與基板材料的功函數可相容的導電材料、或前述之組合。在本發明一實施例中,閘極電極層220b包括厚度介於約30奈米至約60奈米。閘極電極層220b的形成可利用適當的製程例如為原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、電鍍、或前述之組合。
仍舊參照第7A圖,鰭式場效應電晶體200更包括在基板202上及沿著閘極堆疊220的旁邊形成介電層224。在一些實施例中,介電層224可包括氧化矽、氮化矽、氮氧化矽、或其他適合的材料。介電層224可包括單層或多層。介電層224的毯覆層的形成可利用化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、或其他適當的技術。而後,在介電層224上進行非等向性蝕刻以在閘極堆疊220的兩側上形成介電層(一對間隙物)224。介電層224包括厚度介於約5至15奈米。
第8A圖為根據一實施例製造鰭式場效應電晶體200的一製造階段的透視圖,而第8B圖為鰭式場效應電晶體沿著第8A圖的線b-b的剖面圖。凹蝕半導體鰭板212未被閘極堆疊220及介電層(間隙物)224覆蓋的部分,而形成半導體鰭板212的凹陷部分226,其頂表面212r低於第一及第二絕緣區216a、216b的平的頂表面216t。在一實施例中,利用一對介電層(間隙物)224作為硬罩幕,進行偏壓蝕刻(biased etching)製程以凹蝕通道鰭板222a的頂表面222t未被保護或暴露出來的部分,而形成半導體鰭板212的凹陷部分226。在一實施例中,進行蝕刻製程的壓力可介於約1 mTorr至1000 mTorr,功率約50 W至1000 W,偏壓約20 V至500 V,溫度約40℃至60℃,蝕刻氣體係利用溴化氫(HBr)或氯氣(Cl2 )。並且,在一提供的實施例中,可調整蝕刻製程中所使用的偏壓以更好的控制蝕刻方向,而使得半導體鰭板212的凹陷部分226可達到預先設計的樣式。
第9A圖為根據一實施例製造鰭式場效應電晶體200的一製造階段的透視圖,而第9B圖為鰭式場效應電晶體沿著第9A圖的線b-b的剖面圖。在形成半導體鰭板212的凹陷部分226之後,蝕刻第一及第二絕緣區216a、216b的平的頂表面216t未被閘極堆疊220覆蓋的角落部分,以形成第一及第二絕緣區216a、216b的漸細的頂表面216u。在一實施例中,進行蝕刻步驟可利用濕蝕刻製程,例如將基板202浸入氫氟酸(HF)中。在另一實施例中,進行蝕刻步驟可利用無偏壓乾蝕刻(non-biased dry etching)製程,例如可利用三氟甲烷(CHF3 )或氟化硼(BF3 )為蝕刻氣體進行乾蝕刻製程。
在一實施例中,第一及第二絕緣區216a、216b的漸細的頂表面216u包括平的部分及坡度或斜面的側壁(如第9A及9B圖所示)。因此,漸細的頂表面216u之平的部分的寬度W2 小於漸細的頂表面216u的最大寬度W1 。在一實施例中,平的部分的寬度W2 對第一絕緣區216a的最大寬度W3 的比例介於0.05至0.95。此外,漸細的頂表面216u的最低點與基板202的頂表面202s的距離D1 範圍介於約100至200奈米。
第9C圖為另一鰭式場效應電晶體200之實施例的剖面圖。在第9C圖所顯示的實施例中,移除第一及第二絕緣區216a、216b的平的頂表面216t未被閘極堆疊220覆蓋的角落,直到漸細的頂表面216u的平的部分消失,而使漸細的頂表面216u形成弧形的頂表面(如第9C圖所示)。可看出鄰近的半導體鰭板212間的空間具有中間線228,而漸細的頂表面216u鄰近中間線228的弧形頂表面高於漸細的頂表面216u鄰近半導體鰭板222的弧形頂表面。亦即,漸細的頂表面216u包括在漸細的頂表面216u的中間的最高點P。此外,漸細的頂表面216u的最低點與基板202的頂表面202s的距離D2 範圍介於約100至200奈米。在一實施例中,平的頂表面216t與漸細的頂表面216u的最高點P共平面。在另一實施例中,平的頂表面216t高於漸細的頂表面216u的最高點P。平的頂表面216t與漸細的頂表面216u的最高點P間的距離D3 範圍介於約0.1至0.3奈米。在又一實施例中,半導體鰭板212更包括在閘極堆疊220下的非凹陷部分,其具有一頂表面222t高於漸細的頂表面216u。半導體鰭板212非凹陷部分的頂表面222t與漸細的頂表面216u的最高點P間的距離D4 範圍介於約100至200奈米。
第10A圖為根據一實施例製造鰭式場效應電晶體200的一製造階段的透視圖,而第10B圖為鰭式場效應電晶體沿著第10A圖的線b-b的剖面圖。第10C圖為鰭式場效應電晶體200的另一實施例的剖面圖,具有應力材料230形成在第9C圖所示的結構上。在第10A、10B、及10C圖所示結構的形成係在半導體鰭板212的凹陷部分226上選擇性成長應力材料230,並延伸至第一及第二絕緣區216a、216b的漸細的頂表面上。由於應力材料230的晶格常數與基板202不同,因而在半導體鰭板212的通道區施以應力(strained)或應變(stressed),以增進裝置的載子遷移率,而提升裝置性能。在至少一實施例中,利用低壓化學氣相沉積製程磊晶成長應力材料230,例如為碳化矽,以形成n型鰭式場效應電晶體的源極及汲極區。低壓化學氣相沉積製程的進行係在溫度約400至800℃,且壓力在約1至200 Torr下,利用丙矽烷(Si3 H8 )及甲基矽烷(SiH3 CH3 )為反應氣體。在至少一實施例中,利用低壓化學氣相沉積製程磊晶成長應力材料230,例如為矽鍺,以形成p型鰭式場效應電晶體的源極及汲極區。低壓化學氣相沉積製程的進行係在溫度約400至800℃,且壓力在約1至200 Torr下,利用甲矽烷(SiH4 )及甲鍺烷(GeH4 )為反應氣體。
在本發明一實施例中,持續進行應力材料230的磊晶成長,直到應力材料230垂直延伸至基板202的表面202a上方約10至100奈米的範圍,且側向延伸至第一及第二隔離區216a、216b的漸細的頂表面216u上。應注意在半導體鰭板212不同的凹陷部分226選擇性成長應力材料230時,第一及第二絕緣區216a、216b的漸細的頂表面216u更容易讓成長的前驅物到達成長表面,而消除在合併的應力材料230(merged strained material)下的空隙。在一些實施例中,所合併的應力材料230下的空隙降低應力材料230的應力效率,亦即,相較於其中沒有空隙形成的應力材料230,具有空隙的應力材料230在鰭式場效應電晶體的通道區提供較少的應力,因此使得裝置的不穩定性及/或裝置失效的可能性增加。在本發明一實施例中,當在不同凹陷部分226成長的應力材料230時,應力材料230實質上具有平的表面。據此,本發明鰭式場效應電晶體的製造方法,可製造降低空隙的應力結構,以增強載子遷移率及裝置性能。
應了解鰭式場效應電晶體200可進一步進行化學機械研磨製程以形成各種元件,例如接觸插塞/通孔、內連線金屬層、介電層、保護層等。經改良的絕緣及應力結構在鰭式場效應電晶體的通道區提供一定程度的應力,因此提升裝置的性能。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100...方法
102、104、106、108、110、112、114...步驟
200...鰭式場效應電晶體
202...基板
204a...墊層
204b...罩幕層
206...感光層
208...開口
202s、216t、222t、212r...頂表面
210...溝槽
212...半導體鰭板
W、W1 、W2 、W3 ...寬度
D...深度
S...間隔
214...介電材料、氧化物
216...絕緣區
218...凹陷
216a...第一絕緣區
216b...第二絕緣區
222...上方部分
222s...側壁
H...高度
222a...通道鰭板
220...閘極堆疊
220a...閘極介電層
220b...閘極電極層
224...介電層
226...凹陷部分
216u...漸細的頂表面
D1 、D2 ...距離
228...中間線
P...最高點
230...應力材料
第1圖為根據本發明數個實施例,顯示鰭式場效應電晶體的製造方法的流程圖。
第2A、2B、3A、3B、4A、4B、5A、5B、6A、6B、7A、7B、8A、8B、9A~9C、10A~10C圖為根據本發明數個實施例,鰭式場效應電晶體的不同製造階段的透視圖及剖面圖。
200...鰭式場效應電晶體
202...基板
202s...頂表面
216a...第一絕緣區
216b...第二絕緣區
212...半導體鰭板
216u...漸細的頂表面
226...凹陷部分
230...應力材料

Claims (10)

  1. 一種鰭式場效應電晶體,包括:一基板,包括一頂表面;一第一絕緣區及一第二絕緣區,在該基板頂表面上,該第一及第二絕緣區具有漸細的頂表面;該基板的一鰭板,延伸超過該第一及第二絕緣區之間的該基板頂表面,其中該鰭板包括一凹陷部分,其具有一頂表面低於該第一及第二絕緣區的該漸細的頂表面,其中該鰭板包括一非凹陷部分,其具有一頂表面高於該漸細的頂表面;以及一閘極堆疊,在該鰭板的該非凹陷部分上。
  2. 如申請專利範圍第1項所述之鰭式場效應電晶體,其中該漸細的頂表面包括一平的部分及漸細的側壁。
  3. 如申請專利範圍第1項所述之鰭式場效應電晶體,其中該漸細的頂表面包括一弧形頂表面。
  4. 如申請專利範圍第1項所述之鰭式場效應電晶體,其中該漸細的頂表面包括在該漸細的頂表面的中間的一最高點。
  5. 如申請專利範圍第1項所述之鰭式場效應電晶體,其中該第一絕緣區更包括在該閘極堆疊下具有一平的頂表面的部分。
  6. 如申請專利範圍第5項所述之鰭式場效應電晶體,其中該漸細的頂表面的一平的部分的寬度小於該平的頂表面的最大寬度。
  7. 如申請專利範圍第5項所述之鰭式場效應電晶體,其中該平的頂表面與該漸細的頂表面的一最高點共平面。
  8. 如申請專利範圍第5項所述之鰭式場效應電晶體,其中該平的頂表面高於該漸細的頂表面的一最高點。
  9. 一種鰭式場效應電晶體的製造方法,包括:提供具有一第一絕緣區及一第二絕緣區的一基板,該第一及第二絕緣區分別具有頂表面,以及一鰭板介於該第一及第二絕緣區間,其中該第一及第二絕緣區的該頂表面低於該鰭板的一頂表面;在該鰭板的一部分上以及在該第一及第二絕緣區的一部分上形成一閘極堆疊;凹蝕該鰭板未被該閘極堆疊覆蓋的部分,以在該第一及第二絕緣區的該頂表面下形成該鰭板的一凹陷區;蝕刻該第一及第二絕緣區的該頂表面未被該閘極堆疊覆蓋的角落,以形成該第一及第二絕緣區的漸細的頂表面;以及在該鰭板的該凹陷部分上及該第一及第二絕緣區的該漸細的頂表面上選擇性成長一應力材料。
  10. 如申請專利範圍第9項所述之鰭式場效應電晶體的製造方法,其中該應力材料形成具有一大體上平的表面。
TW100106853A 2010-10-13 2011-03-02 鰭式場效應電晶體及其製造方法 TWI431778B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/903,712 US8440517B2 (en) 2010-10-13 2010-10-13 FinFET and method of fabricating the same

Publications (2)

Publication Number Publication Date
TW201216467A TW201216467A (en) 2012-04-16
TWI431778B true TWI431778B (zh) 2014-03-21

Family

ID=45933410

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100106853A TWI431778B (zh) 2010-10-13 2011-03-02 鰭式場效應電晶體及其製造方法

Country Status (3)

Country Link
US (5) US8440517B2 (zh)
CN (1) CN102446974B (zh)
TW (1) TWI431778B (zh)

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8426923B2 (en) 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
US8207038B2 (en) * 2010-05-24 2012-06-26 International Business Machines Corporation Stressed Fin-FET devices with low contact resistance
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US9064892B2 (en) 2011-08-30 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
US8723236B2 (en) * 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US9318431B2 (en) * 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR101964262B1 (ko) * 2011-11-25 2019-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8609480B2 (en) 2011-12-21 2013-12-17 Globalfoundries Inc. Methods of forming isolation structures on FinFET semiconductor devices
US9087687B2 (en) * 2011-12-23 2015-07-21 International Business Machines Corporation Thin heterostructure channel device
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US8901615B2 (en) * 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture
US9490318B2 (en) * 2012-06-15 2016-11-08 Lawrence Livermore National Security, Llc Three dimensional strained semiconductors
CN103531467B (zh) * 2012-07-02 2016-08-03 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103578964B (zh) * 2012-07-20 2016-12-28 中芯国际集成电路制造(上海)有限公司 半导体结构和鳍式场效应管的形成方法、刻蚀装置
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US8969963B2 (en) 2012-10-12 2015-03-03 International Business Machines Corporation Vertical source/drain junctions for a finFET including a plurality of fins
TWI573270B (zh) * 2012-10-29 2017-03-01 聯華電子股份有限公司 多閘極場效電晶體及其製程
CN103811339B (zh) * 2012-11-09 2016-12-21 中国科学院微电子研究所 半导体器件及其制造方法
CN103811341B (zh) 2012-11-09 2016-05-11 中国科学院微电子研究所 半导体器件及其制造方法
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
CN109216181A (zh) * 2012-11-30 2019-01-15 中国科学院微电子研究所 鳍结构制造方法
US8815668B2 (en) 2012-12-07 2014-08-26 International Business Machines Corporation Preventing FIN erosion and limiting Epi overburden in FinFET structures by composite hardmask
US9812556B2 (en) * 2012-12-28 2017-11-07 Renesas Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device
KR102017616B1 (ko) * 2013-01-02 2019-09-03 삼성전자주식회사 전계 효과 트랜지스터
US9559181B2 (en) * 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US9466668B2 (en) 2013-02-08 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Inducing localized strain in vertical nanowire transistors
US9368619B2 (en) 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
CN103985755B (zh) * 2013-02-08 2017-10-27 中国科学院微电子研究所 半导体设置及其制造方法
US9209066B2 (en) * 2013-03-01 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of semiconductor device
JP6224818B2 (ja) * 2013-03-30 2017-11-01 インテル・コーポレーション 集積回路および集積回路を形成する方法
US20140306286A1 (en) * 2013-04-10 2014-10-16 International Business Machines Corporation Tapered fin field effect transistor
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
CN103346086B (zh) * 2013-05-31 2016-08-10 上海华力微电子有限公司 嵌入式锗硅结构的制造方法
KR20140142423A (ko) * 2013-06-03 2014-12-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9093531B2 (en) 2013-06-11 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9257427B2 (en) 2013-07-15 2016-02-09 Globalfoundries Inc. Merged tapered finFET
US9293587B2 (en) 2013-07-23 2016-03-22 Globalfoundries Inc. Forming embedded source and drain regions to prevent bottom leakage in a dielectrically isolated fin field effect transistor (FinFET) device
US9048317B2 (en) 2013-07-31 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
CN104425601B (zh) * 2013-08-30 2018-02-16 中国科学院微电子研究所 半导体器件及其制造方法
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
CN104576386B (zh) * 2013-10-14 2018-01-12 中国科学院微电子研究所 一种FinFET及其制造方法
US9141745B2 (en) 2013-10-31 2015-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for designing Fin-FET semiconductor device
US9484423B2 (en) 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
US9570609B2 (en) 2013-11-01 2017-02-14 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
US9601381B2 (en) * 2013-12-05 2017-03-21 Stmicroelectronics (Crolles 2) Sas Method for the formation of a finFET device with epitaxially grown source-drain regions having a reduced leakage path
US9882053B2 (en) * 2013-12-23 2018-01-30 Intel Corporation Molded dielectric fin-based nanostructure
US9112033B2 (en) * 2013-12-30 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9431523B2 (en) * 2014-01-16 2016-08-30 Globalfoundries Inc. Local thinning of semiconductor fins
US9793268B2 (en) * 2014-01-24 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for gap filling improvement
KR102151768B1 (ko) * 2014-01-27 2020-09-03 삼성전자주식회사 반도체 장치 및 그 제조방법
KR102170856B1 (ko) * 2014-02-19 2020-10-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9647113B2 (en) 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9461170B2 (en) 2014-04-23 2016-10-04 Taiwan Semiconductor Manufacturing Company Ltd. FinFET with ESD protection
CN105097517B (zh) * 2014-04-25 2018-07-20 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制造方法、电子装置
KR102146469B1 (ko) 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9214462B2 (en) 2014-05-01 2015-12-15 International Business Machines Corporation Recessed source drain contact regions independent of device pitch by unmerged epitaxy on fin portions
CN105097522B (zh) * 2014-05-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102158961B1 (ko) 2014-05-13 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9312364B2 (en) * 2014-05-27 2016-04-12 International Business Machines Corporation finFET with dielectric isolation after gate module for improved source and drain region epitaxial growth
CN105304488B (zh) * 2014-05-29 2018-07-10 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应晶体管的形成方法
TWI615976B (zh) 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
US9299803B2 (en) 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9647098B2 (en) 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
KR102265718B1 (ko) * 2014-08-29 2021-06-16 인텔 코포레이션 고 종횡비의 좁은 구조체들을 다수의 금속 층들로 채우기 위한 기술 및 관련 구성들
CN105513966B (zh) * 2014-09-26 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9536985B2 (en) 2014-09-29 2017-01-03 Globalfoundries Inc. Epitaxial growth of material on source/drain regions of FinFET structure
US9450093B2 (en) 2014-10-15 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
KR20160072476A (ko) * 2014-12-15 2016-06-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
EP3238264A4 (en) * 2014-12-23 2018-08-22 Intel Corporation Apparatus and methods of forming fin structures with sidewall liner
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9397162B1 (en) * 2014-12-29 2016-07-19 Globalfoundries Inc. FinFET conformal junction and abrupt junction with reduced damage method and device
US9406752B2 (en) 2014-12-29 2016-08-02 Globalfoundries Inc. FinFET conformal junction and high EPI surface dopant concentration method and device
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9478660B2 (en) 2015-01-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Protection layer on fin of fin field effect transistor (FinFET) device structure
US9564528B2 (en) 2015-01-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9391078B1 (en) 2015-01-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finFET devices
US9349859B1 (en) 2015-01-29 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Top metal pads as local interconnectors of vertical transistors
US9543439B2 (en) * 2015-01-30 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US9406680B1 (en) 2015-02-13 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
KR102320049B1 (ko) 2015-02-26 2021-11-01 삼성전자주식회사 경사진 활성 영역을 갖는 반도체 소자
US9564493B2 (en) 2015-03-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
US9406675B1 (en) 2015-03-16 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method of manufacturing the same
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
KR102326316B1 (ko) * 2015-04-10 2021-11-16 삼성전자주식회사 반도체 소자의 제조 방법
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
KR102251061B1 (ko) 2015-05-04 2021-05-14 삼성전자주식회사 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US9530889B2 (en) 2015-05-21 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
KR102395073B1 (ko) 2015-06-04 2022-05-10 삼성전자주식회사 반도체 소자
CN106252391B (zh) * 2015-06-09 2021-02-19 联华电子股份有限公司 半导体结构及其制作方法
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
CN106328526A (zh) * 2015-06-25 2017-01-11 联华电子股份有限公司 鳍状晶体管与鳍状晶体管的制作方法
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US9391065B1 (en) * 2015-06-29 2016-07-12 Globalfoundries Inc. Electrostatic discharge and passive structures integrated in a vertical gate fin-type field effect diode
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9583623B2 (en) 2015-07-31 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof
CN106409748B (zh) * 2015-08-03 2020-11-17 联华电子股份有限公司 半导体元件及其制作方法
US9666581B2 (en) 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US10164096B2 (en) 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9647122B2 (en) 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10032873B2 (en) 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9905641B2 (en) 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN114300363A (zh) * 2015-09-16 2022-04-08 蓝枪半导体有限责任公司 半导体元件及其制作方法
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
US10177143B2 (en) 2015-10-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Limited FinFET device and method for fabricating the same
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
TWI683395B (zh) 2015-11-12 2020-01-21 聯華電子股份有限公司 鰭狀電晶體與鰭狀電晶體的製作方法
US9620503B1 (en) * 2015-11-16 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9553191B1 (en) * 2015-11-16 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
US11264452B2 (en) 2015-12-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode
TWI707403B (zh) 2016-01-06 2020-10-11 聯華電子股份有限公司 半導體元件及其製作方法
US9502507B1 (en) * 2016-02-01 2016-11-22 Globalfoundries Inc. Methods of forming strained channel regions on FinFET devices
CN105702737B (zh) * 2016-02-05 2019-01-18 中国科学院微电子研究所 连接有负电容的多栅FinFET及其制造方法及电子设备
US9825036B2 (en) 2016-02-23 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device
US9691765B1 (en) 2016-03-08 2017-06-27 International Business Machines Corporation Fin type field effect transistors with different pitches and substantially uniform fin reveal
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US9748389B1 (en) 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9905692B2 (en) * 2016-05-20 2018-02-27 Globalfoundries Inc. SOI FinFET fins with recessed fins and epitaxy in source drain region
US9786784B1 (en) * 2016-05-27 2017-10-10 Samsung Electronics Co., Ltd. Vertical field effect transistor and method of fabricating the same
US9899382B2 (en) 2016-06-01 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10164098B2 (en) 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US10115624B2 (en) * 2016-06-30 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10205002B2 (en) 2016-07-26 2019-02-12 Applied Materials, Inc. Method of epitaxial growth shape control for CMOS applications
US9870926B1 (en) 2016-07-28 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9793105B1 (en) 2016-08-02 2017-10-17 United Microelectronics Corporation Fabricating method of fin field effect transistor (FinFET)
US10008418B2 (en) 2016-09-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
WO2018063277A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Integrated circuit devices with non-collapsed fins and methods of treating the fins to prevent fin collapse
US10026840B2 (en) 2016-10-13 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
US10312346B2 (en) * 2016-10-19 2019-06-04 International Business Machines Corporation Vertical transistor with variable gate length
US10510618B2 (en) 2016-10-24 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET EPI channels having different heights on a stepped substrate
US9741823B1 (en) * 2016-10-28 2017-08-22 Internation Business Machines Corporation Fin cut during replacement gate formation
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10872889B2 (en) 2016-11-17 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10529861B2 (en) 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11437516B2 (en) 2016-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for growing epitaxy structure of finFET device
US10490661B2 (en) 2016-11-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dopant concentration boost in epitaxially formed material
US9935173B1 (en) 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10453943B2 (en) 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10515951B2 (en) * 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10431670B2 (en) 2016-12-15 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd Source and drain formation technique for fin-like field effect transistor
US10522694B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US10134876B2 (en) 2017-03-31 2018-11-20 Globalfoundries Inc. FinFETs with strained channels and reduced on state resistance
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US9941150B1 (en) 2017-04-27 2018-04-10 International Business Machines Corporation Method and structure for minimizing fin reveal variation in FinFET transistor
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
KR102432467B1 (ko) 2017-08-30 2022-08-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10535529B2 (en) 2018-06-05 2020-01-14 International Business Machines Corporation Semiconductor fin length variability control
US10861973B2 (en) 2018-06-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with a diffusion blocking layer
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10861969B2 (en) 2018-07-16 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming FinFET structure with reduced Fin buckling
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10553707B1 (en) * 2018-08-22 2020-02-04 Globalfoundries Inc. FinFETs having gates parallel to fins
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11101347B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
US11024650B2 (en) 2019-04-26 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and a method for fabricating the same
US11282934B2 (en) 2019-07-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for metal gate electrode and method of fabrication
US11557590B2 (en) 2020-02-19 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate profile optimization
CN114121678B (zh) * 2022-01-27 2022-04-29 广东省大湾区集成电路与系统应用研究院 一种finfet的制造方法

Family Cites Families (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2833946B2 (ja) 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
KR0146203B1 (ko) 1995-06-26 1998-12-01 김광호 반도체 집적회로의 회로소자값 조정회로
US5963789A (en) 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US5949986A (en) 1996-09-05 1999-09-07 California Institute Of Technology Chip connectivity verification program
US6065481A (en) 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
TW468273B (en) 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3660783B2 (ja) 1997-06-30 2005-06-15 松下電器産業株式会社 半導体集積回路
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
JP4044721B2 (ja) 2000-08-15 2008-02-06 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6558477B1 (en) 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US6830994B2 (en) 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
FR2830984B1 (fr) 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6737302B2 (en) 2001-10-31 2004-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4118045B2 (ja) 2001-12-07 2008-07-16 富士通株式会社 半導体装置
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
JP2004014737A (ja) 2002-06-06 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6713365B2 (en) 2002-09-04 2004-03-30 Macronix International Co., Ltd. Methods for filling shallow trench isolations having high aspect ratios
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP4031329B2 (ja) 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6791155B1 (en) 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6946373B2 (en) 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7087499B2 (en) 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
JP4456816B2 (ja) * 2003-01-29 2010-04-28 川崎マイクロエレクトロニクス株式会社 半導体装置およびその製造方法
US20040192067A1 (en) 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6872647B1 (en) 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
TWI242232B (en) 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6881668B2 (en) 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
KR100585111B1 (ko) 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
US7153744B2 (en) 2003-12-03 2006-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-aligned poly for embedded flash
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
KR100552058B1 (ko) 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7299005B1 (en) 2004-01-07 2007-11-20 Sprint Spectrum L.P. Radio frequency repeater with automated block/channel selection
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
US6956277B1 (en) 2004-03-23 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Diode junction poly fuse
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050221591A1 (en) 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100605104B1 (ko) 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
JP4493398B2 (ja) 2004-05-13 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置
US7157351B2 (en) 2004-05-20 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone vapor clean method
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
US6940747B1 (en) 2004-05-26 2005-09-06 Hewlett-Packard Development Company, L.P. Magnetic memory device
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7989855B2 (en) 2004-06-10 2011-08-02 Nec Corporation Semiconductor device including a deflected part
KR100604870B1 (ko) 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100594282B1 (ko) 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
JP5203558B2 (ja) 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
TWI283066B (en) 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7067400B2 (en) 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
JP2008514813A (ja) 2004-09-27 2008-05-08 ダウ グローバル テクノロジーズ インコーポレーテッド プラズマ強化化学蒸着による多層被膜
US7018901B1 (en) 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
US6949768B1 (en) 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
KR100605499B1 (ko) 2004-11-02 2006-07-28 삼성전자주식회사 리세스된 게이트 전극을 갖는 모스 트랜지스터 및 그제조방법
KR100693783B1 (ko) 2004-11-04 2007-03-12 주식회사 하이닉스반도체 내부전원 발생장치
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
CN100533685C (zh) 2004-12-06 2009-08-26 Nxp股份有限公司 在半导体衬底上制造外延层的方法及用这种方法制造的器件
US7026232B1 (en) 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US20060151808A1 (en) 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
EP1851789B1 (en) 2005-02-24 2013-05-01 Soitec Thermal oxidation of a sige layer and applications thereof
JP2006303451A (ja) 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US7338614B2 (en) 2005-04-05 2008-03-04 Analog Devices, Inc. Vapor HF etch process mask and method
JP2006324628A (ja) 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
WO2006132172A1 (ja) * 2005-06-07 2006-12-14 Nec Corporation フィン型電界効果型トランジスタ、半導体装置及びその製造方法
JP4427489B2 (ja) 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
KR100655788B1 (ko) 2005-06-30 2006-12-08 삼성전자주식회사 반도체 소자의 세정방법 및 이를 이용한 반도체 소자의제조방법.
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
EP1744351A3 (en) 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
JP4774247B2 (ja) 2005-07-21 2011-09-14 Okiセミコンダクタ株式会社 電圧レギュレータ
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
JP4749076B2 (ja) 2005-07-27 2011-08-17 ルネサスエレクトロニクス株式会社 半導体装置
US7323389B2 (en) 2005-07-27 2008-01-29 Freescale Semiconductor, Inc. Method of forming a FINFET structure
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
KR101155097B1 (ko) 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
US7323374B2 (en) 2005-09-19 2008-01-29 International Business Machines Corporation Dense chevron finFET and method of manufacturing same
US7589387B2 (en) 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7767541B2 (en) 2005-10-26 2010-08-03 International Business Machines Corporation Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7718500B2 (en) 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070152276A1 (en) 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP2007194336A (ja) 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
KR100827435B1 (ko) 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP2007258485A (ja) 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
JP4791868B2 (ja) 2006-03-28 2011-10-12 株式会社東芝 Fin−NAND型フラッシュメモリ
US7407847B2 (en) 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
KR100813527B1 (ko) 2006-04-06 2008-03-17 주식회사 하이닉스반도체 반도체 메모리의 내부 전압 발생 장치
WO2007115585A1 (en) 2006-04-11 2007-10-18 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
DE602007000665D1 (de) 2006-06-12 2009-04-23 St Microelectronics Sa Verfahren zur Herstellung von auf Si1-yGey basierenden Zonen mit unterschiedlichen Ge-Gehalten auf ein und demselben Substrat mittels Kondensation von Germanium
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8211761B2 (en) 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7685911B2 (en) 2006-09-05 2010-03-30 Proxene Tools Co., Ltd. Monkey wrench
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7410854B2 (en) 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
CN100527380C (zh) 2006-11-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片浅沟槽隔离刻蚀的方法
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US7538391B2 (en) 2007-01-09 2009-05-26 International Business Machines Corporation Curved FINFETs
US7456087B2 (en) 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US7612405B2 (en) * 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
JP2008227026A (ja) 2007-03-12 2008-09-25 Toshiba Corp 半導体装置の製造方法
KR100844938B1 (ko) 2007-03-16 2008-07-09 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7727842B2 (en) 2007-04-27 2010-06-01 Texas Instruments Incorporated Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US8927353B2 (en) * 2007-05-07 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method of forming the same
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
TW200901368A (en) 2007-06-23 2009-01-01 Promos Technologies Inc Shallow trench isolation structure and method for forming thereof
JP2009016418A (ja) 2007-07-02 2009-01-22 Nec Electronics Corp 半導体装置
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US7692213B2 (en) 2007-08-07 2010-04-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
US20090053883A1 (en) 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JP4361102B2 (ja) 2007-09-12 2009-11-11 富士フイルム株式会社 圧電素子の製造方法
CN101145916A (zh) 2007-10-12 2008-03-19 数字科技股份有限公司 网路安全认证系统
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US8440917B2 (en) * 2007-11-19 2013-05-14 International Business Machines Corporation Method and apparatus to reduce impedance discontinuity in packages
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US8189376B2 (en) 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
US20110018065A1 (en) 2008-02-26 2011-01-27 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
US8987092B2 (en) * 2008-04-28 2015-03-24 Spansion Llc Methods for fabricating memory cells having fin structures with semicircular top surfaces and rounded top corners and edges
WO2009144874A1 (en) 2008-05-29 2009-12-03 Panasonic Corporation Finfet with impurity blocking portion on an upper surface of fin
US8716786B2 (en) 2008-06-17 2014-05-06 Infineon Technologies Ag Semiconductor device having different fin widths
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US7923321B2 (en) 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US8247285B2 (en) 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US8120063B2 (en) 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
CA2659912C (en) 2009-03-24 2012-04-24 Sarah Mary Brunet Nasal prong protector
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8236658B2 (en) 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8298925B2 (en) * 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US8785286B2 (en) 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US20110256682A1 (en) 2010-04-15 2011-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
DE102010029527B4 (de) * 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
DE102010038742B4 (de) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
US8367498B2 (en) * 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8435845B2 (en) * 2011-04-06 2013-05-07 International Business Machines Corporation Junction field effect transistor with an epitaxially grown gate structure
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US8866192B1 (en) * 2013-07-17 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, high electron mobility transistor (HEMT) and method of manufacturing

Also Published As

Publication number Publication date
US9716091B2 (en) 2017-07-25
TW201216467A (en) 2012-04-16
US8440517B2 (en) 2013-05-14
US8809940B2 (en) 2014-08-19
US20160087079A1 (en) 2016-03-24
US9209300B2 (en) 2015-12-08
CN102446974A (zh) 2012-05-09
US20120091538A1 (en) 2012-04-19
US9379215B2 (en) 2016-06-28
US20160379977A1 (en) 2016-12-29
CN102446974B (zh) 2014-05-14
US20130228865A1 (en) 2013-09-05
US20140327091A1 (en) 2014-11-06

Similar Documents

Publication Publication Date Title
TWI431778B (zh) 鰭式場效應電晶體及其製造方法
US11626508B2 (en) Structure of a fin field effect transistor (FinFET)
US11257930B2 (en) Method of forming fin field effect transistor
US9685344B2 (en) Method of fabricating a semiconductor device including a plurality of isolation features
US9306037B2 (en) Dummy gate electrode of semiconductor device
TWI527242B (zh) 半導體裝置與其製造方法
KR101637853B1 (ko) 반도체 소자의 소스/드레인 구조를 가지는 핀 전계 효과 트랜지스터
TWI625858B (zh) 鰭式場效電晶體、半導體裝置及其製造方法
TWI524527B (zh) 半導體裝置及其製造方法
KR20210141312A (ko) 반도체 디바이스 및 방법