TWI308585B - Spin-on-glass anti-reflective coatings for photolithography - Google Patents

Spin-on-glass anti-reflective coatings for photolithography Download PDF

Info

Publication number
TWI308585B
TWI308585B TW091133515A TW91133515A TWI308585B TW I308585 B TWI308585 B TW I308585B TW 091133515 A TW091133515 A TW 091133515A TW 91133515 A TW91133515 A TW 91133515A TW I308585 B TWI308585 B TW I308585B
Authority
TW
Taiwan
Prior art keywords
mol
group
onion
grams
decane
Prior art date
Application number
TW091133515A
Other languages
English (en)
Other versions
TW200302259A (en
Inventor
Baldwin Teresa
Hacker Nigel
Kennedy Joseph
Spear Richard
Original Assignee
Honeywell Int Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell Int Inc filed Critical Honeywell Int Inc
Publication of TW200302259A publication Critical patent/TW200302259A/zh
Application granted granted Critical
Publication of TWI308585B publication Critical patent/TWI308585B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/22Absorbing filters
    • G02B5/23Photochromic filters
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/006Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character
    • C03C17/008Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character comprising a mixture of materials covered by two or more of the groups C03C17/02, C03C17/06, C03C17/22 and C03C17/28
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Composite Materials (AREA)
  • Optics & Photonics (AREA)
  • Silicon Polymers (AREA)
  • Paints Or Removers (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Description

1308585
玖、發明說明 (發明說日聽敘明:《所屬之技_域、先前技術、心、實施方狀圖 本申請案係頒予甘迴以ennedy)等人之美國專= 6,268,457 號(2001 年 7 月飞,。m 米 丨31日)、吴國專利申請案序號 09/698,883(2000年 1〇 月 27日 览 q钕出甲祀)及吴國專利申請案 號 09/491,166(2000年 1 月 % n 上θ i i、 干i乃26日提出申請)(全部以其整體併於 此以供參考)之部份接續申請案。 、 發明範疇
本發明一般係有關於旋塗式玻璃材料,而更明確言之, 係有關於在光微影術中用作為抗反射層之吸光旋塗式破 璃材料’及製造該等材料之方法。 發明背景
為符合更快速性能之需求,積體電路元件中功能組件 (features)之特徵尺寸己繼續在縮小中。具有較小功能組件 尺寸之元件之製造’給半導體製造業習用的許多方法憑添 了新的挑戰。這些製造方法中最重要之一種方法是光微影 術。 長久以來己認知’光微影術所製造的圖案中,線寬變化 (linewidth variations)會由半導體晶片上下面層(underlying layer)反射之光所產生之光干擾而產生。下面層之外形所 導致的光阻劑厚度的變化也會引發線寬變化,施加於光阻 劑層下面的抗反射塗層(ARC=anti-reflective coatings)己被用 來防止自照射光束反射之干擾。此外,抗反射塗層會部份 敷平晶片外形,分階幫助改進線寬變化,因為光阻劑厚度 更均勻。 1308585 發明說明續頁 (〇) 有機聚合物膜--特別是會吸收光阻劑曝露習用之丨_線 (365 nm)及g-線(436 nm)波長以及在最近使用之248 nm波長 之聚合物膜--已被用作為抗反射塗層。然而,有機ARC與 有機光阻劑共有許多化學性質這一事實,會限制可用之製 程順序。再者’ ARC會與光阻劑層相互混合。避免相互混 合的一種解決辨法’係將熱固性黏結劑作為有機ARC之額 外組份加入’如美國專利第5,693,691號(頒予Flaim等人)所 述。染料也可加入有機ARC中’以及视需要,額外添加劑 如保濕劑、黏附促進劑、防腐劑及增塑劑也可加入,如美 國專利第4,910,122號(頒予Arnold等人)所述。 氧氮化石夕係另一種已用作為抗反射塗層之材料。然而, 氧氮化矽係以破壞性干擾過程而非吸收來作為arc,此意 謂氧氮化硬厚度必須非常嚴格控制且該材料在變化很大 的外形上並不能發揮ARC功用。再者,氧氮化矽通常係藉 化學蒸氣沉積法而沉積’而光阻劑通常則是使用旋塗器 (spin-coater)施塗。多出的化學蒸氣沉積法會增加加工處理 之複雜性。 另一類可用作為抗反射塗層之材料,係含有染料之旋塗 式玻璃(SOG= spin-on·glass)组合物。姚(Yau)等人在美國專利 第4,587,138號揭示一種染料如鹼性黃#11以約1重量%之量 與旋塗式玻璃混合。歐曼(Allman)等人在美國專利第 5,100,503號揭示一種含無機染料如Ti02、Cr207、Mo04、Sc04 或Sc04,及黏附促進劑之交聯聚有機矽氧烷。歐曼附帶教 示旋塗式玻璃組合物也可用作為敷平層planarizing layer) 1308585 制 ⑴ 。然而,迄今已揭示的旋塗式玻璃染料組合物並不適合曝 露於目前製造具小功能組件尺寸之元件所用之深紫外光 ,尤其是248及193 nm光源。再者,並非全部的染料都可輕 易地融入任意的旋塗式玻璃組合物中。
因此,需要有一種可在紫外光譜區域強烈又均勻地吸收 的吸收性旋塗式玻璃抗反射塗層及微影材料,以及一種製 造旋塗式玻璃抗反射塗層之方法。ARC塗層也必需不會被 光阻劑顯像劑滲透。 發明概述
用於深紫外光微影術之抗反射塗覆材料包含一或多種 融入旋塗式玻璃(SOG)材料之有機吸收化合物。旋塗式玻 璃材料包含矽基化合物,如甲基矽氧烷、甲基矽倍半氧烷 、苯基矽氧烷、苯基矽倍半氧烷、甲基苯基矽氧烷、甲基 苯基碎倍半氧垸、梦酸S旨聚合物及其混合物。如此處所用 ,稱為”旋塗式玻璃材料”之族群也包含矽氧烷聚合物、具 一般化學式氫矽氧烷聚合物及具化學式 (HSiO^h(其中X為大於約4)之氫矽倍半氧烷聚合物。也包 括在内的有氫矽倍半氧烷及烷氧基氫化矽氧烷或羥基氫 化矽氧烷之共聚物。旋塗式玻璃材料另外包括具一般化學 式(HQ-uSiOmoURo—uSiOmoUi有機氫化矽氧烷聚合 物及具一般化學式(HSiO^URSiO^U之有機氫化矽倍半 氧燒聚合物,式中m為大於0,而η與m之和為大於4,及R 為fe基或芳基。 適合融入旋塗式玻璃材料中之吸收化合物可強烈吸收 1308585 (2) 小於375 nm或小於約260 nm之波長。特定言之,適當吸收 化合物可吸收可用於光微影術之波長如248 nm、193 nm、 157 nm或其他紫外光波長如365 nm附近的光。適當化合物 之發色體通常都具有至少一個苯環,且在有二或多個苯環 之情形時,這些環可為或不為稠合者。可融入之吸收化合 物都具有附在發色體的可接近反應性基,其中反應性基可 包括羥基、胺基、羧酸基,及矽鍵結至一、二或三個烷氧 基或鹵原子取代基之取代甲矽烷基。反應性基可直接鍵結 至發色體,或反應性基可經由烴橋或氧键合附著於發色體 。發色體也可包含與調配旋塗式玻璃材料所用類似的矽基 化合物或聚合物。 適當的可融入有機吸收化合物之實例包括具有一個苯 環之化合物,如苯基三烷氧基矽烷(苯基三乙氧基矽烷、 苯基三甲氧基矽烷、苯基三丙氧基矽烷);具有二或多個 非稠合苯環之化合物,如2-羥基- 4-(3 -三烷氧基甲矽烷基 丙氧基)二苯基酮、3-羥基-4-(3-三烷氧基甲矽烷基丙氧基 )二苯基酮、玫紅酸、4-苯基偶氮酿及4-烷氧基苯基偶氮苯 -4-羧基-烷基三乙氧基矽烷、櫻草靈;及具有二或多個稠 合苯環之化合物,如三烷氧基甲矽烷基丙基-1,8-莕醯亞胺 、蔥黃酸、茜素、醌茜、9-蔥羧基-烷基三乙氧基矽烷(9-蔥羧基-甲基三乙氧基矽烷、9-蔥羧基-乙基三乙氧基矽烷 、9-蔥羧基-丁基三乙氧基矽烷、9-蔥羧基-丙基三乙氧基 矽烷、9-蔥羧基-戊基三乙氧基矽烷)、9-蔥羧酸、9-蔥甲 醇及其混合物。 1308585 (3)
根据本發明之另一方面,其提供者為吸收性旋塗式玻璃 組合物之合成方法。旋塗式玻璃材料通常係由珍燒•及5夕基 反應物,如三乙氧基矽烷、四乙氧基矽烷'甲基三乙氧基 矽烷、二甲基二乙氧基矽烷、四曱氧基矽烷、甲基三甲氧 基矽烷、三甲氧基矽烷、二甲基二甲氧基矽烷、苯基三乙 氧基矽烷、苯基三甲氧基矽烷、二苯基二乙氧基矽烷及二 苯基二甲氧基矽烷合成。函矽烷,尤其是氯矽烷,例如, 三氯矽烷、甲基三氯矽烷、乙基三氯矽烷、苯基三氣矽烷 、四氯矽烷、二氯矽烷、甲基二氯矽烷、二甲基二氯矽烷 、氣三乙氡基矽烷、氯三曱氧基矽烷、氣甲基三乙氧基矽 烷、氯乙基三乙氧基矽烷、氣苯基三乙氧基矽烷、氯甲基 三甲氧基矽烷、氯乙基三甲氧基矽烷及氣苯基三甲氧基矽 燒也可用作為矽燒反應物。
製造吸收性旋塗式玻璃組合物之方法包括將一或多種 燒•氧基梦淀,或一或多種画石夕燒>、一或多種可融入有機吸 收化合物、酸/水混合物如硝酸/水混合物及一或多種溶劑 混合以形成反應混合物;及將反應混合物迴流以形成吸收 性旋塗式玻璃組合物。將如此形成之旋塗式玻璃組合物以 一或多種溶劑稀釋以提供可產生具有各種厚度之薄膜之 塗覆溶液。也提供製造吸收性旋塗式玻璃组合物之替代方 法,包括利用自矽烷及相轉移觸媒之方法。 在本發明之又一方面中,所製得之吸收性旋塗式組合物 包含矽基化合物及可強烈吸收低於約375 nm波長之光之 可融入有機吸收化合物。進一步提供的是其中至少矽基化 -10 - 1308585 (4) 合物或可融入有機吸收化合物之一包含至少一個烷基、烷 氧基、酮基或偶氮基之吸收性旋塗式組合物。
根据本發明之又一方面,其提供者為包含9-蔥羧基-烷 基三烷氧基矽烷之化學類吸收化合物。9-葱羧基-烷基三 烷氧基矽烷中任何一種之合成方法都包括將9-蔥羧酸、氯 烷基三烷氧基矽烷、三乙胺及溶劑混合以形成反應混合物 ;將反應混合物迴流;將迴流之反應混合物冷卻以形成沉 澱物及剩餘溶液;及將剩餘溶液過瀘以產生液體9-蔥羧基 -烷基三烷氧基矽烷。 圖式簡單說明 圖la- If顯示融入旋塗式玻璃組合物中之吸收化合物之 化學式。 圖2a-2h說明吸收性旋塗式玻璃組合物在光微影術方法 中作為抗反射塗層之用途。 詳細說明
用於紫外光微影術之抗反射塗覆材料包括至少一種融 入旋塗式玻璃(SOG)材料中之有機吸收化合物。吸收性旋 塗式玻璃組合物係溶解於適當溶劑中以形成塗覆溶液,並 在半導體元件製造時施塗於各種材料層。吸收性旋塗式玻 璃抗反射塗層係設計成可輕易融入既存半導體製造過程 中。便利融入之一些性質包括a)抗顯像劑性,b)在標準光 阻劑加工處理時之熱穩定性及c)有關下面層之選擇性移 除。 所涵蓋的旋塗式玻璃材料包含矽基化合物,如甲基矽氧 -11 -
1308585 (5)
烷、甲基矽倍半氧烷、苯基矽氧烷、苯基矽倍半氧烷、甲 基苯基矽氧烷、甲基苯基矽倍半氧烷、矽氨烷聚合物、矽 酸酯聚合物及其混合物。所涵蓋的碎氨燒聚合物有全氫發 氨燒,其具有發色體可附著的”透明”聚合物主鍵。如此處 所用,”旋塗式玻璃材料"一語也包括矽氧烷聚合物及嵌段 聚合物、具一般化學式(Hn.oSiOnu),之氫矽氧烷聚合物 及具化學式(HSiO^)〆其中X為大於約4)之氫矽倍半氧烷聚 合物。也包括在内的有氫矽倍半氧烷與烷氧氫化矽氧烷或 羥氫化矽氧烷之共聚物。旋塗式玻璃材料另外包括具一般 化學式(Hw.oSiOu-uWRo-uSiOnuU之有機氫化矽氧烷 聚合物及具一般化學式(HSiO^ddRSiOKsU之有機氫化矽 倍半氧燒聚合物,式中m為大於0,而η與m之和為大於約4 ,及R為烷基或芳基。一些有用有機氫化矽氧烷聚合物之 η與m之和為自約4至約5000,其中尺為CrCzo烷基或(:6-(:12芳 基。有機氫化矽氧烷及有機氫化矽倍半氧烷聚合物也稱為 旋塗式聚合物。一些特定實例包括烷基氫化矽氧烷,如曱 基氫化矽氧烷、乙基氫化矽氧烷、丙基氫化矽氧烷、第三 -丁基氫化矽氧烷、苯基氫化矽氧烷;及烷基氫化矽倍半 氧烷,如甲基氫化矽倍半氧烷、乙基氫化矽倍半氧烷、丙 基氫化矽倍半氧烷、第三-丁基氫化矽倍半氧烷、苯基氫 化矽倍半氧烷及其組合。 許多莕及蔥基化合物都在248 nm及以下具有顯著吸收 。以苯為基(benzene-based),在此同樣稱為以苯基為基 (phenyl-based)之化合物,在短於200 nm之波長下具有顯著 -12 - ϋΜΒΒΒϋϋΒΒΜΜΗίΜίΒ 1308585 ⑹ 吸收。雖然這些莕-、蔥-及苯-基化合物常稱為染料,但 在此處仍沿用吸收化合物一詞,因為這些化合物之吸收並 不限於光譜可視區域之波長。然而,並非所有這些吸收化 合物都可融入旋塗式玻璃中作為ARC材料使用。適用於本 發明之吸收化合物會吸收集中於可用於光微影術之波長 如248 nm、193 nm或其他紫外光波長如365 nm附近之波長範 圍之光。
適當吸收化合物之發色體通常都具有至少一個苯環,且 在有二或多個苯環之情形時,這些環可為或不為稠合者。 可融入之吸收化合物都具有附在發色體的可接近反應性 基,其中反應性基包括羥基、胺基、羧酸基,及矽鍵結至 一、二或三個”離去基”如烷氧基或齒原子之取代甲矽烷 基。乙氧基或甲氧基或氯原子常常用作為離去基。包括這 些常用離去基之反應性基包括矽烷氧基、矽二烷氧基及矽 三奴氧基’如碎' 乙氧基、碎二乙氧基、碎三乙氧基、碎甲 氧基、矽二甲氧基、矽三甲氧基、氣曱矽烷基、二氣甲矽 烷基及三氣甲矽烷基。反應性基可直接鍵結至例如苯基三 乙氧基矽烷之發色體,或反應性基可經由氧鍵合或烴橋附 著於例如9-蔥羧基-烷基三乙氧基矽烷之發色體。例如, 已發現,發色體包含矽三烷氧基是很有利的,尤其是為促 進吸收性SOG膜之穩定性。其他有用吸收化合物為含有偶 氮基,-N=N-,及可接近反應性基之化合物,尤其是含有 偶氮基連接苯環之化合物,尤其是在特定用途需要在3 65 nm附近吸收時。 -13 -
1308585 ⑺
在吸收性旋塗式玻璃組合物或材料中,吸收化合物可間 充(interstitially)融入旋塗式玻璃基質中。或者,吸收化合 物可化學鍵結至旋塗式玻璃聚合物。在一些所涵蓋的具體 例中,可融入之吸收化合物會經由可接近反應性基與旋塗 式玻璃聚合物主鏈形成鍵。
在一些涵蓋具體例中,吸收性旋塗組合物包含矽基化合 物及可吸收低於約375 nm波長内之光之可融入有機吸收 化合物。在其他涵蓋具體例中,吸收性旋塗組合物會吸收 大於2 nm波長範圍内之光。在還有其他涵蓋具體例中,吸 收性旋塗組合物會吸收大於10 nm波長範圍内之光。 再者,至少矽基化合物或可融入有機吸收化合物之一包 含至少一個烷基、烷氧基、酮基或偶氮基。
適用於本發明之吸收化合物之實例包括蔥黃酸(1)、9-蔥羧酸(2)、9-蔥甲醇(3)、9-蔥乙醇(4)、9-蔥丙醇(5)、9-蔥丁醇(6)、茜素(7)、醌茜(8)、櫻草靈(9)、2-羥基-4-(3-三乙氧基甲矽烷基丙氧基)-二苯基酮(10)、2-羥基-4-(3-三 甲氧基曱矽烷基丙氧基)-二苯基酮(11)、2-羥基-4-(3-三丁 氧基甲矽烷基丙氧基)-二苯基酮(12)、2-羥基- 4-(3-三丙氧 基甲矽烷基丙氧基)-二苯基酮(1 3)、玫紅酸(14)、三乙氧 基甲矽烷基丙基-1,8-莕醯亞胺(15)、三甲氧基甲矽烷基丙 基-1,8-莕醯亞胺(16)、三丙氧基甲矽烷基丙基-1,8-莕醯亞 胺(1 7)、9-蔥羧基-甲基三乙氧基矽烷(1 8)、9-蔥羧基-乙基 三乙氧基矽烷(19)、9-蔥羧基·丁基三乙氧基矽烷(20)、9-蔥羧基-丙基三乙氧基矽烷(21)、9-蔥羧基-甲基三甲氧基 -14- 1308585 ⑻
矽烷(22)、9-蔥羧基-乙基三丁氧基矽烷(23)、9-蔥羧基-甲 基三丙氧基矽烷(24)、9-蔥羧基-丙基三甲氧基矽烷(25)、 苯基三乙氧基矽烷(26)、苯基三甲氧基矽烷(27)、苯基三 丙氧基矽烷(28)、4-苯基偶氮驗(29)、4-乙氧基苯基偶氮苯 -4-羧基-甲基三乙氧基矽烷(30)、4-甲氧基苯基偶氮苯-4-羧基-乙基三乙氧基矽烷(31) ' 4-乙氧基苯基偶氮苯-4-羧 基-丙基三乙氧基矽烷(32)、4-丁氧基苯基偶氮苯-4-羧基-丙基三乙氧基矽烷(33)、4-甲氧基苯基偶氮苯-4-羧基-甲 基三乙氧基矽烷(34)、4-乙氧基苯基偶氮苯-4-羧基-甲基 三乙氧基矽烷(3 5)、4-甲氧基苯基偶氮苯-4-羧基-乙基三 乙氧基矽烷(36)、4-甲氧基苯基偶氮苯-4-羧基-丙基三乙 氧基矽烷(37)及其組合。吸收化合物1-37之化學式顯示於 圖la - If中。例如,9-蔥羧基-甲基三乙氧基矽烷(18)與9-蔥甲醇(3)、2-羥基- 4-(3-三乙氧基甲矽烷基丙氧基)-二苯 基酮(10)及玫紅酸(14)之组合,及苯基三乙氧基矽烷(26) 已獲得有利結果。然而,應了解的是,此一特定化合物清 單並非全包性清單,而所涵蓋及較佳的化合物可自包含這 些特定化合物之化學化合物類中選出。 大多數的這些吸收化合物市面上可自例如艾利希 (Aldrich)化學公司(Milwaukee,WI)購得。9-蔥羧基-烷基三烷 氧基矽烷係利用以下立即所述之酯化方法合成。除以上吸 收化合物外之苯基吸收化合物之實例包括烷氧基苯曱酸 化合物,如甲氧基苯甲酸;矽基反應性基附著於苯環或取 代苯基,如甲基苯基、氣苯基及氯甲基苯基之結構。特定 -15- 1308585 (9) 苯基吸收化合物包括苯基三曱氧基矽烷、苄基三氯矽烷、 氣甲基苯基三甲氧基矽烷、苯基三氟矽烷,僅舉數例。包 括一或二個”離去基”之二苯基矽烷,如二苯基甲基乙氧基 矽烷、二苯基甲基二乙氧基矽烷及二苯基二氣矽烷,再度 僅舉數例,亦係適當的可融入吸收化合物。
9-蔥羧基-烷基三烷氧基矽烷化合物之一般合成方法包 含利用9-蔥羧酸及氯甲基三烷氧基矽烷化合物作為反應 物。明確言之,9-蔥羧基-甲基三乙氧基矽烷(1 8)之合成方 法係利用9-蔥羧酸(2)及氯甲基三乙氧基矽烷作為反應物 。反應物係與先前在4A分子篩上乾燥過之三乙胺及甲基 異丁基酮(MIBK)混合以形成反應混合物,其經加熱至迴流 並迴流約6至10小時。迴流後,反應混合物經冷卻過夜, 產生大量的固體沉澱物。剩餘溶液經轉動蒸發、矽凝膠管 柱過瀘及轉動蒸發第二次,產生9-蔥羧基-甲基三乙氧基 矽烷(18),為深琥珀色油質液體,其可經純化。此一方法 很重要,因為其適用於製造9-蔥羧基-烷基三烷氧基矽烷 類中之任何化合物,包括9-蔥羧基-乙基三乙氧基矽烷 (TESAC)、9-蔥羧基-丙基三甲氧基矽烷及9-蔥羧基-丙基三 乙氧基矽烷(ACTEP)。 根据本發明之另一方面,其提供者為吸收性旋塗式玻璃 組合物之合成方法。旋塗式玻璃材料通常係由多種矽烷反 應物,包括例如三乙氧基矽烷(HTEOS)、四乙氧基矽烷 (TEOS)、甲基三乙氧基矽烷(MTEOS)、二甲基二乙氧基矽 烷、四甲氧基矽烷(TMOS)、甲基三甲氧基矽烷(MTMOS) -16- 1308585 (10)
、三甲氧基矽烷、二甲基二甲氧基矽烷、苯基三乙氧基矽 烷(PTEOS)、苯基三曱氧基矽烷(PTMOS)、二苯基二乙氧基 矽烷及二苯基二曱氧基矽烷合成。自矽烷,包括氯矽烷如 三氯矽烷、甲基三氯矽烷、乙基三氯矽烷、苯基三氣矽烷 、四氣矽烷、二氯矽烷、甲基二氣矽烷、二甲基二氯矽烷 、氯三乙氧基矽烷、氣三甲氧基矽烷、氣甲基三乙氧基矽 烷、氯乙基三乙氧基矽烷、氯苯基三乙氧基矽烷、氯曱基 三甲氧基矽烷、氣乙基三甲氧基矽烷及氣苯基三甲氧基矽 炫也都可用作為矽燒反應物。為製造吸收性旋塗式玻璃组 合物,係將吸收化合物如吸收化合物1-37或其組合與矽烷 反應物在SOG材料合成時混合。
在第一方法中,係在反應容器中形成包括矽烷反應物例 如HTEOS、或TEOS及MTEOS、或TMOS及MTMOS ;或者四氯 石夕燒•及曱基三氯石夕燒•、一或多種吸收化合物如吸收化合物 1-37 ;溶劑或溶劑之組合;及酸/水混合物之反應混合物 。適當溶劑包括丙酮、2-丙醇及其他簡單醇類、酮類及酯 類如1-丙醇、MIBK、丙氧基丙醇及醋酸丙酯。酸/水混合 物例如係硝酸及水。其他質子酸或酸酐,如醋酸、甲酸、 磷酸、氫氣酸或醋酸酐也可用於酸混合物。所得混合物經 迴流約1至24小時,即得吸收性SOG聚合物溶液。 吸收性SOG可用適當溶劑稀釋以獲得可產生具各種厚 度之膜之塗覆溶液。適當稀釋溶劑包括丙酮、2-丙醇、乙 醇、丁醇、甲醇、醋酸丙酯、乳酸乙酯及丙二醇丙基醚, 商業上稱Propasol-P。已發現,具高沸點之稀釋溶劑,如乳 -17-
1308585 ⑼
酸乙酯及丙二醇丙基醚很有益。咸信高沸點溶劑可降低氣 泡膜缺陷形成之機率。相反地,低沸點溶劑會被截留在交 聯之膜上層下方,並隨後在烘烤製程步驟驅除時產生空隙 。可用於本發明之額外溶劑包括乙二醇二甲基醚,又稱格 里美(giyme)、茴香醚、二丁基醚、二丙基醚、酷酸丙二醇 甲基醚酯及戊醇。視情況而言,界面活性劑如3M公司 (Minneapolis, MN)提供之產品FC 430,或DIC公司(日本)提供 之產品Megaface R08亦可加至塗覆溶液中。使用前,塗覆 溶液係藉標準過瀘技術過瀘。
根据形成吸收性SOG材料之第二種方法,係在反應容器 中形成包括珍燒反應物、一或多種吸收化合物如吸收化合 物1- 37,及溶劑或溶劑之組合之反應混合物。將反應混合 物加熱至迴流並迴流約1至24小時。矽烷反應物及溶劑係 如以上第一方法所述。將上述酸/水混合物加至反應混合 物中,並一邊攪拌。將所得混合物加熱至迴流並迴流約1 至24小時,即得吸收性SOG聚合物。將吸收性SOG如上述 稀釋並過瀘,即形成塗覆溶液。 形成吸收性有機氫化矽氧烷之方法包括形成雙相溶劑 (包括非極性溶劑及極性溶劑)與相轉移觸媒之混合物;將 一或多種有機三自碎燒•、氫化三齒石夕统及一或多種吸收化 合物如吸收化合物1-37加入,以提供雙相反應混合物;及 使雙相反應混合物反應1至24小時,以產生吸收性有機氫 化矽氧烷聚合物。相轉移觸媒包括,但不限於,氣化四丁 基銨及氯化笮基三甲基銨。代表性非極性溶劑包括,但不 -18- 1308585 (12) 限於,戊烷、己烷、庚烷、環己烷、苯、甲苯、二甲苯、 鹵化溶劑如四氯化碳、及其混合物。有用極性溶劑包括 水、醇類,及醇與水混合物。將吸收性聚合物溶液如上稀 釋及過瀘,即形成塗覆溶液。
吸收性SOG塗覆溶液通常係藉習用旋塗式沉積技術,視 特定製造過程而定,施塗於半導體加工所用各層。這些技 術包括配送旋塗(dispense spin)、厚度旋塗(thickness spin)及 熱烘烤步驟,而產生吸收性SOG抗反射塗層。典型方法包 括在1000與4000 rpm之間厚度旋塗約20秒,並在溫度80°C與 300°C之間進行二或三個烘烤步驟,每個步驟約1分鐘。根 据本發明之吸收性s〇G抗反射塗層具有折射率介於約1.3 與約2.0之間,及消光係數大於ο·。?。如以下實例一節所報 告’己獲得消光係數大於0.4。相反地,介電材料如二氧 化碎、矽酸鹽及甲基矽氧烷之消光係數在大於19〇 nm波長 下為約〇。
圖2a-2h顯示使用根据本發明之吸收性旋塗式玻璃材 在光微影方法中作為抗反射塗層之一般方法。如圖“所 ’石夕基材20上沉積有介電層22。介電層22可由各種介電 料所構成,包括例如由TE0S衍生而得之二氧化碎層、 燒基二氧化矽層、熱生長氧化物、化學蒸氣沉積產生之 基氫化矽氧烷或併含其他元素或化合物之二氧化硬。介 層22通常係光學透明介質。吸收性s〇G抗反射塗層以係 加於介電層22(圖2b)上’其上再以習用正光阻劑之光阻 層26覆蓋以產生如圖2c所示之疊層。圖&之疊層係透過 -19- 1308585 (13) 幕30曝露於紫外光輻射下,如圖2d所示。在曝露時,吸收 性SOG ARC層24會吸收透過光阻劑之UV光32。因為介電層 22在UV波長範圍内係透明,如果吸收性SOG ARC層24不存 在,則UV光32會自下面矽層20反射,使關鍵尺寸,例如曝 露之光阻劑之關鍵尺寸27變不正確。在此一實例中,係採 用正光阻劑,其提供直接的影像轉移。
曝露之疊層係經顯像而得圖2e之疊層。吸收性SOG ARC 層24係可耐習知光阻劑顯像劑溶液(conventional photoresist developer solutions),如2.5%之氫氧化四甲基铵(TMAH)溶 液。相反地,具有光阻劑材料之一些化學特徵之有機ARC 層則對光阻劑顯像劑較為敏感。再者,預期吸收性SOG ARC層可耐還原化學、氣體基光阻劑剝除方法,而有機ARC 則不耐。因此,吸收性SOG層之使用可便利光阻劑再加 工,而不必再施加ARC層。
接著,經由光阻劑層26之孔洞在吸收性SOG ARC層24蝕 刻圖案,而得圖2f之蝕刻疊層。使用對光阻劑具有高選擇 性之氟碳化物蝕刻法蝕刻吸收性SOG ARC層24。吸收性 S0G對氟碳化物蝕刻之反應提供吸收性S0G勝過有機ARC 層之額外優點;有機ARC層需使用氧電漿蝕刻。氧電漿蝕 刻會使經顯像之光阻劑之關鍵尺寸變不正確,因為有機基 之光阻劑也會被氧電漿蝕刻。氟碳化物電漿消耗的光阻劑 比氧電漿為少。在較短UV波長時,焦點需求之深度將會 在圖2d中所示曝露步驟時限制光阻劑層26之厚度。例如, 估計在193 nm時,光阻劑層之厚度應為約300 nm。因此, -20- 1308585 (14)
因為開始要用這些短波長,故很重要的是要有一種就光阻 劑而言可選擇性触刻之ARC層。 氟碳化物蝕刻繼續進行通過介電層22,而產生圖2g之疊 層。光阻劑層26在繼續進行之触刻過程中會部份消耗掉。 最後,光阻劑層26係使用氧電漿或氫還原化學或濕化學剥 除’而SOG ARC層24則使用緩衝氧化物蝕刻劑,例如標準 氫氟酸/水混合物,或水性或非水性有機胺,或水性或非 水性氣基化學剝除。有利的是,S〇g ARC層可用就下面介 電層而言顯示良好選擇性之溶液剥除。因此,圖2a-2h所 示之一般光微影方法即註明吸收性s〇G材料作為抗反射 塗層及作為犧牲性抗反射塗層之製程優點。 實例 吸收性SOG材料之合成方法,以及吸收化合物如9_蔥幾 基-烷基三烷氧基矽烷,而更明確言之,9_蔥羧基_乙基三 乙氧基碎燒及9 -悤幾基-丙基三乙氧基矽燒之合成,將說 明於以下實例中。 實例1 含9_惹知基-甲基二乙氧基石夕烷> 之吸收性SOG之合成 在1升燒瓶中’將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、60克9-蔥羧基-甲基三乙氧基矽烷、0.6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入115克丁醇、488克2-丙醇' 245克丙酮、329克乙醇 、53克去離子水及 3.8克之 l〇%FC 430(3Μ& 司,MinneaPolis ,MN)。將溶液過瀘。將溶液配送’繼之3000 rpm厚度旋 1308585
(15) 塗20秒,並在80 C及180°C下各烘烤i分鐘。用Ν&κ科技 (Technology Model)公司型1200分析儀測量光學性質。膜厚 為1635人。在248 nm時,折射率(幻為丨373,而消光係數(k) 為0.268。然而’應了解的是’高純度原料及吸收化合物, 如本實例之9-蔥羧基-甲基三乙氧基矽烷,將產生高消光 係數。以下全部實例均使用相同的旋塗及烘烤參數及測量 技術。 含9-蔥羧基-乙基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、60克9-蔥羧基-乙基三乙氧基矽烷、〇.6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入115克丁醇、488克2-丙醇、245克丙酮、329克乙醇 、53克去離子水及3.8克之10%FC 430(3Μ公司’Minneapolis ,MNp將溶液過瀘。將溶液配送,繼之3000 rPm厚度旋 塗20秒,並在801:及180°C下各烘烤1分鐘。用N&K科技 (Technology Model)公司型1200分析儀測量光學性質。 含9-蔥羧基-乙基三甲氧基矽烷之吸收性S0G之合成 在1升燒瓶中,將297克2-丙醇、148克丙嗣、123克TE〇S 、77克MTEOS、60克9-蔥幾基-乙基二甲氧基石夕炫*、〇.6克0」 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入115克丁醇、488克2_丙醇、245克丙酮、329克乙醇 、53克去離子水及3.8克之10%FC 430(3Μ公司,Minneapolis ,MN)。將溶液過瀘。將溶液配送,繼之3000 rpm厚度旋 塗20秒,並在80°C及18〇°C下各烘烤1分鐘。用N&K科技 1308585 (16) (Technology Model)公司型1200分析儀測量光學性質。
含9-蔥羧基-丙基三乙氧基矽烷之吸收性S0G之合成 在1升燒瓶中,將178克2-丙酵、89克丙酮、52克TE〇S、 59克MTEOS、29克9-蔥羧基-丙基三乙氧基珍燒、3_3克Ο.1 M 硝酸及40克去離子水混合。將燒瓶迴流4小時。在孩落液 中,加入26克丁醇、488克2-丙酵、245克丙酮、329克乙醇 、53克去離子水及3.8克之10%FC 430(3M公司’ Minneapolis ,MN)。將溶液過瀘。將溶液配送’繼之3000 rpm厚度旋 塗20秒,並在80。(:及180。(:下各烘烤1分鐘。用N&K科技 (Technology Model)公司型1200分析儀測量光學性貝 居·度 為 1487.1 人;k = 0.4315 ; η=1·4986 °
含9-蔥羧基-丙基三乙氧基矽烷之吸收性S0G之合成 在1升燒瓶中,將178克2-丙醇、89克丙酮、49克TEOS、 55克MTEOS、48克9-蔥羧基-丙基三乙氧基矽烷、3·3克〇.1M 硝酸及40克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入26克丁醇、48 8克2-丙醇、245克丙酮、329克乙酵 、53克去離子水及3.8克之10%FC 430(3M公司’Minneapolis ,MN)。將溶液過瀘。將溶液配送’繼之3000 rPm厚度旋 塗20秒,並在80°C及18CTC下各烘烤1分鐘。用Ν&κ科技 (Technology Model)公司型1200分析儀測里光學性免。厚度 為 534.45 A ; k = 0·45 ; η=1·49。 含9-慧羧基-丙基三乙氧基矽烷之吸收性S0G之合成 在1升燒瓶中’將178克2-丙醇、89克丙酮、13克TEOS、 110克MTEOS、13克9-蔥羧基-丙基三乙氧基矽烷、3.3克0.1 Μ -23-
1308585 (17) 硝酸及40克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入26克丁醇、488克2-丙醇、245克丙酮、329克乙醇 、53克去離子水及3.8克之10%FC 430(3M公司’ Minneapolis ,MN)。將溶液過瀘。將溶液配送’繼之3000 rpm厚度旋 塗20秒,並在80°C及180。(:下各烘烤1分鐘。用Ν&κ科技 (Technology Model)公司型1200分析儀測量光學性質。厚度 為 414.17 人;k =0.355 1 ; η=1·5079 °
含9-蔥羧基-丙基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將178克2-丙醇、89克丙酮、96克TEOS、 15克MTEOS、13克9-蔥羧基-丙基三乙氧基矽烷、3.3克0.1 Μ 石肖酸及40克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入15克丁醇、488克2-丙醇、245克丙酮、329克乙醇 、53克去離子水及3.8克之10%FC 430(3Μ公司,Minneapolis ,MN)。將溶液過瀘。將溶液配送,繼之3000 rPm厚度旋 塗20秒,並在801及1801下各烘烤1分鐘。用N&K科技 (Technology Model)公司型1200分析儀測里光學性S 。尽度 為 494.77 A ; k =0.3354 ; η=1·5243。 含9-蔥羧基-丙基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中’將178克2-丙醇、89克丙酮、56克TEOS、 64克MTEOS、7.63克9-蔥羧基-丙基三乙氧基矽烷、3.3克0.1 Μ硝酸及40克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入26克丁醇、488克2 -丙醇、245克丙嗣、329克乙醇 、53克去離子水及3.8克之10%FC 430(3Μ公司,Minneapolis ,MN)。將溶液過瀘。將溶液配送’繼之3000 rPm厚度旋 -24-
1308585 (18)
塗20秒,並在80。(:及180°C下各洪烤1分鐘。用N&K科技 (Technology .Model)公司型1200分析儀測I光學性資°尽度 為 3629.76 人;k =0.3559 ; η=1·4508。第二厚度=1377·37人; k=0.358 ; η=2·643,然而η值會隨原料組份及反應物而異°
含9-蔥羧基-丙基三乙氧基矽烷之吸收性S0G之合成 在1升燒瓶中,將178克2-丙醇、89克丙酮、86克TEOS、 25克MTEOS、12.1克9-蔥羧基-丙基三乙氧基矽烷、3.3克0-1 Μ硝酸及40克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入26克丁醇、488克2·丙醇、245克丙酮、329克乙醇 、53克去離子水及3.8克之l〇%FC 430(3Μ公司,Minneapolis ,MN) »將溶液過瀘。將溶液配送,繼之3000 rpm厚度旋 塗20秒,並在80°C及180。(:下各烘烤1分鐘。用N&K科技 (Technology Model)公司型1200分析儀測量光學性質。厚度 為 1455.93 人;k =0.339 ; n=l.5895。
含9-蔥羧基-丙基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將178克2-丙醇、89克丙酮、21克TEOS、 101克MTEOS、12克9-蔥羧基-丙基三乙氧基矽烷、3.3克0.1M 硝酸及40克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入26克丁醇、48 8克2-丙醇、245克丙酮、329克乙醇 、53克去離子水及3.8克之i〇%FC 430(3M公司’Minneapolis ,MN)。將溶液過瀘。將溶液配送’繼之3000 rpm厚度旋 塗20秒,並在80°C及180°C下各烘烤1分鐘。用N&K科技 (Technology Model)公司型1200分析儀測量光學性質。厚度 為 345.3lA ; k =0.3264 ; η=1·.4614。第二厚度=1021.18 Λ ; -25- 1308585 (19) k=0.3215 ; η=1·5059 0
含9-蔥羧基-丙基三乙氧基♦娱4之吸收性S0G之合成 在1升燒瓶中,將I78克2-丙醇、89克丙酮、37克TE0S、 74克MTEOS、36克9-蔥羧基-丙基三乙氧基石夕烷、3.3克Ο·1 M 硝酸及40克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入26克丁醇、488克2-丙醇' 245克丙酮、329克乙醇 、53克去離子水及3.8克之l〇%FC 430(3M公司’ Minneapolis ,MN)。將溶液過瀘。將溶液配送’繼之3000 rPm厚度旋 塗20秒,並在80t及180°C下各烘烤1分鐘。用N&K科技 (Technology Model)公司型1200分析儀測里光學性負。居度 為 6000人;k =0.3701; n= 1.4486。第二厚度= 285 1.52 A ; k=0.3912 ;n= 1.4786。
含9-蔥羧基-丙基三乙氧基矽烷之吸收性S0G之合成 在1升燒瓶中’將178克2-丙醇、89克丙鲷、64克TEOS、 42克MTEOS、36克9-蔥羧基-丙基三乙氧基矽烷、3_3克0·1M 硝酸及40克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入26克丁醇、488克2-丙醇、245克丙嗣、329克乙醇 、53克去離子水及3.8克之10%FC 430(3M公司’ Minneapolis ,MN)。將溶液過瀘。將溶液配送’繼之3000 rPm厚度旋 塗20秒,並在80°C及18(TC下各烘烤1分鐘。用Ν&κ科技 (Technology Model)公司型1200分析儀測里光學性貞。居度 為 5988A ; k =0.36 ; n= 1.445。第二厚度=2888·27 人;k=0·3835 ;n= 1 ·4856 〇 實例2 • 26- 1308585 (20) 含9-蔥甲醇、2-經基-4-(3-三乙氧基曱 二苯基酮及玫紅酸之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙 77克MTEOS、25克9-蔥甲醇、10克2-羥基 矽烷基丙氧基)-二苯基酮、5克玫紅酸、 72克去離子水混合。將燒瓶迴流4小時。 入111克丁醇、459克2-丙醇、23.0克丙銅 克去離子水及3.75克之10%FC 430(3M公 ]\^)。厚度=1436 人;1^=1_479;11=0.1255 ° 含9-蔥乙醇、2 -經基-4-(3 -三乙氧基甲 二苯基酮及玫紅酸之吸收性s〇G之合成 在1升燒瓶中’將297克2-丙醇、148克两 77克MTEOS、25克9-蔥乙醇、1〇克2-幾基 矽烷基丙氧基)-二苯基酮、5克玫紅酸、 72克去離子水混合。將燒瓶迴流4小時。 入111克丁醇、459克2_丙醇、230克丙酮 克去離子水及3.75克之10%FC 430(3M公 MN)。 含9-蔥甲醇、2-裡基-4-(3_三甲氧基甲 二苯基銅及玫紅酸之吸收性之合成 在1升燒瓶中’將297克2_丙醇' 148克闲 77克MTEOS、25克9-氣甲醇、10克2-#至基 矽烷基丙氧基)-二苯基酮、5克玫紅酸、 72克去離子水混合。將燒瓶迴流4小時。
矽烷基丙氧基)- g同、123 克 TEOS、 三乙氧基曱 0.6克0.1 Μ硝酸及 在該溶液中,加 、309克乙醇、50 司,Minneapolis, p石夕坡基丙氧基)- ,酮、123 克 TEOS、 _4“3-三乙氧基甲 〇.6克0.1 Μ硝酸及 在該溶液中,加 、309克乙醇、50 司,Minneapolis ’ 夕坡基丙氧基)- ,酮、123 克 TEOS、 _4“3-三甲氧基甲 〇.6克0.1 Μ硝酸及 在該溶液中,加 -27- 1308585 (21) 入111克丁醇、459克2-丙醇、230克丙酮、309克乙醇、50 克去離子水及3.75克之10%FC 430(3M公司,Minneapolis, MN)。 含9-蔥乙醇、2-羥基-4-(3-三曱氧基甲矽烷基丙氧基)-二苯基酮及玫紅酸之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS、 77克MTEOS、25克9-蔥乙醇、10克2-羥基-4-(3-三甲氧基曱 矽烷基丙氧基)-二苯基酮、5克玫紅酸、0.6克0.1 Μ硝酸及 72克去離子水混合。將燒瓶迴流4小時。在該溶液中,加 入111克丁醇、45 9克2-丙醇、230克丙酮、309克乙醇、50 克去離子水及3.75克之10%FC 430(3Μ公司,Minneapolis, MN)。 實例3 含9-蔥甲醇、2-羥基-4-(3-三乙氧基甲矽烷基丙氧基)-二苯基酮及玫紅酸之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、93克TEOS 、77克MTEOS、20克9-蔥甲醇、60克2-羥基-4-(3-三乙氧基 甲矽烷基丙氧基)-二苯基酮、5克玫紅酸、0.5599克0.1 Μ硝 酸及71.90克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入57克丁醇、88克2-丙醇、44克丙酮、59克乙醇、 9.5克去離子水及3_75克之10%FC 430(3Μ公司,Minneapolis ,MN)。 實例4 含9-蔥甲醇、2-羥基-4-(3-三乙氧基甲矽烷基丙氧基)- -28 -
1308585㈤ 二苯基酮及玫紅酸之吸收性SOG之合成 在1升燒瓶中’將297克2-丙醇、148克丙®同、1〇8克TEOS 、77克MTEOS、1〇克9-蔥甲醇、60克2-經基-4-(3 -三乙氧基 甲梦坑基丙氧基)_二豕基銅、5克玫紅酸、0.5599克0.1M硝 酸及7 2克去離子水混合。將燒瓶迴流4小時。在该么液中 ,加入57克丁酵、88克2-丙醇、44克丙酮、59克乙醇、9.5 克去離子水及 3.75 克之 10%FC 430(3M公司 ’ Minneapolis’ MN) 。厚度=4275 人,η=1·529 ’ k=0.124。 f例5 含2-羥基-4-(3-三乙氧基甲矽烷基丙氧基)_二苯基嗣之 吸收性S0G之合成 在1升燒瓶中,將297克2-丙酵、148克丙酮、123克TE0S、 51克MTEOS、60克2-羥基-4-(3-三乙氧基甲珍虎基丙氧基)_ 二苯基酮、0.6克〇.1 Μ硝酸及72克去離子水混合。將燒瓶 迴流4小時。在該溶液中,加入57克丁酵、88克2-丙醇、44 克丙酮、59克乙酵、9.5克去離子水及3.75克之l〇%FC 430(3Μ 公司,Minneapolis,ΜΝ)。厚度=3592 λ,η= 1.563 ’ k=0.067。 含2-羥基-4-(3-三曱氧基甲矽烷基丙氧基)-二苯基酮足 吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TE〇S、 51克MTEOS、60克2-羥基-4-(3-三甲氧基甲矽烷基丙氧基) 二苯基酮、0.6克〇.1 Μ硝酸及72克去離子水混合。將燒瓶 迴流4小時。在該溶液中,加入57克丁醇、88克2_丙醇' 44 克丙酮、59克乙醇、9.5克去離子水及3.75克之l〇%FC 43〇(3Μ -29· 1308585 (23) 公司 ’ Minneapolis ’ MN)。 f例6 含9-蔥甲醇之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、10克9-蔥甲醇、0.6克0.1 Μ硝酸及72克去離 子水混合。將燒瓶迴流4小時。在該溶液中’加入5 7克丁 醇、88克2-丙醇、44克丙酮、59克乙醇、9.5克去離子水及
3.75 克之 l〇%FC 430(3Μ公司,Minneapolis ’ ΜΝ)。 含9-蔥乙醇之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、10克9-蔥乙醇、0.6克0.1 Μ硝酸及72克去離 子水混合。將燒瓶迴流4小時。在該溶液中,加入57克丁 醇、88克2-丙醇' 44克丙酮、59克乙醇、9.5克去離子水及 3.75克之 l〇%FC 430(3Μ公司 ’ Minneapolis,ΜΝ)。 含9-蔥丙醇之吸收性SOG之合成
在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、10克9-蔥丙醇、0.6克0.1 Μ硝酸及72克去離 子水混合。將燒瓶迴流4小時。在該溶液中,加入57克丁 醇、88克2-丙醇、44克丙酮、59克乙醇、9.5克去離子水及 3.75 克之 1 〇% FC 430( 3Μ公司 ’ Minneapolis,ΜΝ)。 音例7. 含9-蔥甲醇、2 -經基-4-(3 -三乙氧基甲《s夕燒基丙氧基)-二苯基酮及玫紅酸之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS、 -30- 靡585(24) 77克MTEOS、20克2-#查基-4-(3-三乙氧基甲碎院基丙氧基)-二苯基酮、25克9-蔥甲醇、5克玫紅酸、0.6克0.1 Μ硝酸及 72克去離子水混合。將燒瓶迴流4小時°在該溶液中’加 入57克丁醇、88克2-丙醇、44克丙酮、59克乙醇、9.5克去 離子水及 3.75 克之 l〇%FC 430(3Μ公司 ’ Minneapolis ’ ΜΝ)。 厚度=3503 A,n= 1.475 ’ k=0_193。 例8
含9-蔥甲醇、2_羥基*4-(3_三乙氧基甲矽炫基丙氧基)-二苯基酮及玫紅酸之吸收性SOG之合成
在1升燒瓶中’將297克2_丙醇、148克丙酮、123克TE0S 、77克MTEOS、5克2-經基-4-(3-三乙氧基甲碎炫基丙氧基)-二苯基酮、25克9-蔥甲醇、5克玫紅酸、0.6克0.1 Μ硝酸及 72克去離子水混合。將燒瓶迴流4小時。在該溶液中’加 入57克丁醇、88克2-丙醇、44克丙酮、59克乙醇、9_5克去 離子水及 3.75克之 10%FC 430(3Μ公司 ’ Minneapolis ’ ΜΝ)。 厚度= 3119 A,1.454 ’ k= 0.175。 音例9 含9-蔥甲醇、2-羥基-4-(3-三乙氧基甲矽燒基丙氧基)_ 二苯基酮、玫紅酸、酿备及茜素之吸收性S〇G之合成 在}升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS、 77克MTEOS、20克2-#至基-4-(3-三乙氧基甲碎虎基丙氧基)· 二苯基酮、25克9-蔥甲醇、5克玫紅酸、2克酿茜、2克茜素、 0.6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。 在該溶液中,加入57克丁醇、88克2-两醇、44克丙嗣、59 -31 · 1308585 (25) 丨發明說,頁 克乙醇、9.5克去離子水及3.7克之10%FC 430(3M公司’ Minneapolis,MN)。厚度=3554 A,n=l.489 ’ k=0.193。 實例10 含9-蔥甲醇、2-羥基-4-(3-三乙氧基甲矽烷基丙氧基)-二苯基酮、玫紅酸及茜素之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS、 51.5克1^1丁丑03、5克2-羥基-4-(3-三乙氧基甲矽燒*基丙氧基)-二苯基酮、25克9-蔥甲醇、5克玫紅酸、2克茜:素、〇_5599 克0.1 Μ硝酸及71 _90克去離子水混合。將燒瓶迴流4小時。 在該溶液中,加入56.68克丁醇、87.99克2-丙醇、44.10克丙 酮、59.31克乙酵、9.55克去離子水及3_75克之1〇0/〇FC 430(3Μ 公司,Minneapolis,ΜΝ)。厚度=3109 A,η=1·454,k=0.193。 會例11 含9-蔥幾基-甲基三乙氧基矽烷之吸收性S0G之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、30克9-蔥叛基-甲基三乙氧基碎燒、0.6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該落液 中,加入57克丁醇、88克2-丙醇、44克丙酮、59克乙醇、 9.5克去離子水及3.7克之l〇%FC 430(3Μ公司,Minneapolis ’ MN)。厚度=3010 A,η=1·377,k=0.163。 含9-蔥羧基-乙基三乙氧基矽烷之吸收性S0G之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、30克9-蔥羧基-乙基三乙氧基矽燒、〇.6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該溶液 -32- I308585㈣ 中,加入57克丁醇、88克2-丙醇、44克丙酮、59克乙醇、 9 5克去離子水及3.7克之10%FC 430(3M公司,Minneapolis ’ MN)。
含9-蔥羧基-丙基三乙氧基矽烷之吸收性S0G之合成 在1升燒瓶中’將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、30克9-蔥羧基-丙基三乙氧基矽烷、0·6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入57克丁醇、88克2-丙醇、44克丙酮、59克乙醇、 9.5克去離子水及3.7克之10%FC 430(3Μ公司’ MinneaPolis ’ MN)。
含9-蔥羧基-戊基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、30克9-蔥羧基-戊基三乙氧基矽烷、0.6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入57克丁醇、88克2-丙醇、44克丙酮、59克乙醇、 9.5克去離子水及 克之 10〇/〇FC 430(3Μ公司 ’ Minneapolis ’ MN)。 含9-蔥羧基·甲基三甲氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、30克9-蔥羧基-甲基三甲氧基矽烷、0.6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入57克丁醇、88克2-丙醇、44克丙酮、59克乙醇、 9.5克去離子水及3 ·7克之1 〇% FC 430( 3Μ公司’ Minneapolis ’ MN)。 -33 - Γ308585㈤ 含9-蔥羧基-乙基三甲氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、30克9-蔥羧基-乙基三甲氧基矽烷、0.6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入57克丁酵、88克2-丙醇、44克丙酮、59克乙醇、 9.5克去離子水及3.7克之10%FC 430(3Μ公司,Minneapolis ’ MN)。 含9-蔥羧基-丙基三甲氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS、30克9-蔥羧基-丙基三甲氧基矽烷、0.6克0.1 Μ硝酸及72克去離子水混合。將燒瓶迴流4小時。在該溶液 中,加入57克丁醇、88克2-丙醇、44克丙酮、59克乙醇、 9.5克去離子水及3.7克之10%FC 430(3Μ公司’Minneapolis, MN)。 膏例12 含9-蔥甲醇之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS及10克9-蔥甲醇混合。將溶液迴流4小時。將 0.6克0.1 Μ硝酸及72克去離子水之混合物加入燒瓶中。將燒 瓶迴流4小時。在該溶液中,加入57克丁醇、88克2-丙醇、 44克丙酮、59克乙醇、9.5克去離子水及3.75克之l〇%FC 430(3M公司,Minneapolis,MN)。 含9-蔥乙醇之吸收性SOG之合成
在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS -34- 發明說明續頁 1308585 (28) 、77克MTEOS及10克9-蔥乙醇混合。將溶液迴流4小時。將 0.6克0.1M硝酸及72克去離子水之混合物加入燒瓶中。將燒 瓶迴流4小時。在該溶液中,加入57克丁醇、88克2-丙醇、 44克丙酮、59克乙醇、9.5克去離子水及3.75克之l〇%FC 430(3M公司 ’ Minneapolis ’ MN)。 含9-蔥丙醇之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、123克TEOS 、77克MTEOS及10克9-蔥丙醇混合。將溶液迴流4小時。將 0.6克0.1M硝酸及72克去離子水之混合物加入燒瓶中°將燒 瓶迴流4小時。在該溶液中,加入57克丁醇、88克2-丙醇、 44克丙酮、59克乙醇、9.5克去離子水及3.75克之l〇%FC 430(3Νί公司,Minneapolis ’ MN)。 营例13 含9-蔥羧基-甲基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克2·丙醇、148克丙酮、90克TMOS 、59克MTMOS、60克9-蔥羧基-甲基三乙氧基矽烷、〇·6克0.1M 硝酸及及72克去離子水混合。將燒瓶迴流4小時。在該溶 液中,加入115克丁醇、488克2-丙醇、245克丙酮、329克乙 醇、53克去離子水及3.8克之10%FC 430(3Μ公司’ Minneapolis ,ΜΝ) 〇 含9-蔥羧基-乙基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中’將297克2-丙醇' 148克丙酮、90克TMOS ' 59克MTMOS、60克9-蔥羧基-乙基三乙氧基矽烷、0.6克0.1M 硝酸及及72克去離子水混合。將燒瓶迴流4小時。在該溶 -35 - 虜585㈤ 液中,加入115克丁醇、488克2-丙醇、245克丙嗣' 329克乙 醇、53克去離子水及3,8克之l〇%FC 430(3M公司,Minneapolis ,MN)。 含9-蔥羧基-甲基三甲氧基石夕坑之吸收性S0G之合成 在1升燒瓶中’將297克2-丙醇、148克丙銅、90克 、59克MTMOS、60克9-蔥致基-甲基三甲氧基矽垸、0.6克0.1M 硝酸及及72克去離子水混合。將燒瓶迴流4小時。在該溶 液中,加入115克丁醇、488克2-丙醇' 245克丙嗣、329克乙 醇、53克去離子水及3.8克之l〇%FC 430(3M公司’ Minneapolis ,MN)。 含9-惹羧基-丙基三乙氧基珍燒之吸收性S0G之合成 在1升燒瓶中’將297克2_丙醇、148克丙酮、90克TM0S 、59克MTMOS、60克9 -蔥叛基•丙基三乙氧基碎晚、〇·6克 硝酸及及72克去離子水混合。將燒瓶迴流4小時。在該溶 液中,加入115克丁醇、488克2-丙醇、245克丙酮、329克乙 醇、53克去離子水及3.8克之l〇%FC 430(3M公司,Minneapolis ,MN)。 含9-蔥瘦基-甲基三丙氧基石夕燒之吸收性SOG之合成 在1升燒瓶中’將297克2-丙醇、148克丙酮、90克TMOS 、59克MTMOS、60克9-蔥幾基-甲基三丙氧基矽燒、0.6克〇_1Μ 硝酸及及72克去離子水混合。將燒瓶迴流4小時。在該溶 液中,加入115克丁醇、488克2 -丙酵、245克丙酮、329克乙 醇、53克去離子水及3.8克之l〇%FC 430(3M公司,Minneapolis ,MN)。 -36- 1308585 (30) 含9-蔥羧基-乙基三丁氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克2-丙醇、148克丙酮、90克TM0S 、59克MTM0S、60克9-蔥羧基-乙基三丁氧基矽烷、0.6克0.1 Μ 硝酸及及7 2克去離子水混合。將燒瓶迴流4小時。在該溶 液中,加入115克丁醇、488克2-丙醇、245克丙酮、329克乙 醇、53克去離子水及3.8克之10%FC 430(3Μ公司,Minneapolis ,MN)。 實例14 9-蔥羧基-甲基三乙氧基矽烷之合成 在2升燒瓶中,將90.0克9-蔥羧酸、86.0毫升氯甲基三乙 氧基矽烷、66毫升三乙胺及1.25升甲基異丁基酮(MIBK)(已 在4人分子篩上乾燥過)攪拌,緩慢加熱至迴流並迴流8.5 小時。將溶液移至2升鐵弗龍瓶中並留置過夜。大量的固 體沉澱物即告形成。將MIBK溶液傾析並轉動蒸發至約200 克。將等重己烷加入並混合。沉澱物形成。將1.75吋直徑 X 2吋高之矽凝膠管柱用20%醋酸乙酯/80%己烷製備成漿 體。使MIBK/己烷溶液在壓力下通過管柱,並用800毫升20% 醋酸乙酯/80%己烷洗滌管柱。將溶液過瀘至0.2 /zm並轉動 蒸發。當溶劑停止逸出後,即將溫度提升至35°C歷時60分 鐘。得深琥珀色油質液體產物(8 5克)。 9-蔥羧基-乙基三乙氧基矽烷之合成 在2升燒瓶中,將90.0克9-蔥羧酸、86.0毫升氯乙基三乙 氧基矽烷、66毫升三乙胺及1.25升甲基異丁基酮(MIBK)(已 在4人分子篩上乾燥過)攪:拌,缓慢加熱至迴流並迴流8.5 -37 -
1308585 ⑻ 小時。將溶液移至2升鐵弗龍瓶中並留置過夜。大量的固 體沉殿物即告形成。將ΜΙΒΚ落液傾析並轉動蒸發至約2〇〇 克。將等重己烷加入並混合。沉澱物形成。將175忖直徑 χ2寸高之珍凝膠管柱用20%醋酸乙酯/ 8〇。/0己燒製備成衆 體。使ΜΙΒΚ/己燒落液在壓力下通過管柱,並用毫升2〇% 醋酸乙酿/ 8 0 %己處洗滌管柱。將溶液過瀘至〇. 2 # m並轉動
蒸發。當溶劑停止逸出後,即將溫度提升至35t歷時60分 鐘。 9-蔥羧基-丙基三乙氧基矽烷之合成
在2升燒瓶中’將90.0克9-蔥羧酸、86.0毫升氣丙基三乙 氧基矽烷、66毫升三乙胺及1.25升甲基異丁基酮(MIBK)(已 在4 A分子篩上乾燥過)授拌,緩慢加熱至迴流並迴流8.5 小時。將溶液移至2升鐵弗龍瓶中並留置過夜。大量的固 體沉澱物即告形成。將MIBK溶液傾析並轉動蒸發至約200 克。將等重己烷加入並混合。沉澱物形成。將1.75吋直徑 x2吋高之矽凝膠管柱用20%醋酸乙酯/80%己烷製備成漿 體。使MIBK/己烷溶液在壓力下通過管柱’並用800毫升20% 醋酸乙酯/ 80%己烷洗滌管柱。將溶液過瀘至0.2 /zm並轉動 蒸發。當溶劑停止逸出後,即將溫度提升至35°C歷時60分 鐘。 9-蔥羧基-甲基三甲氧基矽烷之合成 在2升燒瓶中’將90.0克9-蔥羧酸、86.0毫升氣甲基三〒 氧基矽烷、66毫升三乙胺及1.25升甲基異丁基酮(MIBK)(已 在4人分子篩上乾燥過)攪拌,緩慢加熱至迴流並迴流8·5 -38- 1308585
(32) 小時。將溶液移至2升鐵弗龍瓶中並留置過夜。大量的固 體沉澱物即告形成。將ΜΙΒΚ溶液傾析並轉動蒸發至約2〇〇 克。將等重己烷加入並混合。沉澱物形成。將175忖直徑 X 2吋高之矽凝膠管柱用20%醋酸乙酯/80%己燒製備成漿 眩。使ΜΙΒΚ7己垸1落液在壓力下通過官柱,並用8〇〇毫升20% 酷酸乙酯/80%己烷洗滌管柱。將溶液過瀘至〇.2 並轉動 蒸發。當溶劑停止逸出後,即將溫度提升至35充歷時6〇分 鐘。 實例15
含9-蔥羧基-甲基三乙氧基矽烷之s〇G之合成 在1升燒瓶中’將297克(4_798莫耳)2-丙醇、148克(2.558 莫耳)丙嗣、123克(0.593莫耳)TEOS、77克(0,432莫耳)MTEOS 、45克(0.102莫耳)9-蔥羧基-甲基三乙氧基矽烷、〇6*〇·1Μ 確酸及及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中,加入43克(0.590莫耳)丁醇及126〇克(8.344 莫耳)乳酸乙酯。厚度=1156 A,n= 1.502 , k=0.446。 含9-蔥幾基-丙基三乙氧基;?夕燒之s〇g之合成 在1升燒瓶中,將297克(4_798莫耳)2-丙醇、148克(2.558 莫耳)丙 i同、123 克(0.593 莫耳)TEOS、77克(〇_432 莫耳)MTEOS 、45克(0.102莫耳)9-蔥羧基_丙基三乙氧基矽烷、〇 6克〇 1M 硝酸及及72克(3.716莫耳)去離子水混合,將燒瓶迴流4小 時。在該落液中,加入43克(0.590莫耳)丁醇及丨2 60克(8.3 44 莫耳)乳酸乙酯。 含9-惠幾基-乙基二乙乳基♦燒之之合成 -39- 1308585 _ (33)
在1升燒瓶中’將297克(4·798莫耳)2-丙醇、148克(2.558 莫耳)丙鋼、123 克(0.593 莫耳)TEOS、77克(0.432莫耳)MTEOS 、45克(0.102莫耳)9-蔥幾基-乙基三乙氧基矽燒、(^克^^ 確酸及及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中’加入43克(0.590莫耳)丁醇及1260克(8.344 莫耳)乳酸乙酯》 含9-蔥羧基-甲基三曱氧基矽烷之s〇G之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123 克(0.593 莫耳)TEOS、77克(0.432莫耳)MTEOS 、45克(0.1 02莫耳)9-蔥羧基-甲基三甲氧基矽烷、〇.6克0.1M 石肖酸及及7 2克(3 · 716莫斗)去離子水混合。將燒瓶迴流4小 時。在該溶液中,加入43克(0.590莫耳)丁醇及1260克(8.344 莫耳)乳酸乙酯。 實例1 6 含9-蔥羧基-甲基三乙氧基矽烷之SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123 克(0.593 莫耳)TEOS、77克(0.432莫耳)1^丁[〇3 、30克(0.102莫耳)9-蔥羧基-曱基三乙氧基矽烷、0.6克0.1M 硝酸及及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中,加入57克(0.769莫耳)丁醇、88克(1.422 莫耳)2-丙醇、44克(0.75 8莫耳)丙酮、59克(1.227莫耳)乙醇 、9.5克(0.528莫耳)去離子水及3.7克10%FC 430。 含9-蔥羧基-丙基三乙氧基矽烷之SOG之合成 在1升燒瓶中’將297克(4.798莫耳)2-丙醇、148克(2.558 -40-
1308585 (34)
莫耳)丙銅、123 克(0.593 莫耳)TEOS、77克(0.432莫耳)MTEOS 、30克(0.102莫耳)9 -蔥幾基-丙基三乙氧基碎燒、〇6克 硝酸及及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中,加入57克(0.769莫耳)丁酵、88克(1.422 莫耳)2-丙醇、44克(0.758莫耳)丙酮、59克(1.227莫耳)乙醇 、9_5克(0.528莫耳)去離子水及3.7克l〇%FC 430。 含9-蔥羧基-乙基三甲氧基矽烷之s〇G之合成
在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙嗣、123 克(0.593 莫耳)TEOS、77 克(0.432 莫耳)MTE0S 、30克(0.102莫耳)9-蔥羧基-乙基三甲氧基矽烷、〇 6克〇 1M 硝酸及及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中,加入57克(0.769莫耳)丁醇、88克(1.422 莫耳)2-丙醇、44克(0.758莫耳)丙酮、59克(1.227莫耳)乙醇 、9.5克(0.528莫耳)去離子水及3 7克1〇%FC 43〇。 含9-蔥羧基·乙基三乙氧基矽烷之s〇g之合成
在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123克(〇.593莫耳)丁£03、77克(〇.432莫耳)1^^03 、30克(0.102莫耳)9-蔥羧基_乙基三乙氧基矽烷、〇 6克〇 m 硝酸及及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中’加入57克(0 769莫耳)丁醇、88克(1 422 莫耳)2-丙醇、44克(0.758莫耳)丙酮、59克(1 227莫耳)乙酵 、9.5克(〇.528莫耳)去離子水及3.7克1〇。/。卩0 43〇。 含9-蔥羧基-丁基三乙氧基矽烷之s〇G之合成 在1升燒瓶中’將297克(4.798莫耳)2-丙醇、M8克(2·558 -41 -
1308585 (35) 莫耳)丙酮、123 克(0.593莫耳)TEOS、77克(0.432莫耳)MTEOS 、30克(0.102莫耳)9-蔥羧基-丁基三乙氧基矽烷、〇6克〇11^ 硝酸及及72克(3 _7 16莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中,加入57克(0.769莫耳)丁醇、88克(1.422 莫耳)2-丙醇、44克(0.758莫耳)丙酮、59克(1.227莫耳)乙醇 、9.5克(0.528莫耳)去離子水及3.7克l〇%FC 430。 f例17 含9-蔥竣基-甲基三乙氧基矽烷之s〇g之合成 在1升燒瓶中’將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123 克(0.593莫耳)TEOS、77克(0.432莫耳)1^丁£〇3 、45克(0.102莫耳)9-蔥羧基-甲基三乙氧基矽烷、〇 6克01M 硝酸及及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中’加入43克(0.590莫耳)丁醇及981克(8.301 莫耳)propasol-p。厚度=1407 A,n=1.334,k=0.551。 含9-蔥羧基-乙基三乙氧基矽烷之SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2_558 莫耳)丙酮、123 克(0.593莫耳)TEOS、77克(〇.432莫耳)1^1丁£03 、45克(0.102莫耳)9-蔥羧基-乙基三乙氧基矽烷、0.6克o.im 硝酸及及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中,加入43克(0.590莫耳)丁醇及981克(8.301 莫耳)propasol-p °
含9-蔥羧基-丙基三乙氧基矽烷之SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123 克(0.593莫耳)TEOS、77克(0.432莫耳)MTE0S -42- 1308585 —η (36) ^^^^1 、45克(0· 102莫耳)9-蔥羧基-丙基三乙氧基矽烷、〇 6克〇·ΐΜ 石肖酸及及7 2克(3 · 716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中’加入43克(0.590莫耳)丁醇及981克(8.301 莫耳)propasol-p。 含9-蔥幾基-曱基三甲氧基碎燒之SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123 克(0.593 莫耳)TEOS、77 克(0.432莫耳)MTEOS 、45克(0.102莫耳)9-蔥幾基-甲基三甲氧基矽燒、〇6克0.1M 硝酸及及7 2克(3.716莫耳)去離子水混合。將燒瓶迴流4小 時。在該溶液中’加入43克(0.590莫耳)丁醇及981克(8.301 莫耳)propasol-p 0 膏例18 含9-蔥羧基-丙基三乙氧基矽烷之SOG之合成 在裝配氮入口、乾冰冷凝器及機械攪拌器之6升夾套反 應器中,將5000毫升己丨充、720毫升乙醇、65毫升水及120 克10重量%氯化丁基銨水合物之水溶液加入。使混合物在 25°C下平衡0.5小時,並一邊擴:拌。使用填動菜將三氯梦虎 (377克,2.78莫耳)、甲基三氯矽烷(277.7克,1.86莫耳)及 (203_8克’ 0.46莫耳)9 -蔥叛基-丙基三乙氧基石夕燒之混合 物,於70分鐘期間内加至反應器中。在珍淀及吸收化合物 添加完畢後,將己燒栗送通過管路10分鐘》將反應授拌2.3 小時’移除乙醇/ HjO層,然後將剩餘己燒溶液通過3微米 (# m)渡器過渡’繼之再通過1微米遽器過渡。在此;容液中 ,加入(3957克,45.92莫耳)己烷。 -43-
1308585 (37) 含9-蔥羧基-乙基三甲氧基矽烷之S〇G之合成 在裝配氮入口、乾冰冷凝器及機械攪拌器之6升夾套反 應器中,將5000毫升己烷、720毫升乙醇、65毫升水及12〇 克10重量。/。氯化丁基銨水合物之水溶液加入。使混合物在 25°C下平衡0.5小時,並一邊攪拌。使用蠕動泵將三氣碎燒 (377克,2.78莫耳)、甲基三氯矽烷(277.7克,1.86莫耳)及 (203.8克,0.46莫耳)9-蔥羧基-乙基三甲氧基矽烷之混合物 ,於70分鐘期間内加至反應器中。在;5夕燒及吸收化合物添 加完畢後’將己烷泵送通過管路ίο分鐘。將反應搜拌2 3 小時’移除乙醇/ H2〇層,然後將剩餘己燒溶液通過3微米 (ym)渡器過渡,繼之再通過1微米渡器過渡。在此溶液中 ,加入(3957克,45.92莫耳)己烷。 含9-蔥羧基-丙基三甲氧基矽烷之S0G之合成 在裝配氮入口 '乾冰冷凝器及機械攪拌器之6升夾套反 應器中’將5000毫升己烷、720毫升乙醇、65毫升水及12〇 克10重量%氯化丁基铵水合物之水容液加入。使混合物在 25°C下平衡0.5小時,並一邊攪拌。使用蠕動泵將三氯矽乾 (377克,2.78莫耳)、甲基三氯矽烷(277.7克,1.86莫耳)及 (203.8克,0.46莫耳)9-蔥羧基-丙基三甲氧基矽烷之混合物 ,於70分鐘期間内加至反應器中。在矽烷及吸收化合物添 加完畢後’將己烷泵送通過管路10分鐘。將反應攪拌2.3 小時,移除乙醇/H20層,然後將剩餘己烷溶液通過3微米 (/zm)瀘器過瀘’繼之再通過1微米瀘器過瀘。在此溶液中 ,加入(3957克,45.92莫耳)己坑。 -44- 1308585 (38),133515 號專利申 中文說明書替換頁(97^5案^年[月曰紅賴[g—績頁 含9-蔥羧基-丁基二而气 丞—丙虱基矽烷之s〇G之合 在裝配氮入口、乾 '大、人 成* 乾冰冷凝器及機械攪 應器中,將5000毫升己、p D之6升夾套反 笔开己烷、720毫升乙醇 克H)重量1氣化丁基錢水合物之水溶液加 二:: 25 C下平衡0.5小時,並一邊 使此合物在 (377克,2.78莫耳)、甲其等一虱矽烷 、斗)甲基二風石夕燒(277 (203.8克,0.46莫耳)9_蔥敌基丁基三 ·%莫耳)及 ,於70分鐘期間内加至反 土矽烷之混合物 汉應杰中。在矽烷及吸收 加完畢後,將己烷泵送通過管路1〇八 ° > 小時,移除乙醇/Η"層,然後將剩 攪拌2. 广哭-M、* 、己说浴液通過3微米 ()過遽,繼之再通過1微米遽器過爐。在此溶液中 ,加入(3957克,45.92莫耳)己烷。 實例19 含9-蔥羧基_甲基三乙氧基矽烷之s〇g之合成 在5升燒瓶巾,將胤8克(3.1G莫耳)三乙氧\ Μ (HT關 、⑽克⑽莫耳)9U基.甲基三乙氧基錢及5〇88克 (8.77莫耳)丙酮用磁欖拌混合並冷卻至2代以下。將π" 克(8.77莫耳)丙嗣、46.69克(2.59莫耳h2〇,〇侧莫耳冊⑹ 〇.〇2 N硝酸及37.〇3克(2·〇6莫耳)去離子水之混合物,經由滴 液漏斗於45分鐘期間内緩慢加至5升燒瓶中之混合物中, 維持溫度於2(TC以下。將溶液迴流8小時。在此溶液尹, 加入463 1克(30.67莫耳)乳酸乙酯。 含9-蔥羧基-丙基三乙氧基矽烷之s〇(}之合成 在5升燒瓶中,將508.8克(3.10莫耳)三乙氧基矽烷(1^^〇幻 -45-
1308585 (39)第091133515號專利申請案 中文說明書替換頁(97年5、 、135.8克(〇·31莫耳)9_蔥羧基丙 Γ8 77苜甘、工 土 —乙乳基石夕烧及508.8克 (8.77莫耳)丙酮用磁攪拌混合並 * (〇 77«- ^ ^ 7 部至 2〇C 以下。將 508.8 見(8.77莫耳)丙蜩、46 69克(2 π π_χτ . Λ 、+ Η2〇,〇 0〇〇9莫耳 ην〇3) 0.02Ν确酸及37.03克(2 〇6莫耳)去 .¾ ,, 水之混合物’經由滴 液漏斗於45分鐘期間内緩慢加 开燒瓶中之混合物中, 維持洫度於2(TC以下。將溶液迴流 、机8小時。在此溶液中, 加入4631克(30.67莫耳)乳酸乙酯。 含9-蔥羧基_乙基三甲氧基矽烷之之合成 在5升燒瓶中,將5〇8·8克(3 1〇莫 叩)一乙虱基矽烷(HTEOS) 、135.8克(0.31莫耳)9-蔥羧基-乙基二 —甲虱基矽烷及508.8克 (8.77莫耳)丙酮用磁攪拌混合並冷 1主20 C以下。將508.8 克(8.77莫耳)丙酮、46.69克(2_59莫耳η η Λ , 斗 η2ο,0 0009莫耳 ην〇3) 〇.〇2Ν硝酸及37·03克(2·06莫耳)去離子 丁艰之混合物,經由滴 液漏斗於45分鐘期間内緩慢加至5升焯诲 JTr 乂瓶中之混合物中,
維持溫度於20°C以下。將溶液迴流8小拄 .,L L δ小矸。在此溶液中, 加入4631克(30.67莫耳)乳酸乙酯。 含9-蔥叛基-丙基三丁氧基石夕院之之人成 在5升燒瓶中,將508.8克(3.10莫耳)= τ G乳基矽烷(HTEOS) 、135.8克(0.31莫耳)9-蔥羧基-丙基三丁羞耸坊—Λ 7 j虱基矽烷及508.8克 (8.77莫耳)丙酮用磁攪拌混合並冷卻至2〇它以下。將5〇88 克(8.77莫耳)丙酮、46.69克(2.59莫耳 h2〇,〇 〇〇〇9莫耳 hn〇3) 〇.〇2N硝酸及37.03克(2.06莫耳)去離子水之混合物,經由滴 液漏斗於45分鐘期間内緩慢加至5升燒瓶中之混合物中, 維持溫度於2(TC以下。將溶液迴流8小時。在此溶,夜中, -46-
1308585 (40) 加入463 1克(30.67莫耳)乳酸乙酯。 貴例20 含苯基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123克(0.593莫耳)TEOS、104克(0.432莫耳)苯基 三乙氧基矽烷、0.6克0.1 Μ硝酸及及72克(3.716莫耳)去離子 水混合。將燒瓶迴流4小時。在該溶液中,加入57克(0.769 莫耳)丁醇、88克(1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮 、59克( 1.227莫耳)乙醇、9.5克(0.528莫耳)去離子水。厚度 = 1727 A,n= 1.957,k=0.384。 含苯基三甲氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123克(0.593莫耳)TE0S、104克(0.432莫耳)苯基 三甲氧基矽烷、〇_6克0.1 Μ硝酸及及72克(3.716莫耳)去離子 水混合。將燒瓶迴流4小時》在該溶液中,加入57克(〇 769 莫耳)丁醇、88克(1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮 、59克( 1.227莫耳)乙醇、9.5克(0.528莫耳)去離子水。 含苯基三丙氧基矽烷之吸收性S0G之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123克(0.593莫耳)TE0S、104克(0.432莫耳)苯基 三丙氧基矽烷、0.6克0.1 Μ硝酸及及72克(3.716莫耳)去離子 水混合。將燒瓶迴流4小時。在該溶液中,加入57克(0.769 莫耳)丁醇、88克(1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮 、59克( 1.227莫耳)乙醇、9.5克(0.528莫耳)去離子水。 •47- 1308585 (41) 含苯基三丁氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123克(〇·593莫耳)TE0S、104克(0.432莫耳)苯基 三丁氧基矽烷、〇.6克0·1 ^^肖酸及及72克(3.716莫耳)去離子 水混合。將燒瓶迴流4小時。在該溶液中,加入57克(0.769 莫耳)丁醇、88克(1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮 、59克(1.227莫耳)乙醇、9.5克(0.528莫耳)去離子水。 實例21 含苯基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2_558 莫耳)丙酮、93克(0.448莫耳)TEOS、37克(0.209莫耳)MTEOS 、100克(0.418莫耳)苯基三乙氧基矽烷、〇.6克0.1M硝酸及及 7 2克(3 · 71 6莫耳)去離子水混合。將燒瓶迴流4小時。在該 溶液中’加入57克(0.769莫耳)丁醇、88克(1.422莫耳)2-丙 醇、44克(0.758莫耳)丙酮、59克(1.227莫耳)乙醇、9_5克(0.528 莫耳)去離子水。厚度= 1325 A,n= 1.923,k=0.364。 含苯基三甲氧基矽烷之吸收性S〇G之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、93克(0.448莫耳)TE0S、37克(0.209莫耳)MTEOS 、100克(0.418莫耳)苯基三甲氧基矽烷、〇.6克〇·ΐΜ硝酸及及 7 2克(3.71 6莫耳)去離子水混合。將燒瓶迴流4小時。在該 溶液中’加入57克(0.769莫耳)丁醇、88克(1.422莫耳)2-丙 醇、44克(0.758莫耳)丙嗣、59克(1.227莫耳)乙醇、9.5克(0.528 莫耳)去離子水。 -48- 1308585 (42) 含苯基三丙氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、93克(0.448莫耳)TEOS、37克(0.209莫耳)MTEOS 、100克(0.418莫耳)苯基三丙氧基矽烷、0.6克0.1 Μ硝酸及 及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小時。在 該溶液中,加入57克(0.769莫耳)丁醇、88克( 1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮、59克( 1.227莫耳)乙醇、9.5克 (0.528莫耳)去離子水。 實例22 含苯基三乙氧基矽烷之吸收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、119克(〇573 莫耳)7]£〇3、27克(〇153莫耳)^11[£〇3 \ 74克(0.3 06莫耳)苯基三乙氧基矽烷、〇.6克〇.1 μ硝酸及及 7 2克(·>. 716莫耳)去離子水混合。將燒瓶迴流4小時。在.該 溶液中,加入57克(0.769莫耳)丁醇、88克(1.422莫耳)2-丙 酵、44克(0.758莫耳)丙酮、59克(1.227莫耳)乙醇、9_5克(0.528 莫耳)去離子水》厚度=1286人,n=i.889,k=0.286。 含苯基三甲氧基矽烷之吸收性S〇G之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、119 克(0.573莫耳)TEOS、27 克(0.153莫耳)MTEOS 、74克(0.306莫耳)苯基三甲氧基矽烷、〇 6克〇.丨河硝酸及及 72克(3.716莫耳)去離子水混合。將燒瓶迴流4小時。在該 溶液中’加入57克(0.769莫耳)丁醇、88克(1.422莫耳)2-丙 醇、44克(0.758莫耳)丙酮、59克(1.227莫耳)乙醇、9.5克(0.528 -49-
1308585 (43) 莫耳)去離子水β 含苯基三丙氧基矽烷之吸收性S〇G之合成 在1升燒瓶中’將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙晒、119克(0.573莫耳)TEOS、27克(0.153莫耳)河丁£〇3 、74克(0.306莫耳)苯基三丙氧基s夕燒、〇.6克0.1Μ確酸及及 72克(3.716莫耳)去離子水混合。將燒瓶迴流4小時。在該 溶液中’加入57克(0.769莫耳)丁醇、88克(1.422莫耳)2-丙 醇、44克(0.758莫耳)丙酮、59克(1.227莫耳)乙醇、9.5克(0.528 莫耳)去離子水。 實例23 含苯基三乙氧基矽烷之吸收性S〇G之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、73克(0.351莫耳)TEOS、45克(0.251莫耳)MTEOS 、121克(0.5 03莫耳)苯基三乙氧基矽烷、〇.6克0.1 Μ硝酸及 及72克(3.716莫耳)去離子水混合《將燒瓶迴流4小時《在 該溶液中’加入57克(0.769莫耳)丁醇、88克( 1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮、59克( 1.227莫耳)乙醇、9.5克 (0.528莫耳)去離子水》厚度= 1047 A,η= 1.993,k=0.378 » 含苯基三甲氧基梦燒之吸收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、73克(0.351莫耳)TEOS、45克(0.251莫耳)MTEOS 、121克(0.503莫耳)苯基三甲氧基矽烷、〇.6克0.1 Μ硝酸及 及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小時。在 該溶液中,加入57克(0.769莫耳)丁醇、88克(1.422莫耳)2- -50- 1308585 (44) lama 丙醇、44克(0.758莫耳)丙酮、59克(1.227莫耳)乙醇、9.5克 (0_528莫耳)去離子水。
含苯基三丙氧基矽烷之吸收性s〇G之合成 在1升燒瓶中’將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、73克(0.351莫耳)TEOS、45克(0.251莫耳)MTEOS 、121克(0.503莫耳)苯基三丙氧基矽烷、〇.6克0 1 μ硝酸及 及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小時,在 該溶液中’加入57克(0.769莫再)丁醇、88克( 1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮、59克( 1.227莫耳)乙醇、9.5克 (0.528莫耳)去離子水。
含苯基三丁氧基矽烷之吸收性s〇G之合成 在1升燒瓶中’將297克(4_798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、73克(〇_351莫耳)TEOS、45克(0.251莫耳)MTEOS 、121克(0.503莫耳)苯基三丁氧基矽烷、〇6克〇 1 μ硝酸及 及72克(3.716莫耳)去離子水混合。將燒瓶迴流4小時。在 該溶液中,加入57克(0.769莫耳)丁醇、88克(1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮、59克(1.227莫耳)乙醇、9.5克 (0.528莫耳)去離子水。 音例24 含苯基三乙氧基矽烷及2-羥基-4-(3-三乙氧基甲矽烷基 丙氧基)-二苯基酮之吸收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙_、73 克(0.351 莫耳)TEOS、45 克(0.251 莫耳)MTEOS 、103克(〇,428莫耳)苯基三乙氧基矽烷、12克(0.0298莫耳)2- -51 · 1308585 (45) 羥基-4-(3-三乙氧基甲矽烷基丙氧基)·二苯基酮、〇 6克o.i Μ硝酸及及7 2克(3.716莫耳)去離子水混合。將燒瓶迴流4 小時。在該溶液中’加入57克(0.769莫耳)丁醇、88克(1.422 莫耳)2-丙醇、44克(0.758莫耳)丙鋼、59克(1.227莫耳)乙醇 、9.5克(0.528莫耳)去離子水。 實例25 含4-乙乳基苯基偶鼠本-4-叛基-甲基三乙氧基夕燒之吸. 收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙鋼、123 克(0.593 莫耳)TEOS、77 克(0.432 莫耳)MTEOS 、44.5克(0.13莫耳)4·乙氧基苯基偶氮苯-4-羧基-甲基三乙 氧基矽烷、0.6克0.1 Μ硝酸及及72克(3.716莫耳)去離予水混 合。將燒瓶迴流4小時。在該溶液中,加入57克(0.769莫耳 )丁醇、88克(1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮、59 克( 1.227莫耳)乙醇、9.5克(0.528莫耳)去離子水。在365 nm 時 ’ n= 1.499、k=0.162。 含4-乙氧1基苯基偶氮苯-4-叛基-乙基三乙氧基碎燒之吸 收性SOG之合成 在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123 克(0.593 莫耳)TEOS、77克(0.432莫耳)MTEOS 、44.5克(0.13莫耳)4-乙氧基苯基偶氮苯-4-羧基·乙基三乙 氧基矽烷、0_6克0.1 Μ硝酸及及72克(3.71 6莫耳)去離子水混 合。將燒瓶迴流4小時。在該溶液中’加入57克(0.769莫耳 )丁醇、88克(1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮、59 -52- 1308585
(46) 克( 1.227莫耳)乙醇、9.5克(0.528莫耳)去離子水。 含4-乙氧基苯基偶氮苯-4-羧基·丙基三乙氧基矽烷之吸 收性SOG之合成
在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2 558 莫耳)丙酮、123克(0.593莫耳)TEOS、77克(0.432莫耳) MTEOS、44.5克(0.13莫耳)4-乙氧基苯基偶氮苯_4_幾基_丙 基三乙氧基發·坑、0.6克0.1 Μ硝酸及及72克(3.716莫耳)去離 子水混合。將燒瓶迴流4小時。在該溶液中,加入57克(〇 769 莫耳)丁醇、88克(1.422莫耳)2-丙醇、44克(0.758莫耳)丙銅、 59克( 1.227莫耳)乙醇、9.5克(0.528莫耳)去離子水。 含4-乙乳基苯基偶氮私-4-叛基-丙基三〒氧基碎境之吸 收性SOG之合成
在1升燒瓶中,將297克(4.798莫耳)2-丙醇、148克(2.558 莫耳)丙酮、123克(0.593莫耳)TEOS、77克(0.432莫耳) MTEOS、44.5克(0.13莫耳)4·乙氧基苯基偶氮苯-4-羧基-丙 基三甲氧基矽烷、0.6克0.1 Μ硝酸及及72克(3.716莫耳)去離 子水混合。將燒瓶迴流4小時。在該溶液中’加入57克(0.769 莫耳)丁醇、88克(1.422莫耳)2-丙醇、44克(0.758莫耳)丙酮、 59克( 1.227莫耳)乙醇、9.5克(0.528莫耳)去離子水。 因此’已揭示組合物之特定具體例及應用及製造包含吸 收化合物之旋塗式玻璃材料之方法。然而,熟諳本技藝者 應很明白,除已說明者外,在不偏離此處本發明概念下, 也可作許多修正。因此,本發明之主旨只受隨附申請專利 範圍之精神所限制。而且,在解釋說明書及申請專利範圍 -53 -
1308585 (47) 時,全部術語應以與本文一致的最廣大可能方式解釋。特 定言之,”包含"(comprises及comprising)—詞應解釋為以非 全包式指稱元件、組件或步驟,亦即表示所指稱之元件、 組件或步驟可與未明白指出之其他元件、組件或步驟一同 存在,或使用,或結合。 圖式代表符號說明 20 矽 基 材 22 介 層 24 S0G ARC層 26 光 阻 劑 層 27 關 鍵 尺 寸 -54-

Claims (1)

130德&&33515號專利申請案 中文申請專利範圍替換本(97年5月) 拾、申請專利範圍 1 . 一種吸收性抗反射旋塗式玻璃組合物,其包含矽基化 合物及可吸收波長低於375 nm之光之可融入有機吸收 - 化合物,其中該可融入有機吸收化合物包含烷氧基取 代基、酮基取代基、偶氮基取代基或其組合;且其中 . 該矽基化合物包括一聚合物,其係選自由包括氫矽氧 烷、氫矽倍半氧烷、有機氫化矽氧烷及有機氫化矽倍 半氧烷之聚合物;及氫矽倍半氧烷與烷氧基氫化矽氧 烧或經基氫化碎氧炫*之共聚物。 2.如申請專利範圍第1項之組合物,其中範圍係在波長低 於約260 nm。
3 .如申請專利範圍第1項之組合物,其中有機吸收化合物 包含至少一個苯環及自以下所組成之族群中所選出之 反應性基:羥基、胺基、羧酸基及矽鍵結至自烷氧基 及_原子所組成之族群中所選出之至少一個取代基之 取代甲矽烷基。 4.如申請專利範圍第3項之組合物,其中有機吸收化合物 包含二或多個苯環。 5 .如申請專利範圍第4項之組合物,其中二或多個苯環係 經稠合。 6 .如申請專利範圍第3項之組合物,其中有機吸收化合物 包含一個自包含石夕烧氧基、石夕二炫氧基及石夕三烧氧基 之族群中所選出之反應性基。 7 .如申請專利範圍第6項之組合物,其中矽烷氧基、矽二 1308585 -π 申請專利範圍嫌頁 烷氧基及矽三烷氧基包含矽乙氧基、矽二乙氧基、矽 三乙氧基、矽甲氧基、矽二甲氧基及矽三甲氧基。 8 .如申請專利範圍第3項之組合物,其中反應性基係直接 鍵結至苯環。 9. 如申請專利範圍第3項之組合物,其中反應性基係經由 烴橋附著於苯環。 10. 如申請專利範圍第3項之組合物,其中有機吸收化合物 包含自以下所組成之族群中所選出之吸收化合物:蔥 黃酸、9-蔥羧酸、9-蔥曱醇、茜素、酿茜、櫻草靈、2-羥基- 4-(3 -三乙氧基曱矽烷基丙氧基)-二苯基酮、玫紅 酸、三乙氧基曱矽烷基丙基-1,8-苯醯亞胺、9-蔥羧基-烷基三乙氧基矽烷、苯基三乙氧基矽烷、4-苯基偶氮酚 、4-乙氧基偶氮苯-4-羧基-曱基三乙氧基矽烷、4-曱氧 基偶氮苯-4-羧基-曱基三乙氧基矽烷及其混合物。 11. 如申請專利範圍第10項之組合物,其中9-蔥羧基-烷基 三乙氧基矽烷包含9-蔥羧基-丙基三乙氧基矽烷。 12. 如申請專利範圍第10項之組合物,其中有機吸收化合 物包含苯基三乙氧基。 13. 如申請專利範圍第1項之組合物,其中矽基化合物包含 自包含以下之族群中所選出之聚合物:曱基矽氧烷、 曱基矽倍半氧烷、苯基矽氧烷、苯基矽倍半氧烷、曱 基苯基矽氧烷、曱基苯基矽倍半氧烷、矽氨烷聚合物 、矽酸酯聚合物及其混合物。 14. 如申請專利範圍第1項之組合物,其中矽基化合物係自 包含以下之族群中所選出之聚合物:氫矽氧烷、氫矽 1308585 - 申請專利範圍嫌頁 倍半氧烷、有機氫化矽氧烷及有機氫化矽倍半氧烷聚 合物;及氫矽倍半氧烷與烷氧基氫化矽氧烷或羥基氫 化石夕氧烧之共聚物。 15. 如申請專利範圍第14項之組合物,其中聚合物具自包 含以下之族群中所選出之一般化學式:(HO-uSiOmoh ,其中X為大於約8,及(Ho.roSiO 1.5-2.0)n(R〇-l.〇Si〇l.5-2.0)m ,其中m為大於Ο,η與m之和為約8至約5000及尺為Ci-Czo 烷基或C6-C12芳基。 16. —種塗覆溶液,其包含如申請專利範圍第1項之吸收性 旋塗式玻璃組合物及溶劑或溶劑混合物,其中溶液係 在吸收性旋塗式玻璃組合物之約0.5至約20重量%之間。 Π.如申請專利範圍第16項之塗覆溶液,其中溶劑係自包 含乳酸乙酯及丙二醇丙基醚之族群中所選出。 18. —種製造吸收性旋塗式玻璃組合物之方法,包含: 將至少一種自包含烷氧基矽烷及i矽烷之族群中所 選出之矽烷反應物、至少一種可融入有機吸收化合物 、酸/水混合物及至少一種溶劑混合以形成反應混合物 ,·及 將反應混合物迴流1至2 4小時以形成吸收性旋塗式 玻璃組合物,其中吸收性旋塗式玻璃組合物包含至少 一個炫基、烧氧基、酮基或偶氮基。 19. 如申請專利範圍第1 8項之方法,其中至少一種有機吸 收化合物包含至少一個苯環及反應性基;該反應性基 包含羥基、胺基、羧酸基及矽鍵結至包含烷氧基及鹵 原子之至少一個取代基之取代甲矽烷基。 1308585 -Ί 申請專利範圍級頁 20. 如申請專利範圍第1 8項之方法,其中一或多種有機吸 收化合物包含蔥黃酸、9 -蔥羧酸、9 -蔥曱醇、茜素、醌 茜、櫻草靈、2-羥基- 4-(3-三乙氧基曱矽烷基丙氧基)-二苯基酮、玫紅酸、三乙氧基曱矽烷基丙基-1,8 -莕醯 亞胺、9 -惠叛基-烧基二乙氧基破烧、苯基三乙氧基石夕 烷、4-苯基偶氮酚、4 -乙氧基偶氮苯-4-羧基-曱基三乙 氧基碎炫、4 -曱氧基偶氮苯-4-叛基-曱基三乙氧基石夕烧 及其混合物。 21. 如申請專利範圍第20項之方法,其中9-蔥羧基-烷基三 乙氧基矽烷包含9-蔥羧基-丙基三乙氧基矽烷。 22. 如申請專利範圍第18項之方法,其中至少一種矽烷反 應物包含三乙氧基矽烷、四乙氧基矽烷、曱基三乙氧 基矽烷、二曱基二乙氧基矽烷、四甲氧基矽烷、曱基 三曱氧基矽烷、三曱氧基矽烷、二曱基二曱氧基矽烷 、苯基三乙氧基矽烷、苯基三曱氧基矽烷、二苯基二 乙氧基矽烷及二笨基二曱氧基矽烷、三氯矽烷、曱基 三氯矽烷、乙基三氯矽烷、笨基三氯矽烷、四氯矽烷 、氯三乙氧基矽烷、氯三甲氧基矽烷、氯曱基三乙氧 基梦炫、氯乙基三乙氧基石夕烧、氯苯基三乙氣基石夕烧 、氯曱基三曱氧基矽烷、氣乙基三曱氧基矽烷及氯苯 基三甲氧基矽烷。 23. 如申請專利範圍第22項之方法,其中至少一種矽烷反 應物包含四乙氧基矽烷及甲基三乙氧基矽烷。 24. 如申請專利範圍第1 8項之方法,其中酸/水混合物係硝 酸/水混合物。 1308585 - 申請專利範圍績頁 25. —種製造含有吸收性旋塗式玻璃聚合物之塗覆溶液之 方法,包含: 將至少一種烧氧基石夕院或_石夕烧;至少一種可融入 有機吸收化合物;酸/水混合物及至少一種溶劑混合以 形成反應混合物;及 將應混合物迴流1至2 4小時以形成吸收性旋塗式玻 璃聚合物,其中吸收性旋塗式玻璃組合物包含至少一 個烷基、烷氧基、酮基或偶氮基。 26. 如申請專利範圍第25項之方法,尚包含將一或多種稀 釋溶劑加至吸收性旋塗式玻璃組合物中以製造塗覆溶 液,其中塗覆溶液係在旋塗式玻璃聚合物之約0.5%至約 20%之間。 27. —種製造9-蔥羧基-丙基三乙氧基矽烷之方法,包含: 將9-蔥羧酸、氯丙基三乙氧基矽烷、三乙胺及溶劑 混合以形成反應混合物; 將反應混合物迴流1至2 4小時; 將迴流之反應混合物冷卻以形成沉澱物及剩餘溶 液;及 將剩餘溶液過瀘以製造液體9-蒽羧基-丙基三乙氧 基石夕烧。 28. 如申請專利範圍第27項之方法,其中剩餘溶液之過濾 包含: 將剩餘溶液轉動蒸發; 使轉動蒸發溶液通過矽凝膠管柱;及 使通過矽凝膠管柱之溶液轉動蒸發。 1308585 第091133515號專利申請案 -1 中文圖式替換頁(97年5月)[年f月⑷日修正替換頁1 24 22 22 20 20 圖2b
圖2a
/32
固2c 圖2d
圖2e 圖2f
22 22 圖2h 圖2g
TW091133515A 2001-11-15 2002-11-15 Spin-on-glass anti-reflective coatings for photolithography TWI308585B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/001,143 US6824879B2 (en) 1999-06-10 2001-11-15 Spin-on-glass anti-reflective coatings for photolithography

Publications (2)

Publication Number Publication Date
TW200302259A TW200302259A (en) 2003-08-01
TWI308585B true TWI308585B (en) 2009-04-11

Family

ID=21694597

Family Applications (2)

Application Number Title Priority Date Filing Date
TW091133495A TWI324626B (en) 2001-11-15 2002-11-15 Anti-reflective coatings for photolithography and methods of preparation thereof
TW091133515A TWI308585B (en) 2001-11-15 2002-11-15 Spin-on-glass anti-reflective coatings for photolithography

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW091133495A TWI324626B (en) 2001-11-15 2002-11-15 Anti-reflective coatings for photolithography and methods of preparation thereof

Country Status (8)

Country Link
US (2) US6824879B2 (zh)
EP (1) EP1478683A4 (zh)
JP (3) JP2005509914A (zh)
KR (2) KR100897575B1 (zh)
CN (2) CN1615333A (zh)
AU (1) AU2002336709A1 (zh)
TW (2) TWI324626B (zh)
WO (1) WO2003044079A1 (zh)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000077575A1 (en) 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
WO2003044600A1 (en) * 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
DE10227807A1 (de) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silylalkylester von Anthracen- und Phenanthrencarbonsäuren
TWI352261B (en) * 2003-04-17 2011-11-11 Nissan Chemical Ind Ltd Porous sublayer coating and sublayer coating-formi
ATE377036T1 (de) * 2003-05-23 2007-11-15 Dow Corning Siloxan-harz basierte anti- reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
WO2005037907A1 (en) * 2003-10-07 2005-04-28 Honeywell International Inc. Coatings and hard mask compositions for integrated circuit applications, methods of production and uses thereof
US8053159B2 (en) * 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP5102428B2 (ja) * 2003-11-25 2012-12-19 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 導波路組成物およびこれから形成された導波路
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7507521B2 (en) * 2004-08-09 2009-03-24 Intel Corporation Silicon based optically degraded arc for lithographic patterning
US7687225B2 (en) * 2004-09-29 2010-03-30 Intel Corporation Optical coatings
EP1825330B1 (en) * 2004-12-17 2019-04-24 Dow Silicones Corporation Method for forming anti-reflective coating
ATE400672T1 (de) 2004-12-17 2008-07-15 Dow Corning Verfahren zur ausbildung einer antireflexionsbeschichtung
JP5412037B2 (ja) * 2004-12-17 2014-02-12 ダウ・コーニング・コーポレイション シロキサン樹脂、シロキサン樹脂の調製方法および抗反射コーティング組成物
JP4832955B2 (ja) * 2005-06-07 2011-12-07 信越化学工業株式会社 レジスト下層膜材料並びにそれを用いたパターン形成方法
US7862886B2 (en) * 2005-08-12 2011-01-04 Fujifilm Corporation Optical film, antireflection film, processes for producing the same, and polarizing plate and display employing the same
NO325797B1 (no) * 2005-10-14 2008-07-21 Nor X Ind As Lysbeskyttelsesmiddel basert på organisk/uorganisk hybridpolymer, fremgangsmåte til fremstilling og anvendelse av samme
EP1788436B1 (en) * 2005-11-16 2013-01-09 Shin-Etsu Chemical Company, Ltd. Rework process for photoresist film
KR101324052B1 (ko) * 2006-02-13 2013-11-01 다우 코닝 코포레이션 반사방지 코팅 재료
JP2008026500A (ja) * 2006-07-20 2008-02-07 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスおよびそれを用いたフォトマスクの製造方法
WO2008099904A1 (en) 2007-02-09 2008-08-21 Nippon Shokubai Co., Ltd. Silane compound, production method thereof, and resin composition containing silane compound
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US8524441B2 (en) 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
TWI439494B (zh) * 2007-02-27 2014-06-01 Braggone Oy 產生有機矽氧烷聚合物的方法
JP5470687B2 (ja) * 2007-08-10 2014-04-16 富士通株式会社 シリコン化合物、紫外線吸収体、多層配線装置の製造方法および多層配線装置
US20090111925A1 (en) * 2007-10-31 2009-04-30 Burnham Kikue S Thermal interface materials, methods of production and uses thereof
WO2009088600A1 (en) 2008-01-08 2009-07-16 Dow Corning Toray Co., Ltd. Silsesquioxane resins
US9023433B2 (en) * 2008-01-15 2015-05-05 Dow Corning Corporation Silsesquioxane resins and method of using them to form an antireflective coating
CN101990551B (zh) * 2008-03-04 2012-10-03 陶氏康宁公司 倍半硅氧烷树脂
KR101541939B1 (ko) * 2008-03-05 2015-08-04 다우 코닝 코포레이션 실세스퀴옥산 수지
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
US7955782B2 (en) * 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
KR20110096155A (ko) * 2008-12-10 2011-08-29 다우 코닝 코포레이션 습식 에칭가능한 반사방지 코팅
EP2373722A4 (en) 2008-12-10 2013-01-23 Dow Corning SILSESQUIOXAN RESINS
KR101266290B1 (ko) * 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US9327487B2 (en) * 2012-08-31 2016-05-03 Xerox Corporation Variable lithographic printing process
JP5700003B2 (ja) * 2012-08-31 2015-04-15 大日本印刷株式会社 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスを用いたフォトマスクの製造方法
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
JP2016507448A (ja) * 2012-12-13 2016-03-10 コーニング インコーポレイテッド ガラスおよびガラス物品の製造方法
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US9290623B2 (en) 2012-12-19 2016-03-22 Nissan Chemical Industries, Ltd. Composition for forming silicon-containing resist underlayer film having cyclic diester group
JP5742903B2 (ja) * 2013-09-24 2015-07-01 大日本印刷株式会社 フォトマスクブランクス
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR20160145062A (ko) 2014-04-09 2016-12-19 코닝 인코포레이티드 디바이스 변경된 기판 물품 및 제조 방법
JP6196194B2 (ja) 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
JP5979268B2 (ja) * 2015-03-06 2016-08-24 大日本印刷株式会社 フォトマスクブランクス
TWI593753B (zh) * 2015-03-11 2017-08-01 Taimide Tech Incoporation Polyimide film and method of forming a radial circuit board from the film
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
JP2018524201A (ja) 2015-05-19 2018-08-30 コーニング インコーポレイテッド シートをキャリアと結合するための物品および方法
WO2016209897A1 (en) 2015-06-26 2016-12-29 Corning Incorporated Methods and articles including a sheet and a carrier
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
RU2626105C1 (ru) * 2016-04-11 2017-07-21 Акционерное Общество "Саратовский институт стекла" Способ получения просветляющего золь-гель покрытия на основе диоксида кремния
TWI715765B (zh) * 2016-04-28 2021-01-11 日商住友化學股份有限公司 組成物
WO2017197288A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of a photo agent
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JP6252623B2 (ja) * 2016-05-20 2017-12-27 大日本印刷株式会社 フォトマスクブランクス
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TWI613167B (zh) * 2016-11-18 2018-02-01 宏益玻璃科技股份有限公司 一種抗眩光強化抗菌及抗指紋之玻璃面板製作方法
JP6895317B2 (ja) * 2017-05-24 2021-06-30 信越化学工業株式会社 多環式芳香族基片末端ポリオルガノシロキサンおよび組成物
US10748757B2 (en) * 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
WO2019118660A1 (en) 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
JP7277700B2 (ja) * 2018-01-15 2023-05-19 セントラル硝子株式会社 撥水性保護膜形成用薬液、及びウェハの表面処理方法
US11500290B2 (en) 2018-11-13 2022-11-15 International Business Machines Corporation Adhesion promoters
JP7307005B2 (ja) 2019-04-26 2023-07-11 信越化学工業株式会社 硬化触媒の拡散距離を測定する方法
KR20220046598A (ko) 2019-08-16 2022-04-14 도쿄엘렉트론가부시키가이샤 확률 중심 결함 교정을 위한 방법 및 공정
CN111362587B (zh) * 2020-04-28 2022-05-24 东莞南玻太阳能玻璃有限公司 一种高硬度防潮增透太阳能玻璃及其制备方法
CN115404005B (zh) * 2022-09-01 2024-01-05 北京星驰恒动科技发展有限公司 一种耐高温空间消杂光涂料及其制备方法和应用

Family Cites Families (477)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3547766A (en) 1966-11-25 1970-12-15 Du Pont Laminated article
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (zh) * 1972-07-11 1976-07-28
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US4107133A (en) 1974-01-14 1978-08-15 Dainippon Ink & Chemicals, Inc. Colored polyethylene molding compositions
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
DE2720559A1 (de) 1977-05-07 1978-11-09 Basf Ag Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
US4302503A (en) 1978-05-17 1981-11-24 Libbey-Owens-Ford Company Architectural spandrel
JPS5563335A (en) 1978-11-07 1980-05-13 Yoshiyuki Kitajima Cooling method
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
US4590117A (en) * 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
EP0152377B1 (de) 1984-02-10 1987-12-09 Ciba-Geigy Ag Härtbare Zusammensetzung und deren Verwendung
ATE37242T1 (de) 1984-02-10 1988-09-15 Ciba Geigy Ag Verfahren zur herstellung einer schutzschicht oder einer reliefabbildung.
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS61274497A (ja) 1985-05-29 1986-12-04 Furuno Electric Co Ltd 送受波器
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
DE3684745D1 (de) 1985-08-29 1992-05-14 Du Pont Photopolymerisierbare zusammensetzung mit dizyklopentylacrylat oder methacrylat enthaltenden acrylcopolymeren.
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
ES2060579T3 (es) 1985-12-09 1994-12-01 Nippon Paint Co Ltd Material de impresion a base de resina fotosensible.
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
JPS63137437A (ja) 1986-11-28 1988-06-09 Matsushita Electric Works Ltd 半導体チツプの樹脂封止法
JPS63149949A (ja) 1986-12-12 1988-06-22 Fujitsu Ltd アダプティブ半固定等化器
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
JPS6428032A (en) 1987-07-22 1989-01-30 Nissan Motor Select lever control device for vehicle automatic transmission
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
AU611106B2 (en) 1987-10-24 1991-06-06 Ito Optical Industrial Co. Ltd. Optical antireflection treatment
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
JP2603291B2 (ja) 1988-04-19 1997-04-23 東芝シリコーン株式会社 第4級アンモニウム基含有シリコーン樹脂微粉末
US4914143A (en) * 1988-04-25 1990-04-03 General Electric Company Flexible silicone coatings for plastic substrates and methods for making thermoformable, abrasion-resistant thermoplastic articles
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
JPH02145511A (ja) 1988-08-22 1990-06-05 A Baron Nevley 紫外線吸収眼用軟化剤
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
DE68928548T2 (de) 1988-09-28 1998-04-23 Brewer Science Inc Multifunktionelle photolithographische zusammensetzungen
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
EP0388503B1 (en) 1989-02-03 1993-09-01 Mitsubishi Materials Corporation Method for pulling single crystals
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
DE69027799T2 (de) 1989-03-14 1997-01-23 Ibm Chemisch amplifizierter Photolack
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5306736A (en) 1989-05-16 1994-04-26 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
US5190804A (en) * 1989-11-27 1993-03-02 Toshiba Silicone Co., Ltd. Coated inorganic hardened product
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
EP0458651B1 (en) 1990-05-25 1994-03-09 Matsushita Electric Industrial Co., Ltd. Photosensitive materials comprising organic photoconductive substances in a binder polymer having aromatic rings, OH groups and bromine joined at the aromatic ring or rings
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
JP2517785B2 (ja) 1990-08-02 1996-07-24 信越化学工業株式会社 含浸性防水剤組成物
US5082758A (en) 1990-08-31 1992-01-21 Xerox Corporation Toner and developer compositions with charge enhancing additives
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
CA2053518A1 (en) 1990-10-16 1992-04-17 Tetsuya Miyazaki Highly light-transmitting dust protective film, process for preparation thereof and dust protective member
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (ja) * 1990-11-15 1998-02-16 信越化学工業株式会社 ポリオルガノシロキサン樹脂の製造方法
ATE127870T1 (de) 1990-12-13 1995-09-15 Ciba Geigy Ag Wässrige dispersion schwerlöslicher uv-absorber.
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
JPH0597478A (ja) * 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd 撥水性ガラス物品およびその製造方法
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
KR0151545B1 (ko) 1992-02-05 1998-10-01 마에다 가쓰노스케 다층 코팅품
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (ja) 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
WO1994006870A1 (en) 1992-09-24 1994-03-31 Kansai Paint Co., Ltd. Finish coating composition and method of forming its coating film
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5349002A (en) * 1992-12-02 1994-09-20 General Electric Company Heat curable primerless silicone hardcoat compositions, and thermoplastic composites
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
JP3064753B2 (ja) 1993-08-10 2000-07-12 東亞合成株式会社 抗菌性熱可塑性樹脂成形体の製造方法
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5460911A (en) 1994-03-14 1995-10-24 Xerox Corporation Electrophotographic imaging member free of reflection interference
EP0675410B1 (en) 1994-03-28 1999-08-04 Wako Pure Chemical Industries Ltd Resist composition for deep ultraviolet light
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
US5705116A (en) 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
WO1996000758A1 (fr) 1994-06-30 1996-01-11 Hitachi Chemical Company, Ltd. Matiere pour la formation d'une couche mince isolante revetue a base de silice, son procede de fabrication, couche isolante a base de silice, dispositif a semi-conducteurs, et procede de fabrication de ce dispositif
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
JP3824334B2 (ja) * 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5955140A (en) * 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5949518A (en) 1996-02-13 1999-09-07 Sola International, Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
JP3694703B2 (ja) * 1996-04-25 2005-09-14 Azエレクトロニックマテリアルズ株式会社 反射防止コーティング用組成物
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
US5929159A (en) 1996-10-25 1999-07-27 Adsil Lc Oligomeric silicon coating compositions, articles coated therewith and method for forming coating composition and coated articles based thereon
US6011123A (en) * 1996-11-20 2000-01-04 Jsr Corporation Curable resin composition and cured products
JPH10161315A (ja) 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
US6025077A (en) 1996-12-13 2000-02-15 Matsushita Electric Works, Ltd. Silicone emulsion coating composition and processes for the preparation thereof
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
AU750581B2 (en) 1997-05-13 2002-07-25 Clean Japan Center Foundation, The Coating material for forming vitreous coating film, method of coating with the same, and coater
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
ATE210951T1 (de) 1998-01-22 2002-01-15 Kettenbach Gmbh & Co Kg Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
JP4387588B2 (ja) 1998-02-04 2009-12-16 メルク エンド カムパニー インコーポレーテッド 高スループットスクリーニングアッセイ用仮想ウェル
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
EP0989169B1 (en) * 1998-04-10 2008-08-06 Matsushita Electric Works, Ltd. Method of forming hydrophilic inorganic coating film and inorganic coating composition
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
CN1300383A (zh) 1998-04-29 2001-06-20 部鲁尔科学公司 得自纤维素粘合剂的快速蚀刻、热固性抗反射涂料
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
CN1273646A (zh) 1998-07-10 2000-11-15 克拉瑞特国际有限公司 底部抗反射涂层组合物和用于该组合物的新型聚合物染料
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6210856B1 (en) 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
ATE300558T1 (de) 1999-02-26 2005-08-15 Showa Denko Kk Photopolymerisationsinitiator für farbfilter, farbzusammensetzung und farbfilter
US6316165B1 (en) * 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
WO2000077575A1 (en) * 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
ATE305019T1 (de) 1999-07-30 2005-10-15 Ppg Ind Ohio Inc Beschichtungszusammensetzungen mit verbesserter kratzfestigkeit und beschichtete oberflächen
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6649083B1 (en) * 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
EP1129470B1 (en) 1999-09-13 2005-12-28 Koninklijke Philips Electronics N.V. Electric lamp
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
EP1257367A4 (en) 2000-02-08 2005-01-26 Adsil Lc METHOD FOR INCREASING THE THERMAL EFFICIENCY THROUGH THE USE OF SILANE COATINGS AND COATED ARTICLES
AU2001238216A1 (en) 2000-02-14 2001-08-27 The Procter And Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
CA2400157A1 (en) 2000-02-22 2001-08-30 Ram W. Sabnis Organic polymeric antireflective coatings deposited by chemical vapor deposition
KR20030011778A (ko) * 2000-02-28 2003-02-11 애드실, 엘씨 실란-기제 코팅 조성물, 그것으로 부터 얻은 코팅된 물품,및 그것의 사용 방법
EP1127929B1 (en) * 2000-02-28 2009-04-15 JSR Corporation Composition for film formation, method of film formation, and silica-based film
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
CN1419584A (zh) 2000-03-30 2003-05-21 通用电气公司 透明、阻燃的聚芳撑醚共混物
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
DE60118052T2 (de) 2000-04-13 2006-10-26 Jsr Corp. Überzugsmittel, Verfahren zur Herstellung, gehärtetes Produkt und Beschichtungsfilm
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
WO2002016535A2 (en) 2000-08-18 2002-02-28 The Procter & Gamble Company Compositions and methods for odor and fungal control of protective garments
US7115531B2 (en) 2000-08-21 2006-10-03 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
JP3772077B2 (ja) 2000-09-27 2006-05-10 株式会社東芝 パターン形成方法
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
EP1197511A1 (en) * 2000-10-10 2002-04-17 Shipley Company LLC Antireflective composition
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
KR100789583B1 (ko) * 2000-12-11 2007-12-28 제이에스알 가부시끼가이샤 감방사선성 굴절율 변화성 조성물 및 굴절율 변화법
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
WO2002058699A1 (en) 2001-01-25 2002-08-01 Bristol-Myers Squibb Company Pharmaceutical forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6713643B2 (en) * 2001-05-24 2004-03-30 Board Of Trustees Of Michigan State University Ultrastable organofunctional microporous to mesoporous silica compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US7177261B2 (en) 2001-06-05 2007-02-13 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
JP2003025510A (ja) 2001-07-16 2003-01-29 Shin Etsu Chem Co Ltd 反射防止性及び耐擦傷性を有する多層積層体
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
DE10151264A1 (de) * 2001-10-17 2003-04-30 Degussa Aminoalkylalkoxysiloxanhaltige Gemische, deren Herstellung und deren Verwendung
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
WO2003044600A1 (en) 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
JP2003316296A (ja) 2002-02-01 2003-11-07 Seiko Epson Corp 回路基板、電気光学装置、電子機器
WO2003067682A2 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
KR100994818B1 (ko) 2002-03-04 2010-11-16 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 단파장 이미지화용 네거티브 포토레지스트
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
WO2004037866A2 (en) 2002-10-21 2004-05-06 Shipley Company L.L.C. Photoresists containing sulfonamide component
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
KR100882409B1 (ko) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지용 실리콘 수지, 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴 형성 방법
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品

Also Published As

Publication number Publication date
JP2009282524A (ja) 2009-12-03
CN1615332A (zh) 2005-05-11
AU2002336709A1 (en) 2003-06-10
JP2005509914A (ja) 2005-04-14
CN1615333A (zh) 2005-05-11
US6824879B2 (en) 2004-11-30
TW200302259A (en) 2003-08-01
TW200306342A (en) 2003-11-16
KR20050042069A (ko) 2005-05-04
KR20050042068A (ko) 2005-05-04
KR100897575B1 (ko) 2009-05-15
US20020095018A1 (en) 2002-07-18
US20140227538A1 (en) 2014-08-14
JP4703745B2 (ja) 2011-06-15
US9069133B2 (en) 2015-06-30
JP2005509913A (ja) 2005-04-14
WO2003044079A1 (en) 2003-05-30
EP1478683A4 (en) 2005-06-15
EP1478683A1 (en) 2004-11-24
TWI324626B (en) 2010-05-11

Similar Documents

Publication Publication Date Title
TWI308585B (en) Spin-on-glass anti-reflective coatings for photolithography
TWI304833B (en) Spin-on-glass anti-reflective coatings for photolithography
JP4381143B2 (ja) フォトリソグラフィー用スピンオン反射防止膜
US6956097B2 (en) Spin-on-glass anti-reflective coatings for photolithography
CN105492972B (zh) 作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法
TWI374914B (en) Anti-reflective coatings for via fill and photolithography applications and methods of preparation thereof
KR100818678B1 (ko) 포토리소그라피용 스핀온 유리 반사 방지 피막
TWI328250B (zh)
JP2005512309A6 (ja) フォトリソグラフィー用スピンオン反射防止膜
JP2019113571A (ja) レジスト下層膜形成用組成物、並びに、それを用いたリソグラフィー用下層膜及びパターン形成方法
TW200827928A (en) Novel organosilane polymer, hardmask composition for processing resist underlayer film comprising the organosilane polymer, and process for producing semiconductor integrated circuit device using the hardmask composition
JP7324408B2 (ja) レジスト下層膜形成用組成物、リソグラフィー用下層膜、及びパターン形成方法
TWI298823B (en) Spin-on-glass anti-reflective coatings for photolithography
JP2011221549A (ja) フォトリソグラフィー用スピンオン反射防止膜
TWI260330B (en) Spin-on-glass anti-reflective coatings for photolithographyd
JP2009280822A (ja) フォトリソグラフィ用のスピンオングラス反射防止性コーティング
JP2009175747A (ja) フォトリソグラフィー用スピンオン反射防止膜

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees