KR20050042068A - 포토리소그래피를 위한 비반사 스핀-온-글래스 코팅 - Google Patents

포토리소그래피를 위한 비반사 스핀-온-글래스 코팅 Download PDF

Info

Publication number
KR20050042068A
KR20050042068A KR1020047007485A KR20047007485A KR20050042068A KR 20050042068 A KR20050042068 A KR 20050042068A KR 1020047007485 A KR1020047007485 A KR 1020047007485A KR 20047007485 A KR20047007485 A KR 20047007485A KR 20050042068 A KR20050042068 A KR 20050042068A
Authority
KR
South Korea
Prior art keywords
grams
group
mol
anthracene
absorbent
Prior art date
Application number
KR1020047007485A
Other languages
English (en)
Inventor
발드윈테레사
해커나이겔
케네디조셉
스피어리차드
Original Assignee
허니웰 인터내셔널 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 허니웰 인터내셔널 인코포레이티드 filed Critical 허니웰 인터내셔널 인코포레이티드
Publication of KR20050042068A publication Critical patent/KR20050042068A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/006Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character
    • C03C17/008Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character comprising a mixture of materials covered by two or more of the groups C03C17/02, C03C17/06, C03C17/22 and C03C17/28
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/22Absorbing filters
    • G02B5/23Photochromic filters
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Geochemistry & Mineralogy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Composite Materials (AREA)
  • Optics & Photonics (AREA)
  • Silicon Polymers (AREA)
  • Paints Or Removers (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Surface Treatment Of Optical Elements (AREA)

Abstract

자외선 포토리소그래피용의 비반사 코팅재는 스핀-온-글래스 재료에 포함되는 적어도 하나의 오가닉 흡광 화합물을 포함한다. 포토리소그래피에 사용될 수 있는 적합한 흡수 화합물은 365nm, 248nm, 193nm 및 157와 같은 파장 부근의 파장범위에 걸쳐 강하게 흡수한다. 흡수 스핀-온-글래스 재료를 제조하는 방법은 스핀-온-글래그 재료의 합성시 적어도 하나의 오가닉 흡수 화합물을 알콕시실란 또는 할로실란 반응물과 혼합하는 단계를 포함한다.

Description

포토리소그래피를 위한 비반사 스핀-온-글래스 코팅{SPIN-ON-GALSS ANTI-REFLECTIVE COATINGS FOR PHOTOLITHOGRAPHY}
본 출원은 캐네디등에게 (2001.7.31)일에 부여된 미국특허 US6,268,457, 2000.10.27일에 출원된 미국특허 출원번호, 09/698,883, 및 2000.1.26일에 출원된 미국특허출원 번호 09/494,166에 대한 일부 계속출원으로서, 여기에서는 그들 모두가 참고로서 통합되어 있다.
본 발명은 스핀-온 글래스 재료에 관한 것으로, 보다 상세하게는, 포토리소스래피내에서 비반사층으로 사용되는 광흡수 스핀-온 글래스 재료 및 그 재료의 제조방법에 관한 것이다.
보다 빠른 성능을 위한 요구를 만족하기 위하여, 집적회로 디바이스 형상에 대한 특유한 크기가 점차적으로 감소되고 있다. 보다 작은 형태크기를 갖는 디바이스를 제조하기 위해 반도체 제조에 있어 종래에 사용되던 많은 공정에 있어서 새로운 도전들이 제기되고 있다. 이들 제조공정중 가장 주요한 것중 하나가 포토리소그래피공정이다.
포토리소그래피에 의해 생산되는 패턴에서의 길이폭(linewidth) 변화는 반도체 웨이퍼상에서 하부층을 반사하는 빛으로 부터의 광간섭으로 야기될 수 있다. 상기 하부층의 토포그래피때문에 포토레지스터 두께의 변화도 또한 길이폭 변화를 야기한다. 포토레지스층하에 부과되는 비반사코팅(ARC)이 빛나는 빔의 반사로부터의 간섭을 방지하기 위하여 사용되어 오고 있다. 또한 비반사코팅은 부분적으로 상기 웨이퍼 토포그래피를 평탄화하며, 상기 포토레지스터 두께가 보다 균일하기 때문에 스텝(steps)들에 걸쳐 길이폭 변화를 개선함에 도움을 준다.
유기중합체 필름, 특히, i-라인(365nm)및 g-라인(436nm) 파장에서 흡수하는 것들이 종래부터 포토레지스터를 노출하기 위해 사용되었으며, 최근에는 248nm파장을 사용하여, 비반사코팅으로 채용되어 오고 있다. 그러나 상기 유기 ARC는 상기 유기 포토레지스터와 함께 많은 화학적인 특성을 가지므로 가용한 공정순서를 제한할 수 있다. 더욱이, ARC는 포토레지스터층과 상호 혼합될 수 있다. 상호혼합을 피할 수 있는 하나의 해결책은 에컨데, Flaim등의 미국특허 번호 5,693,691에 기술된 바와 같은 유기 ARC의 첨가성분으로서 열경화성 바인더를 도입하는 것이다. 선택적으로 Arnold등의 미국특허 번호 4,910,122등에 기술된 바와 같이, 습윤제, 접착증진제, 보존제, 및 가소제와 같은 첨가제 뿐만 아니라 염료가 또한 유기 ARC내에 포함될 수 있다.
실리콘 옥시니트라이드는 비반사코팅으로 사용되어 오고 있는 또다른 재료이다. 그러나 실리콘 옥시니트라이드는 ARC에 흡수 보다는 파괴적인 간섭으로 작용하는데, 이는 그 옥시니트라이드 두께의 아주 엄격한 제어가 필요하며 그리고 상기 재료가 높게 변화하는 토포그래피에 걸쳐 ARC로사 잘 작용하지 않을 수 있다. 더욱이, 실리콘 옥시니트라이드는 정형적으로 화학적 가스증착에 의해 증착됨에 반하여, 포토레지스터층은 정형적으로 스틴-코타를 이용하여 부과된다. 이러한 추가적인 화학적인 가스증착공정은 공정 복잡성을 추가할 수 있다.
비반사층으로 사용될 수 있는 또다른 재료의 클래스(class)로는 염료를 포함하는 스핀-온 글래스(SOG) 조성물이 있다. Yau등의 미국특허 번호 4,587,138은 스핀-온 글래스와 함께 베이직 웰로우 #11이 대략 1중량%의 양으로 혼합된 염료를 제시하고 있다. Allman등의 미국특허 번호 5,100,503호는 TiO2, Cr2O3, MoO4, MnO4 또는 ScO4, 그리고 접착증진제와 같은 유기염료를 포함하는 교차결합된 폴리오가노실록산을 제시하고 잇다. Allman은 추가적으로 상기 스핀-온 글래스 조성물이 평탄화층으로 또한 작용할 수 있음을 제시하고 있다. 그러나 현재까지 개시되어온 스핀-온 글래스 염료 조성물은, 작은 형태크기를 갖는 디바이스를 생산함에 사용하는 극자외광선 소서, 특히 248 및 193nm에 노출을 위해서는 최적의 것이 아니다. 더욱이, 모든 염료가 임의의 스핀-온-글래스 조성물내에 쉽게 포함될 수 있는 것도 아니다.
도 1a-1f는 스핀-온 글래스 조성물내로 포함되는 흡수 화합물에 대한 화학식을 나타낸다.
도 2a-3b는 포토리소그래피공정에서 비반사코팅층으로서 흡수 스핀-온-글래스 조성물의 사용을 나타낸다.
따라서 흡수 스핀-온-글래스 비반사코팅 및 자외선 스펙트랄 영역내에서 강하고 균일하게 흡수할 수 있는 리소그래피 재료, 그리고 상기 스핀-온-글래스 비반사코팅을 제조하는 방법이 요망되는 것이다. 또한 포토레지스터 현상(developer)에 저항성이 있는 ARC층이 요망되는 것이다.
극자외선 포토리소그래피를 위한 비반사 코팅재료는 스핀-온-글래스(SOG) 재료내에 포함되는 하나 이상의 유기흡수 화합물을 포함하여 조성된다. 상기 스핀-온-글래스 재료는 메틸실록산, 메틸실세스키옥산, 페닐실록산, 페닐실세스키옥산, 메틸페닐실록산, 메틸페닐실세스키옥산, 실리케이트 중합체, 및 그 혼합물과 같은 실리콘계 화합물을 포함한다. 여기에서 사용되는 "스핀-온-글래스 재료"로 알려진 그룹은 또한 실록산 중합체, 화학식 (H0-1.0SiO1.5-2.0)x의 하이드로겐실록산 중합체, 및 화학식 (HSiO1.5)x를 갖는 하이드로겐실세스키옥산을 포함하며, 여기에서 x는 약 4보다 크다. 또한 하이드로겐실세스키옥산과 알콕시하이드리도실록산 또는 히드록시하이드리도실록산으로 이루어진 중합체가 포함된다. 추가하여 스핀-온-글래스 재료는 화학식 (H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m의 오가노하이드리도실록산 중합체와 화학식 (HSiO1.5)n(RSiO1.5)m의 오가노하이드리도실세스키옥산 중합체를 포함하며, 여기에서 m은 0보다 크고 n과 m의 합은 약 4 보다 크며, R은 알킬 또는 아릴이다.
상기 스핀-온-글래스 재료내로 포함함에 적합한 흡수 화합물은 375nm 또는 약 260nm 보다 작은 파장의 빛을 강하게 흡수한다. 특히, 적합한 흡수 조성물은 248nm, 198nm, 157nm와 같은 파장근처, 또는 포토리소그래피에 사용될 수 있는 사용될 수 있는 365nm와 같은 다른 자외선파장의 빛을 흡수한다. 발색단을 위한 적합한 화합물은 적어도 하나의 벤존 링을 가지며, 2개 이상의 벤존 링을 가지는 경우에는 이들 링들이 융화되거나 융화되지 않을 수 있다. 포함할 수 있는 흡수 화합물은 상기 발색단에 접근할 수 있는 반응기를 가지며, 그 반응기 그룹은 하이드록실기, 아민기, 카르복실산기, 및 하나, 둘, 또는 3개의 알콕시기 또는 할로겐 원자치환체에 결합된 실리콘을 갖는 치환된 실릴기를 포함한다. 상기 반응기는 상기 발색단에 직접 연결되거나 또는 상기 반응기는 하이드로카본 브릿지 또는 산소결합을 통하여 상기 발색단에 부착될 수 있다. 상기 발색단은 또한 상기 스핀-온-글래스 재료를 마련함에 사용되는 것들과 유사한 실리콘계 화합물 또는 중합체를 포함한다.
적합한 포함할 수 있는 유기 흡수화합물의 예로는, 페닐트리알콕시실란(페닐트리에톡시실란, 페닐트리메톡시실란, 페닐트리프리폭시실란)와 같은 하나의 벤존 링을 갖는 화합물; 2-하이드록시-4-(3-트리알콕시실릴프로폭시)-디페닐케톤, 3-히드록시-4-(3-트리알콕시실릴프로폭시)-디페닐케톤, 로졸릭산, 4-페닐아조페놀, 및 4-알콕시페닐아조벤젠-4-카르복시-알킬 트리에톡시실란, 프리뮬린과 같은 융화되지 않은 2개 이상의 벤젠 링을 갖는 화합물; 트리알콕시실릴프로필-1, 8-나프탈이미드, 안트라플라브 산, 알리자린, 퀴니자린, 9-안트라센 카르복시-알킬 트리에톡시실란(9-안트라센 카르복시-메틸 트리에톡시실란, 9-안트라센 카르복시-에틸 트리에톡시실란, 9-안트라센 카르복시-부틸 트리에톡시실란, 9-안트라센 카르복시-프로필 트리에톡시실란, 9-안트로센 카르복시-펜틸 트리에톡시실란), 9-안트라센 카르복실산, 9-안트라센 메탄올 및 이들의 혼합물과 같은 융화된 2개 이상의 벤젠 링을 갖는 화합물을 포함한다.
본 발명의 다른 견지에 있어서, 흡수 스핀-온-글래스 조성물을 합성하는 방법이 제공된다. 스핀-온-글래스 재료는 실란과, 트리에톡시실란, 테트라에톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 페닐트리에톡시실란, 페닐트리메톡시실란, 디페닐디에톡시실란, 및 디페닐디메톡시실란과 같은 실리콘계 반응물로 부터 통상적으로 합성된다. 할로실란, 특히, 예컨데 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 페닐트리클로로실란, 테트라클로로실란, 디클로로실란, 메틸디클로로실란, 디메틸디클로로실란, 클로로트리에톡시실란, 클로로트리메톡시실란, 클로로메틸트리에톡시실란, 클로로에틸트리에톡시실란, 클로로페닐트리에톡시실란, 클로로메틸트리메톡시실란, 클로로에틸트리메톡시실란, 및 클로로페닐트리메톡시실란과 같은 클로시란들도 또한 실란 반응물로 사용된다.
흡수 스핀-온-글래스 조성물을 제조하는 방법은, 하나 이상의 알콕시실란, 또는 하나 이상의 할로실란, 질산/물 혼합물과 같은 하나 이상의 포함할 수 있는 유기 흡수 화합물, 및 반응 혼합물을 형성하기 위해 하나 이상의 용매를 배합하고; 그리고 상기 흡수 스핀-온-글래스 조성물을 형성하기 위해 상기 반응 혼합물을 환류하는 것을 포함한다. 이렇게 형성된 상기 스핀-온-글래스 조성물은 다양한 두께의 필름을 생산하는 코팅용액을 제공하기 위해 하나 이상의 용매로 희석된다. 할로실란과 상전사 촉매(phase transfer catalyst)를 포함하는 흡수 스핀-온-글래스 조성물을 제조하는 또다른 방법이 또한 제공된다.
본 발명의 또다른 견지에 있어서, 흡수 스핀 조성물은 실리콘계 화합물과 약 375nm 이하의 파장의 빛을 강하게 흡수하는 포함가능한 유기 흡수 화합물을 포함하여 제조된다. 또한 상기 실리콘계 화합물 또는 상기 포함가능한 유기 흡수 화합물중 적어도 하나는 적어도 하나의 알킬기, 알콕시기, 케톤기 또는 아조기를 포함함을 특징으로 하는 흡수 스핀 조성물이 제공된다.
본 발명의 또다른 견지에 있어서, 9-안트라센 카르복시-알킬 트리알콕시실란을 포함하는 화합물 클래스로 된 흡수 화합물을 제공한다. 상기 9-안트라센 카르복시-알킬 틀알콕시실란중 어느 하나를 합성하는 방법은, 9-안트라센 카르복실산, 클로로알킬트리알콕시실란, 트리에틸아민, 및 반응 혼합물을 형성하기 위한 용매를 배합하고; 상기 반응 혼합물을 환류하고; 상기 환류된 반응 혼합물을 냉각하여 석출물과 잔류용액을 형성하며; 그리고 상기 잔류용액을 여과하여 액체의 9-안트라센 카르복시-알킬 트리에톡시실란을 제조하는 것을 포함한다.
자외선 포토리소그래피용 비반사 코팅재는 스핀-온-글래스(spin-on-glass, SOG)에 포함된 적어도 하나의 유기 흡수 화합물을 포함한다. 흡수 스핀-온-글래스 조성물은 적절한 용매에 용해되어 코팅 용액을 형성하고 그리고 반도체 장비를 생산할 때 소재의 여러 층에 적용된다. 흡수 스핀-온-글래스 비반사 코팅은 현존하는 반도체 제조 공정에 쉽게 통합되도록 설계된다. 통합을 용이하게 하는 몇가지 물성에는 a) 현상 리지스턴스(developor resistance), b) 표준 포토리지스터 공정동안의 열 안정성 및 c) 기층(underlying layers)에 대한 선택적인 제거가 포함된다.
도출된 스핀-온-글래스 재료는 메틸실옥산(metalsiloxane), 메틸실세스키옥산(methylsilsesquioxane), 페닐실록산(phenylsiloxane), 페닐실세스키옥산(phenylsilsesquioxane), 메틸페닐실옥산(methyphenysiloxane), 메닐페닐실세스키옥산(methylphenylsilsesquioxane), 실라잔(silazane) 폴리머, 실리케이트(silicate) 폴리머 및 이들의 혼합물과 같은 실리콘 계 화합물이다. 도출된 실라잔 폴리머는 퍼하이드로실라잔(perhydrosilazane)인데, 이것은 발색단(chromophores)가 부착될 수 있는 "투명한" 폴리머 백본(backbone)을 가지고 있다. 여기서 사용되고 있듯이, "스핀-온-글래스 재료"라는 용어에는 실옥산(siloxane) 폴리머 및 블록폴리머(blockpolymer), 일반 화학식 (H0-1.0SiO1.5-2.0)x의 하이드로겐실옥산(hydrogensiloxane) 폴리머 및 화학식(HSIO1.5)x 인 하이드로겐실세스키옥산(hydrogensilsesquioxane) 폴리머도 역시 포함하는데, 여기서 x는 4보다 큰 수이다. 하이드로겐실세키옥산(hydrogensilsesquioxane)과 알콕시하이드리도실옥산 또는 하이드록시하이드리도실옥산(hydroxyhydridosiloxane)의 공중합체도 역시 포함된다. 스핀-온-글래그 재료에는 일반 화학식 (H0-1.0SiO1.5-2.0)n (R0-1.0SiO1.5-2.0)m인 오가노하이드리도실옥산(organohydridosiloxane) 폴리머, 그리고 일반 화학식 (HSiO1.5)n(RSiO1.5)m인 오가노하이드리도실세스키옥산 (organohydrosilsesquioxane) 폴리머도 추가적으로 포함되는데, 여기서 m은 0보다 크고 그리고 n과 m의 합계는 약 4 보다 크며 R은 알킬 또는 아릴(aryl)을 나타낸다. 몇개의 유용한 오가노하이드리도실옥산 폴리머는 n과 m의 합계가 약 4에서 약 5000이며 R이 C1-C20 알킬기 또는 C6-C12 아릴기이다. 오가노하이드리도실옥산 및 오가노하이드리도실세스키옥산 폴리머는 스핀-온-폴리머의 대안적인 의미이다. 메틸하이드리도실옥산(methylhydridosiloxanes), 에틸하이드리도실옥산(ethylhydridosiloxanes), 프로필하이드리도실옥산(propylhydridosiloxanes), t-부틸하이드리도실옥산(t-butylhydridosiloxane), 페닐하이드리도실옥산(phenylhydridosiloxanes)과 같은 알킬하이드리도실옥산(alkylhydridosiloxanes); 그리고 메틸하이드리도실세스키옥산(methylhydridosilsesquioxane), 에틸하이드리도실세스키옥산(ethylhydridosilsesquioxanes), 프로필하이드리도실세스키옥산(propylhydridosilsesquioxanes), t-부틸하이드리도실세스키옥산(t-butylhydridosilsesquioxane), 페닐하이드리도실세스키옥산(phenylhydridosilsesquioxanes), 및 그들의 조합물과 같은 알킬하이드리도실세스키옥산 등이 몇몇 특정한 예이다.
많은 나프탈렌-(naphthalene-) 및 안트라센-(anthracene-) 계 화합물은 248nm 이하에서 유효 흡수를 한다. 벤젠-계 화합물은 여기서는 페닐-(phenyl-)계 화합물과 동일한 의미이고, 화합물들은 200nm 이하의 파장에서 유효 흡수를 한다. 이러한 나프탈렌-, 안트라센-, 및 페닐-계 화합물은 염료로 빈번하게 언급되지만, 이러한 화합물의 흡수가 스펙트럼의 가시 영역 파장에 한정되는 것이 아니므로 여기서 흡수 화합물이라는 용어가 사용된다. 그러나, 이러한 흡수 화합물 모두가 ARC 재료로 사용하기 위한 스핀-온-글래스에 포함되는 것은 아니다. 본 발명에서 사용하기 적합한 흡수 화합물은 중심부 파장이 248nm, 193nm와 같은 부근이거나, 또는 365nm와 같은 다른 자외선 파장 부근인 파장 범위에서 빛을 흡수하는 것인데, 이것들은 포토리소그래피에 사용될 수도 있다.
적합한 흡수 화합물의 발색단은 전형적으로 적어도 하나의 벤젠 고리를 포함하고, 그리고 두개 이상의 벤젠 고리가 있는 곳에서는, 고리들은 융화(fused)될 수 도 있고 되지 않을 수도 있다. 포함가능한 흡수 화합물은 발색단에 부착되는 이용가능한 반응기를 가지고 있고, 반응기들은 하이드록실(hydroxyl)기, 아민(amine)기, 카르복실산기, 및 알콕시기 또는 알로겐 원자와 같은 하나, 둘 또는 세개의 "이탈기"에 결합되는 실리콘으로 치환된 시릴기(silyl)를 포함한다. 에톡시(Ethoxy) 또는 메톡시(methoxy)기 또는 염소 원자는 이탈기로 빈번하게 이용된다. 이러한 빈번하게 이용되는 이탈기를 포함하는 반응기에는 실리콘알콕시(siliconalkoxy), 실리콘디알콕시(silicondiethoxy), 실리콘트리악콕시(silicontriethoxy), 실리콘메톡시(siliconmethoxy), 실리콘디메톡시(silicondimethoxy), 실리콘트리메톡시(silicontrimethoxy), 클로로시릴(chlorosilyl), 디클로로시릴(dichlorosilyl), 및 트리클로로시릴(trichlorosilyl)기가 포함된다. 반응기는 예를 들면, 페닐트리에톡시실란(phenyltriethoxysilane)에서와 같이 발색단에 직접 결합할 수도 있거나 또는 반응기는 예를 들면, 9-안트라센 카르복시-알킬 트리에톡시실란(9-anthracene carboxy-alkyl triethoxysilane)에서와 같이 산소 결합 또는 하이드로카본(hydrocarbon) 브릿지(bridge)를 통하여 발색단에 부착될 수도 있다. 예를 들어, 발색단 상의 실리콘트리알콕시기의 포함은 특히 흡수 SOG 필름의 안정성을 증진시키는데 유리하다는 것이 발견되었다. 다른 유용한 흡수 화합물은 아조(azo)기, -N=N-, 및 이용가능한 반응기를 포함하는 것들로서, 특히 특별한 용도에서 365nm 부근의 흡수가 바람직할때에는, 상세하게는 아조기 결합 벤젠 고리를 포함하는 것들이다.
흡수 스핀-온-글래스 화합물 또는 재료에서, 흡수 화합물들은 스핀-온-글래스 기지내에 침입형으로 포함될 수 있다. 대안으로는, 흡수 화합물들은 스핀-온-글래스 폴리머에 화학적으로 결합될 수도 있다. 몇몇의 도출된 실시예에서는, 포함가능한 흡수 화합물들이 이용가능한 반응기를 통하여 스핀-온-글래스 백본(backbone)과 결합을 형성한다.
몇개의 도출된 실시예에서는, 흡수 스핀 온 조성물들이 실리콘-계 화합물과 375nm 이하의 파장 범위의 빛을 포함하는 포함가능한 오가닉(organic) 흡수 화합물을 함유한다. 다른 도출된 실시예에서는, 흡수 스핀-온 조성물은 2nm 이상의 파장 범위의 빛을 흡수한다. 또다른 도출된 실시예에서는, 흡수 스핀-온 조성물은 10nm 이상의 빛을 흡수한다.
또한, 적어도 하나의 실리콘-계 화합물 또는 포함가능한 오가닉 흡수 화합물은 적어도 하나의 알킬기, 알콕시기, 케톤(ketone)기 또는 아조기를 포함한다.
본 발명에서 이용하기 적합한 흡수 화합물의 예에는 안트라플라빅 산(anthraflavic acid)(1), 9-안트라센 카르복실산(9-anthracene carboxylic acid)(2), 9-안트라센 메탄올(9-anthracene methanol)(3), 9-안트라센 에탄올(9-anthracene ethanol)(4), 9-안트라센 프로판올(9-anthracene propanol)(5), 9-안트라센 부탄올(9-anthracene butanol)(6), 아리자린(alizarin)(7), 퀴니자린(quinizarin)(8), 프리뮬린(primuline)(9), 2-하이드록시-4-(3-트리에톡시시릴프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilylpropoxy)-diphenylketone )(10), 2-하이드록시-4-(3-트리메톡시시릴프로폭시)-디페닐케톤(2-hydroxy-4-(3-trimethoxysilylpropoxy)-diphenylketone)(11), 2-하이드록시-4-(3-트리부톡시시릴프로폭시)-디페닐케톤(2-hydroxy-4-(3-tributoxysilylpropoxy)-diphenylketone)(12), 2-하이드록시-4-(3-트리프로폭시시릴프로폭시)-디페닐케톤(2-hydroxy-4-(3-tripropoxysilylpropoxy)-diphenylketone)(13), 로졸산(rosolic acid)(14), 트리에톡시시릴프로필-1,8-나프탈이미드(triethoxysilylpropyl-1,8-naphthalimide)(15), 트리메톡시시릴프로필-1,8-나프탈이미드(trimethoxysilylpropyl-1,8-naphthalimide)(16), 트리프로폭시시릴프로필-1,8-나프탈이미드(tripropoxysilylpropyl-1,8-naphthalimide)(17), 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane)(18), 9-안트라센 카르복시-에틸 트리에톡시실란(9-anthracene carboxy-ethyl triethoxysilane)(19), 9-안트라센 카르복시-부틸 트리에톡시실란(9-anthracene carboxy-butyl triethoxysilane)(20), 9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)(21), 9-안트라센 카르복시-메틸 트리메톡시실란(9-anthracene carboxy-methyl trimethoxysilane)(22), 9-안트라센 카르복시-에틸 트리부톡시실란(9-anthracene carboxy-ethyl tributoxysilane)(23), 9-안트라센 카르복시-메틸 트리프로폭시실란(9-anthracene carboxy-methyl tripropoxysilane)(24), 9-안트라센 카르복시-프로필 트리메톡시실란(9-anthracene carboxy-propyl trimethoxysilane)(25), 페닐트리에톡시실란(phenyltriethoxysilane)(26), 페닐트리메톡시실란(phenyltrimethoxysilane)(27), 페닐트리프로폭시실란(phenyltripropoxysilane)(28), 4-페닐라조페놀(4- phenylazophenol)(29), 40에톡시페닐라조벤젠-4-카르복시-메틸 트리에톡시실란(4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane)(30), 4-메톡시페닐아조벤젠-4-카르복시-에틸 트리에톡시실란(4-methoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane)(31), 4-에톡시라조벤젠-4-카르복시-프로필 트리에톡시실란(4-ethoxyphenylazobenzene-4-carboxy-propyl triethoxysilane)(32), 4-부톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란(4-butoxyphenylazobenzene-4-carboxy-propyl triethoxysilane)(33), 4-메톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란(4-methoxyphenylazobenzene-4-carboxy-methyl triethoxysilane)(34), 4-에톡시페닐라조벤젠-4-카르복시-메틸 트리에톡시실란(4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane)(35), 4-메톡시페닐아조벤젠-4-카르복시-에틸 트리에톡시실란(4-methoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane)(36), 4-메톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란(4-methoxyphenylazobenzene-4-carboxy-propyl triethoxysilane)(37), 및 그들의 조합물이 포함된다. 흡수 화합물 1-37의 화학식은 도 1a-1f에 도시되어 있다. 예를 들면 9-안트라센 메탄올(3), 2-하이드록시-4-(3-트리에톡시시릴프로폭시)-디페닐케톤(10), 및 로졸산(14)과 조합한 9-안트라센 카르복시-메틸 트리에톡시실란(18)과 페닐트리에톡시실란(26)으로 유리한 결과를 얻을 수 있다. 그러나, 이러한 특정 화합물의 목록은 한정적인 목록이 아니고 그리고 도출된 화합물 및 우선적인 화합물은 이러한 특정화합물을 포함하는 화합물 군으로부터 선택될 수 있다는 것을 알아야 한다.
대부분의 이러한 흡수화합물들은 예를 들면, 알드리치 케미칼 컴퍼니(Aldrich Chemical Company)(Milwaukee, WI)로부터 상업적으로 구할 수 있다. 9-안트라센 카르복시-알킬 트리알콕시실란(9-anthracene carboxy-alkyl trialkoxysilanes)은 바로 밑에서 서술되는 것처럼, 에스테르화법을 사용하여 합성된다. 상기 흡수화합물 외에 페닐-계 흡수 화합물은 메톡시벤조익(methoxybenzoic) 산과 같은 알콕시벤조익(alkoxybenzoic) 산; 페닐 고리 또는 메틸페닐(methylphenyl), 클로로페닐(chlorophenyl), 및 클로로메틸페닐(choloromethylphenyl)과 같은 치환된 페닐에 부착된 실리콘계 반응기 구조를 포함한다. 특정 페닐-계 흡수 화합물은 몇가지만 예를 들자면 페닐트리메톡시실란(phenyltrimethoxysilane), 벤지트리클로로실란(benzyltrichlorosilane), 클로로메틸페닐트리메톡시실란(chloromethylphenyltrimethoxysilane), 페닐트리를루오로실란(phenyltrifluorosilane)을 포함한다. 다시 몇가지만 예를 들면 디페닐메틸에톡시실란(diphenylmethylethoxysilane), 디페닐디에톡시실란(diphenyldiethoxysilane), 및 디페닐디클로로실란(diphenyldichlorosilane)과 같은 하나 또는 두개의 "이탈기"를 포함하는 디페닐 실란(diphenyl silanes)은 적합한 포함가능한 흡수 화합물들이다.
9-안트라센 카르복시-알킬 트리알콕시실란(9-anthracene carboxy-alkyl trialkoxysilane) 화합물을 합성하는 일반적인 방법은 9-안트라센 카르복시산(9-anthracene carboxylic acid) 및 클로로메틸 트리알콕시실란(chloromethyl trialkoxysilane) 화합물을 반응물로 사용하는 단계를 포함한다. 구체적으로는, 9-안트라센 카르복시-메틸 트리에톡시실란(18)의 합성법은 반응물로 9-안트라센 카르복실산(2)와 클로로메틸 트리에톡시실란(chloromethyl triethoxysilane)을 사용한다. 반응물은 우선 4 옹스트롱 분자 시브(sieve)위에서 건조되고, 트리에틸아민과 메틸이소부틸케톤(methylisobutylketone, MIBK)과 섞어져서, 가열되어 환류할 반응물 혼합물을 형성하고 그리고 약 6 내지 10시간동안 환류된다. 환휴후에는, 반응 혼합물은 밤새 냉각되어 많은 양의 고체 침전물을 형성한다. 잔류하는 용액은 회전-증발(roto-evaporation)되고, 실리카 겔 컬럼을 통하여 여과되고, 그리고 두번째로 회전-증발되어, 어두운 호박색 유성액(dark amber oily liquid)인 9-안트라센 카르복시-메틸 트리에톡시실란(18)을 생성하는데, 이것은 정화될 수도 있다. 이러한 방법은 9-안트라센 카르복시-에틸 트리에톡시실란(TESAC), 9-안트라센 카르복시-에틸 트리메톡시실란, 및 9-안트라센 카르복시-프로필 트리에톡시실란(ACTEP)을 포함하는 9-안트라센 카르복시-알킬 트리알콕시실란 클래스의 어떠한 화합물도 생성하기에 적합하기 때문에 효과적이다.
흡수 스핀-온-글래스 조성물을 합성하는 방법이 본 발명의 다른 측면에 따라 제공된다. 스핀-온-글래스 재료는 예를 들면, 트리에톡시실란(triethoxysilane)(HTEOS), 테트라에톡시실란(tetraethoxysilane)(TEOS), 메틸트리에톡시실란(methyltriethoxysilane)(MTEOS), 디메틸디에톡시실란(dimethyldiethoxysilane), 테트라메톡시실란(tetramethoxysilane)(TMOS), 메틸트리메톡시실란(methyltrimethoxysilane)(MTMOS), 트리메톡시실란(trimethoxysilane), 디메틸디메톡시실란(dimethyldimethoxysilane), 페닐트리에톡시실란(phenyltriethoxysilane)(PTEOS), 페닐트리메톡시실란(phenyltrimethoxysilane)(PTMOS), 디페닐디에톡시실란(diphenyldiethoxysilane), 및 디페닐디메톡시실란(diphenyldimethoxysilane)을 포함하는 다양한 실란(silane) 반응물로부터 합성될 수 있다. 트리클로로실란(trichlorosilane), 메틸트리클로로실란(methyltrichlorosilane), 에틸트리클로로실란(ethyltrichlorosilane), 페닐트리클로로실란(phenyltrichlorosilane), 테트라클로로실란(tetrachlorosilane), 디클로로실란(dichlorosilane), 메틸디클로로실란(methyldichlorosilane), 디메틸디클로로실란(dimethyldichlorosilane), 클로로트리에톡시실란(chlorotriethoxysilane), 클로로트리메톡시실란(chlorotrimethoxysilane), 클로로메틸트리에톡시실란(chloromethyltriethoxysilane), 클로로에틸트리에톡시실란(chloroethyltriethoxysilane), 클로로페닐트리에톡시실란(chlorophenyltriethoxysilane), 클로로메틸트리메톡시실란(chloromethyltrimethoxysilane), 클로로에틸트리메톡시실란(chloroethyltrimethoxysilane), 및 클로로페닐트리메톡시실란(chlorophenyltrimethoxysilane)과 같은 클로로실란(chlorosilane)을 포함하는 할로실란(halosilane)들도 역시 실란 반응물로 사용될 수 있다. 흡수 스핀-온-글래스 조성물을 제조하기 위하여, 흡수 화합물 1-37, 또는 그들의 조합과 같은 흡수 화합물들이 SOG 재료를 합성하는 동안 실란 반응물과 혼합된다.
첫번째 방법에서, 예를 들면, HTEOS 또는 TEOS 및 MTEOS, 또는, TMOS 및 MTMOS; 또는, 대안으로, 테트라클로로실란 및 메틸트리클로로실란, 흡수 화합물 1-37과 같은 하나 이상의 흡수 화합물; 용매 또는 용매들의 조합; 및 산 수용액(산/물 혼합물)과 같은 실란 반응물을 포함하는 반응 혼합물이 반응용기내에서 형성된다. 아세톤, 2-프로판올, 및 1-프로판올, MIBK, 프로프록시프로판올, 및 프로필 아세테이트와 같은 다른 단순 알콜, 케톤 및 에스테르가 적절한 용매에 포함된다. 산수용액(산/물 혼합물)은 예를 들면 질산과 물의 혼합물이다. 아세트 산(acetic acid), 포름 산(formic acid), 인산(phosphoric acid), 염산(hydrochloric acid) 또는 무수 초산(acetic anhydride)과 같은 다른 프로틱(protic) 산 또는 산 무수물(anhydrides)이 산 혼합물에 대안으로 사용된다. 결과 혼합물은 약 1 내지 24 시간 동안 환류되어 흡수 SOG 폴리머 용액을 생성한다.
흡수 SOG를 적절한 용매로 희석하여 다양한 두께의 필름을 생성하는 코팅 용액을 얻을 수 있다. 적절한 희석 용매에는 2-프로판올(2-propanol), 에탄올(ethanol), 부탄올(butanol), 메탄올(methanol), 프로필아세테이트(propylacetate), 에틸 락테이트(ethyl lactate) 및 프로파졸-P(Propasol-P)라고 상업적으로 말하는 프로필렌 글리콜 프로필 에테르(propylene glycol propyl ether)가 포함될 수 있다. 에틸 락테이트 및 프로필렌 글리콜 프로필 에테르와 같은 높은 끓는점을 가진 희석 용매가 유익한 것으로 밝혀졌다. 높은 끓는점을 가진 용매는 기포 막 결함(bubble film defect)의 형성가능성을 낮춘다고 믿어지고 있다. 반대로, 낮은 끓는 점을 가진 용매는 베이킹(baking) 공정 단계동안 옮겨질 때 막의 교차결합된 상부층하에서 포집될 수 있고 결과적으로 공극을 형성할 수 있다. 본 발명에서 유용한 용매에는 다른 말로는 글림(glyme)이라고 불리는 에틸렌 글리콜 디메틸 에테르(ethylene clycol dimethyl ether), 아니졸(anisole), 디부틸 에테르(dibuthyl ether), 디프로필 에테르(dipropyl ether), 프로필렌 글리콜 메틸 에테르 아세테이트(propylene glycol methyl ether acetate) 및 펜탄올이 추가적으로 포함될 수 있다. 선택적으로는, 3M(미니애폴리스, MN) 제품 FC430, 또는 DIC(일본) 제품 메가페이스(magaface) R08과 같은 계면활성제도 코팅용액에 첨가된다. 코팅 용액은 전형적으로 폴리머 중량의 0.5 내지 20% 이다. 사용에 앞서, 코팅 용액은 표준 여과 기술(standard filteration techniques)에 의해 여과된다.
흡수 SOG 재료를 형성하는 두번째 방법에 따라, 실란 반응물, 흡수 화합물 1-37 과 같은 하나 이상의 흡수 화합물, 용매 또는 용매의 혼합물을 포함하는 반응 혼합물이 반응용기내에서 형성된다. 반응 혼합물은 환류되도록 가열되어 약 1 내지 24시간 사이 동안 환류된다. 실란 반응물과 용매는 상기 첫번째 방법에서 기술되었다. 상기하였듯이, 산수용액(산/물 혼합물)이 젓는 동안 반응 혼합물에 첨가된다. 결과적인 혼합물은 환류하도록 가열되고 약 1 내지 24 시간 사이에서 환류되어 흡수 SOG 폴리머를 생성한다. 흡수 SOG 는 희석되고 상기와 같이 여과되어 코팅 용액을 형성한다.
흡수 올가노히드리도실록산 물질을 형성하는 방법은 비-양성자성 용매 및 양성자성 용매 모두를 포함하는 2상(dual phase)용매와 상전이 촉매의 혼합물을 형성하는 단계;
2상의 반응혼합물을 제공하기 위하여 하나 이상의 올가노트리할로실란, 히드리도트리할로실란 및 흡수화합물 1-37과 같은 하나 이상의 흡수화합물을 첨가하는 단계; 및
흡수 올가노히드리도실록산 중합체를 제조하기 위하여 1∼24시간 동안 상기 2상 반응혼합물을 반응시키는 단계를 포함한다.
상기 상전이 촉매는 제한되는 것은 아니지만, 테트라부틸암모늄 클로라이드 및 벤질트리메틸암모늄 클로라이드를 포함한다.
비-양성장성 용매의 예로는 제한되는 것은 아니지만, 펜탄, 헥산, 헵탄, 사이클로헥산, 벤젠, 톨루엔, 자일렌, 카본 테트라 클로라이드등과 같은 할로겐화 용매 및 이들의 혼합물을 포함한다. 유용한 양성자성 용매로는 물, 알콜, 및 물과 알콜의 혼합물을 포함한다. 흡수 중합체 용액은 코팅용액을 형성하기 위하여 상기한 바와 같이 희석 및 여과된다. 흡수 SOG 코팅용액은 전형적으로 통상적인 스핀-온 침착기술에 의하여, 특징적인 제조공정에 따라, 반도체 처리에 사용되는 다양한 층들에 적용된다.
이들 기술은 흡수 SOG 비반사 코팅물을 제조하기 위하여 디스펜스 스핀(dispense spin), 씨크니스 스핀(thickness spin), 및 써머 베이크 스텝(thermal bake step)들을 포함한다. 전형적인 공정은 약 20초동안 1000과 400rpm사이의 씨크니스 스핀과 각각 80℃와 300℃사이의 온도에서 적어도 1분이상 동안 행하는 2 또는 3의 베이크 스텝을 포함한다.
본 발명에 따르는 상기 SOG 비반사 코팅물은 약 1.3과 약 2.0사이의 굴절지수(refractive indices)및 0.07이상의 흡광계수(extinction coefficient)를 나타낸다.
하기 실시예들에서 제시된 바와 같이 0.4 이상의 흡광계수가 얻어졌다.
반면에, 실리콘 디옥사이드, 실리케이트, 및 메틸실록산과 같은 유전체 재료의 흡광계수는 190nm이상의 파장에서 대략 0(zero)이다.
포토리소그래픽 공정에서 비반사코팅으로써 본 발명에 따른 흡수 스핀-온-글래스 재료를 이용하는 일반적인 방법은 도 2a-2h에 예시된다. 도 2a에 보여진 것처럼, 유전체층(22)은 실리콘 기판(20)위에 놓여진다. 유전체층(22)은 예를들면 TEOS로부터 유래된 실리콘 다이옥사이드 층, 실란기 실리콘 다이옥사이드 층, 열적으로 성장된 옥사이드, 또는 화학적 증착법으로 형성된 메틸하이드리도실록산 또는 다른 원소 또는 화합물이 합쳐진 실리콘 다이옥사이드를 포함하는 다양한 유전체 재료로 구성되어질 수 있다. 유전체층(22)은 통상적으로 광학적으로 투명한 매개물이다. 흡수 SOG 비반사코팅층(24)은 도 2c에 보여진 더미(stack)를 형성하기 위하여 통상적으로 양의 포토레지스트인 포토레지스트층(26)에 의하여 덮여진 유전체층(22, 도 2b) 위에 적용된다. 도 2c의 더미는 도 2d에 보여진 것처럼 마스크(30)를 통하여 자외선(32)에 노출된다. 상기 노출동안 상기 흡수 SOG ARC 층(24)은 상기 포토레지스트를 통하여 전달된 자외선(32, UV light)을 흡수한다. 상기 유전체층(22)은 상기 UV 파장범위에서 통과되기 때문에, 만약 흡수 SOG ARC 층(24)이 존재하지 않는다면 상기 자외선(32)은 임계 디멘션(critical dimension), 예를들면 상기 노출된 포토레지스트의 임계 디멘션(27)을 떨어뜨리는 아래에 놓여있는 실리콘층(20)에서 반사된다. 이러한 예에서, 직접적인 상전사를 제공하는 양의 포토레지스트가 가정된다.
상기 노출된 더미는 도 2e의 더미를 제공하기 위하여 현상된다. 상기 흡수 SOG ARC 층(24)은 2.5%의 테트라메틸암모늄하이드록사이드(TMAH) 용액과 같은 통상적인 포토레지스트 현상 용액에 저항력이 있다. 반대로 상기 포토레지스트 재료의 몇몇 화학적 특성을 갖는 유기 ARC 층은 포토레지스트 디벨로퍼에 보다 민감하다. 또한, 흡수 SOG ARC 층은 화학적, 기체를 기본으로 한, 포토레지스트 스트리핑 공정에 저항성을 갖지만, 유기 ARC 층은 저항성을 갖지 않는다. 그러므로, 흡수 SOG 층의 이용은 상기 ARC 층에 다시 적용할 필요없이 포토레지스트 재가공을 용이하게 할 수 있다.
다음으로, 도 2f의 에칭된 더미를 제조하기 위하여 포토레지스트 층(26)의 개구부를 통하여 상기 흡수 SOG ARC 층(24)이 에칭된다. 포토레지스트에 매우 민감한 플루오로카본 에칭(fluorocarbon etch)은 상기 흡수 SOG ARC 층(24)을 에칭하기 위하여 이용된다. 플루오로카본 에칭에 대한 상기 흡수 SOG의 응답은 유기 ARC 층 위의 상기 흡수 SOG의 부가적인 장점을 제공하며, 이는 산소 플라즈마 에칭(oxygen plasma etch)을 요구한다. 오르가닉에 기초한 상기 포토레지스트가 산소 플라즈마에 의하여 또한 에칭될 수 있기 때문에, 산소 플라즈마 에칭은 상기 현상된 포토레지스트의 임계 디멘션을 하락시킬 수 있다. 플루오로카본 플라즈마는 산소 플라즈마에 비하여 더 적은 포토레지스트를 소모한다. 보다 짧은 UV 파장에서, 요구되는 포커스의 깊이는 도 2d에 보여진 노출단계에서 포토레지스트층(26)의 두께를 제한할 것이다. 예를들면, 193nm에서 포토레지스트의 두께는 대략 300nm인 것으로 추정된다. 그러므로, 우선적으로 적용된 이러한 짧은 파장때문에, 상기 포토레지스트에 대하여 선택적으로 에칭될 수 있는 ARC 층을 갖는 것이 중요할 것이다.
상기 플루오로카본 에칭이 도 2g의 더미를 형성하기 위하여 상기 유전체층(22)을 통하여 계속된다. 포토레지스트층(26)은 상기 계속된 에칭 공정동안 부분적으로 소모된다. 마지막으로, 상기 포토레지스트층(26)은 산소 플라즈마 또는 하이드로겐 환원 분위기 또는 습식 분위기를 이용하여 제거되며, 상기 SOG ARC 층(24)은 버퍼된 옥사이드 에칭, 예를들면 표준 불산/물 혼합물, 또는 수용성 또는 비수용성 오르가노아민 또는 수용성 또는 비수용성 플루오로-기초 분위기를 이용하여 제거된다. 유리하게, 상기 SOG ARC 층은 상기 아래에 놓여있는 유전체층에 대하여 우수한 민감성을 보여주는 용액으로 제거될 수 있다. 그러므로, 도 2a-2h에 보여진 상기 일반적인 포토리소그래픽 방법은 비반사코팅층 및 희생 비반사코팅층처럼 흡수 SOG 재료의 공정의 유리함으로 예시한다.
실시예
9-안트라센 카르복시-알킬 트리알콕시실란 및 보다 특정하게는 9-안트라센 카르복시-에틸 트리에톡시실란 및 9-안트라센 카르복시-프로필 트리에톡시실란과 같은 흡수 화합물의 합성뿐만 아니라 흡수 SOG 재료를 합성하는 방법은 다음의 실시예들에서 예시된다.
실시예1
9-안트라센 카르복시-메틸 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 60그램의 9-안트라센 카르복시-메틸 트리에톡시실란, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 115그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 상기 필름의 두께는 1635Å이었다. 248nm에서 굴절지수(n)는 1.373이었고, 흡광계수(k)는 0.268이었다. 그러나, 예를들면 9-안트라센 카르복시-메틸 트리에톡시실란과 같은 보다 순수한 출발물질 및 흡수 화합물은 보다 높은 흡광계수를 주는 것으로 평가된다. 상기와 같은 회전 및 굽기 공정에서 파라미터 및 측정기술은 다음의 실시예들 모두에 이용되었다.
9-안트라센 카르복시-에틸 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 60그램의 9-안트라센 카르복시-에틸 트리에톡시실란, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 115그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minnsapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다.
9-안트라센 카르복시-에틸 트리메쏘시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 60그램의 9-안트라센 카르복시-에틸 트리메쏘시실란, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 115그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 178그램의 2-프로판올, 89그램의 아세톤, 52그램의 TEOS, 59그램의 MTEOS, 29그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 3.3그램의 0.1M 질산 및 40그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 26그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 두께는 1487.1Å;k=0.4315;n=1.4986이다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 178그램의 2-프로판올, 89그램의 아세톤, 49그램의 TEOS, 55그램의 MTEOS, 48그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 3.3그램의 0.1M 질산 및 40그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 26그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 두께는 534.45Å;k=0.45;n=1.49이다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 178그램의 2-프로판올, 89그램의 아세톤, 13그램의 TEOS, 110그램의 MTEOS, 13그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 3.3그램의 0.1M 질산 및 40그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 26그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 두께는 414.17Å;k=0.3551;n=1.5079이다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 178그램의 2-프로판올, 89그램의 아세톤, 96그램의 TEOS, 15그램의 MTEOS, 13그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 3.3그램의 0.1M 질산 및 40그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 15그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 두께는 494.77Å;k=0.3354;n=1.5243이다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 178그램의 2-프로판올, 89그램의 아세톤, 56그램의 TEOS, 64그램의 MTEOS, 7.63그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 3.3그램의 0.1M 질산 및 40그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 26그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 두께는 3629.76Å;k=0.3559;n=1.4508이다. 두번째 두께=1377.37Å; k=0.358;n=2.643이나, n값은 상기 출발조성 및 반응물의 두께 및 순도에 따라 변할 수 있다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 178그램의 2-프로판올, 89그램의 아세톤, 86그램의 TEOS, 25그램의 MTEOS, 12.1그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 3.3그램의 0.1M 질산 및 40그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 26그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 두께는 1455.93Å;k=0.339;n=1.5895이다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 178그램의 2-프로판올, 89그램의 아세톤, 21그램의 TEOS, 101그램의 MTEOS, 12그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 3.3그램의 0.1M 질산 및 40그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 26그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 두께는 345.31Å;k=0.3264;n=1.4614이다. 두번째 두께=1021.18Å;k=0.3215;n=1.5059이다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 178그램의 2-프로판올, 89그램의 아세톤, 37그램의 TEOS, 74그램의 MTEOS, 36그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 3.3그램의 0.1M 질산 및 40그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 26그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 두께는 6000Å;k=0.3701;n=1.4486이다. 두번째 두께=2851.52Å;k=0.3912;n=1.4786이다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 178그램의 2-프로판올, 89그램의 아세톤, 64그램의 TEOS, 42그램의 MTEOS, 36그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 3.3그램의 0.1M 질산 및 40그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 26그램의 부탄올, 488그램의 2-프로판올, 245그램의 아세톤, 329그램의 에탄올, 53그램의 중성화된 물 및 3.8그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 상기 용액은 여과되었다. 상기 용액은 조제되었고, 20초동안 3000rpm의 두께 회전이 행해졌으며, 각 1분동안 80℃ 및 180℃에서 구워졌다. N & K Technology Model 1200 analyzer로 광학특성이 측정되었다. 두께는 5988Å;k=0.36;n=1.445이다. 두번째 두께=2887.27Å;k=0.3835;n=1.4856이다.
실시예2
9-안트라센 메탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 및 로졸산을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 25그램의 9-안트라센 메탄올, 10그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 5그램의 로졸산, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 111그램의 부탄올, 459그램의 2-프로판올, 230그램의 아세톤, 309그램의 에탄올, 50그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 두께=1436Å, n=1.479, k=0.1255이다.
9-안트라센 에탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 및 로졸산을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 25그램의 9-안트라센 에탄올, 10그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 5그램의 로졸산, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 111그램의 부탄올, 459그램의 2-프로판올, 230그램의 아세톤, 309그램의 에탄올, 50그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다.
9-안트라센 메탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 및 로졸산을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 25그램의 9-안트라센 메탄올, 10그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 5그램의 로졸산, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 111그램의 부탄올, 459그램의 2-프로판올, 230그램의 아세톤, 309그램의 에탄올, 50그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다.
9-안트라센 에탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 및 로졸산을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 25그램의 9-안트라센 에탄올, 10그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 5그램의 로졸산, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 111그램의 부탄올, 459그램의 2-프로판올, 230그램의 아세톤, 309그램의 에탄올, 50그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다.
실시예3
9-안트라센 메탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 및 로졸산을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 93그램의 TEOS, 77그램의 MTEOS, 20그램의 9-안트라센 메탄올, 60그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 5그램의 로졸산, 0.5599그램의 0.1M 질산 및 71.90그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다.
실시예4
9-안트라센 메탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 및 로졸산을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 108그램의 TEOS, 77그램의 MTEOS, 10그램의 9-안트라센 메탄올, 60그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 5그램의 로졸산, 0.5599그램의 0.1M 질산 및 72그램의 중성화된 물을 혼합하였다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 두께=4275Å, n=1.529, k=0.124이다.
실시예5
2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 51그램의 MTEOS, 60그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 0.6그램의 0.1M 질산 및 72그램의 중성화된 물을 혼합하였다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 두께=3592Å, n=1.563, k=0.067이다.
2-하이드록시-4-(3-트리메톡시실리프로폭시)-디페닐케톤을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 51그램의 MTEOS, 60그램의 2-하이드록시-4-(3-트리메톡시실리프로폭시)-디페닐케톤, 0.6그램의 0.1M 질산 및 72그램의 중성화된 물을 혼합하였다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다.
실시예6
9-안트라센 메탄올을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 10그램의 9-안트라센 메탄올, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapois, MN)이 첨가되었다.
9-안트라센 에탄올을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 10그램의 9-안트라센 에탄올, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapois, MN)이 첨가되었다.
9-안트라센 프로판올을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 10그램의 9-안트라센 프로판올, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapois, MN)이 첨가되었다.
실시예7
9-안트라센 메탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 및 로졸산을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 20그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 25그램의 9-안트레센 메탄올, 5그램의 로졸산, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 두께=3503Å, n=1.475, k=0.193이다.
실시예8
9-안트라센 메탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 및 로졸산을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 5그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 25그램의 9-안트라센 메탄올, 5그램의 레졸산, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 두께=3119Å, n=1.454, k=0.175이다.
실시예9
9-안트라센 메탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 레졸산, 퀴니자린 및 알리자린을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 20그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 25그램의 9-안트라센 메탄올, 5그램의 레졸산, 2그램의 퀴니자린, 2그램의 알리자린, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.7그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 두께=3554Å, n=1.489, k=0.193이다.
실시예10
9-안트라센 메탄올, 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 레졸산 및 알리자린을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 51.5그램의 MTEOS, 5그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 25그램의 9-안트라센 메탄올, 5그램의 레졸산, 2그램의 알리자린, 0.5599그램의 0.1M 질산 및 71.90그램의 탈이온수가 첨가되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 56.68그램의 부탄올, 87.99그램의 2-프로판올, 44.10그램의 아세톤, 59.31그램의 에탄올, 9.55그램의 중성화된 물 및 3.75그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 두께=3109Å, n=1.454, k=0.193이다.
실시예11
9-안트라센 카르복시-메틸 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-메틸 트리에톡시실란, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.7그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다. 두께=3010Å, n=1.377, k=0.163이다.
9-안트라센 카르복시-에틸 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-에틸 트리에톡시실란, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.7그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다.
9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 SOG의 합성
1리터의 플라스크에 297그램의 2-프로판올, 148그램의 아세톤, 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-프로필 트리에톡시실란, 0.6그램의 0.1M 질산 및 72그램의 탈이온수가 혼합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에 57그램의 부탄올, 88그램의 2-프로판올, 44그램의 아세톤, 59그램의 에탄올, 9.5그램의 중성화된 물 및 3.7그램의 10% FC 430(3M, Minneapolis, MN)이 첨가되었다.
9-안트라센 카르복시-펜틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 123그램의 TEOS, 77 그램의 MTEOS, 30 그램의 9-안트라센 카르복시-펜틸 트리에톡시시레인, 0.6 그램의 0.1 M 질산 및 72 그램의 탈이온 수들이 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에 57 그램의 부탄올, 88 그램의 2-프로판올, 44그램의 아세톤, 59 그램의 에탄올, 9.5 그램의 탈이온 수및 3.7 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가되었다.
9-안트라센 카르복시-메틸 트리메톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 123그램의 TEOS, 77 그램의 MTEOS, 30 그램의 9-안트라센 카르복시-메틸 트리메톡시시레인, 0.6 그램의 0.1 M 질산 및 72 그램의 탈이온 수들이 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에 57 그램의 부탄올, 88 그램의 2-프로판올, 44 그램의 아세톤, 59 그램의 에탄올, 9.5 그램의 탈이온 수및 3.7 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가되었다.
9-안트라센 카르복시-에틸 트리메톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 123그램의 TEOS, 77 그램의 MTEOS, 30 그램의 9-안트라센 카르복시-에틸 트리메톡시시레인, 0.6 그램의 0.1 M 질산 및 72 그램의 탈이온 수들이 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에 57 그램의 부탄올, 88 그램의 2-프로판올, 44 그램의 아세톤, 59 그램의 에탄올, 9.5 그램의 탈이온 수및 3.7 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가되었다.
9-안트라센 카르복시-프로필 트리메톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 123그램의 TEOS, 77 그램의 MTEOS, 30 그램의 9-안트라센 카르복시-프로필 트리메톡시시레인, 0.6 그램의 0.1 M 질산 및 72 그램의 탈이온 수들이 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에 57 그램의 부탄올, 88 그램의 2-프로판올, 44 그램의 아세톤, 59 그램의 에탄올, 9.5 그램의 탈이온 수및 3.7 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가되었다.
실시예 12
9-안트라센 메탄올을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 123그램의 TEOS, 77 그램의 MTEOS, 그리고 10 그램의 9-안트라센 메탄올들이 화합된다. 상기 용액은 6시간 동안 환류된다. 0.6 그램의 0.1 M 질산 및 72 그램의 탈이온 수 혼합물이 상기 플라스크에 첨가된다. 상기 플라스크는 4시간 동안 환류된다. 상기 용액에 57 그램의 부탄올, 88 그램의 2-프로판올, 44 그램의 아세톤, 59 그램의 에탄올, 9.5 그램의 탈이온 수및 3.75 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가된다.
9-안트라센 에탄올을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 123그램의 TEOS, 77 그램의 MTEOS, 그리고 10 그램의 9-안트라센 에탄올들이 화합된다. 상기 용액은 6시간 동안 환류된다. 0.6 그램의 0.1 M 질산 및 72 그램의 탈이온 수 혼합물이 상기 플라스크에 첨가된다. 상기 플라스크는 4시간 동안 환류된다. 상기 용액에 57 그램의 부탄올, 88 그램의 2-프로판올, 44 그램의 아세톤, 59 그램의 에탄올, 9.5 그램의 탈이온 수및 3.75 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가된다.
9-안트라센 프로판올을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 123그램의 TEOS, 77 그램의 MTEOS, 그리고 10 그램의 9-안트라센 프로판올들이 화합된다. 상기 용액은 6시간 동안 환류된다. 0.6 그램의 0.1 M 질산 및 72 그램의 탈이온 수 혼합물이 상기 플라스크에 첨가된다. 상기 플라스크는 4시간 동안 환류된다. 상기 용액에 57 그램의 부탄올, 88 그램의 2-프로판올, 44 그램의 아세톤, 59 그램의 에탄올, 9.5 그램의 탈이온 수및 3.75 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가된다.
실시예 13
9-안트라센 카르복시-메틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 90 그램의 TMOS, 59 그램의 MTMOS, 60 그램의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6 그램의 0.1 M 질산및 72 그램의 탈이온 수들이 화합된다. 상기 플라스크는 4시간 동안 환류된다. 상기 용액에 115 그램의 부탄올, 488 그램의 2-프로판올, 245 그램의 아세톤, 329 그램의 에탄올, 53 그램의 탈이온 수및 3.8 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가된다.
9-안트라센 카르복시-에틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 90 그램의 TMOS, 59 그램의 MTMOS, 60 그램의 9-안트라센 카르복시-에틸 트리에톡시시레인, 0.6 그램의 0.1 M 질산및 72 그램의 탈이온 수들이 화합된다. 상기 플라스크는 4시간 동안 환류된다. 상기 용액에 115 그램의 부탄올, 488 그램의 2-프로판올, 245 그램의 아세톤, 329 그램의 에탄올, 53 그램의 탈이온 수및 3.8 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가된다.
9-안트라센 카르복시-메틸 트리메톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 90 그램의 TMOS, 59 그램의 MTMOS, 60 그램의 9-안트라센 카르복시-메틸 트리메톡시시레인, 0.6 그램의 0.1 M 질산및 72 그램의 탈이온 수들이 화합된다. 상기 플라스크는 4시간 동안 환류된다. 상기 용액에 115 그램의 부탄올, 488 그램의 2-프로판올, 245 그램의 아세톤, 329 그램의 에탄올, 53 그램의 탈이온 수및 3.8 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가된다.
9-안트라센 카르복시-프로필 트리에톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 90 그램의 TMOS, 59 그램의 MTMOS, 60 그램의 9-안트라센 카르복시-프로필 트리에톡시시레인, 0.6 그램의 0.1 M 질산및 72 그램의 탈이온 수들이 화합된다. 상기 플라스크는 4시간 동안 환류된다. 상기 용액에 115 그램의 부탄올, 488 그램의 2-프로판올, 245 그램의 아세톤, 329 그램의 에탄올, 53 그램의 탈이온 수및 3.8 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가된다.
9-안트라센 카르복시-메틸 트리프로폭시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 90 그램의 TMOS, 59 그램의 MTMOS, 60 그램의 9-안트라센 카르복시-메틸 트리프로폭시시레인, 0.6 그램의 0.1 M 질산및 72 그램의 탈이온 수들이 화합된다. 상기 플라스크는 4시간 동안 환류된다. 상기 용액에 115 그램의 부탄올, 488 그램의 2-프로판올, 245 그램의 아세톤, 329 그램의 에탄올, 53 그램의 탈이온 수및 3.8 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가된다.
9-안트라센 카르복시-에틸 트리부톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램의 2-프로판올, 148 그램의 아세톤, 90 그램의 TMOS, 59 그램의 MTMOS, 60 그램의 9-안트라센 카르복시-에틸 트리부톡시시레인, 0.6 그램의 0.1 M 질산및 72 그램의 탈이온 수들이 화합된다. 상기 플라스크는 4시간 동안 환류된다. 상기 용액에 115 그램의 부탄올, 488 그램의 2-프로판올, 245 그램의 아세톤, 329 그램의 에탄올, 53 그램의 탈이온 수및 3.8 그램의 10% FC 430(3M, 미네아폴리스, MN)등이 첨가된다.
실시예 14
9-안트라센 카르복시-메틸 트리에톡시시레인의 합성
2-리터 플라스크내에, 90.0g의 9-안트라센카르복실 산, 86.0 ml의 크로로메틸트리에톡시시레인, 66 ml의 트리에틸아민, 그리고 4 Å의 분자체상에서 건조되어진 1.25 L의 메틸이소부틸케톤(MIBK)들이 서서히 교반되고 가열되며, 8.5 시간 동안 환류되었다. 상기 용액은 2L의 테프론 병으로 이동되어 밤새 방치되었다.
다량의 고체 침전물이 형성하였다. 상기 MIBK 용액은 가만히 따라졌고(decanted), 회전-증발되어 대략 200g 으로 되었다. 동일한 중량의 헥산이 첨가되고 혼합되었다. 침전물이 형성하였다. 1.75 인치 직경과 2 인치 높이를 갖고 그리고 20%의 에틸아세테이트/80%의 헥산으로 현탁되어진 실리카 겔 컬럼이 준비되었다. 상기 MIBK/헥산 용액은 가압하에서 상기 컬럼을 통과하였고, 상기 컬럼은 20%의 에틸아세테이트/80%의 헥산 800ml로 세척되었다. 상기 용액은 0.2㎛ 로 여과되었고 회전-증발되었다. 상기 용매(solvent)가 떨어지는 것(coming off)을 멈춘 때, 온도는 60분 동안 35℃로 상승되었다. 검은 황갈색의 오일 액체 제품이 얻어졌다(85g).
9-안트라센 카르복시-에틸 트리에톡시시레인의 합성
2-리터 플라스크내에, 90.0g의 9-안트라센카르복실 산, 86.0 ml의 크로로에틸트리에톡시시레인, 66 ml의 트리에틸아민, 그리고 4 Å의 분자체상에서 건조되어진 1.25 L의 메틸이소부틸케톤(MIBK)들이 서서히 교반되고 가열되며, 8.5 시간 동안 환류되었다. 상기 용액은 2L의 테프론 병으로 이동되어 밤새 방치되었다.
다량의 고체 침전물이 형성하였다. 상기 MIBK 용액은 가만히 따라졌고, 회전-증발되어 대략 200g 으로 되었다. 동일한 중량의 헥산이 첨가되고 혼합되었다. 침전물이 형성하였다. 1.75 인치 직경과 2 인치 높이를 갖는 그리고 20%의 에틸아세테이트/80%의 헥산으로 현탁되어진 실리카 겔 컬럼이 준비되었다. 상기 MIBK/헥산 용액은 가압하에서 상기 컬럼을 통과하였고, 상기 컬럼은 20%의 에틸아세테이트/80%의 헥산 800ml로 세척되었다. 상기 용액은 0.2㎛ 로 여과되었고 회전-증발되었다. 상기 용매가 떨어지는 것(coming off)을 멈춘 때, 온도는 60분 동안 35℃로 상승되었다.
9-안트라센 카르복시-프로필 트리에톡시시레인의 합성
2-리터 플라스크내에, 90.0g의 9-안트라센카르복실 산, 86.0 ml의 크로로프로필트리에톡시시레인, 66 ml의 트리에틸아민, 그리고 4 Å의 분자체상에서 건조되어진 1.25 L의 메틸이소부틸케톤(MIBK)들이 서서히 교반되고 가열되며, 8.5 시간 동안 환류되었다. 상기 용액은 2L의 테프론 병으로 이동되어 밤새 방치되었다.
다량의 고체 침전물이 형성하였다. 상기 MIBK 용액은 가만히 따라졌고, 회전-증발되어 대략 200g 으로 되었다. 동일한 중량의 헥산이 첨가되고 혼합되었다. 침전물이 형성하였다. 1.75 인치 직경과 2 인치 높이를 갖는 그리고 20%의 에틸아세테이트/80%의 헥산으로 현탁되어진 실리카 겔 컬럼이 준비되었다. 상기 MIBK/헥산 용액은 가압하에서 상기 컬럼을 통과하였고, 상기 컬럼은 20%의 에틸아세테이트/80%의 헥산 800ml로 세척되었다. 상기 용액은 0.2㎛ 로 여과되었고 회전-증발되었다. 상기 용매가 떨어지는 것(coming off)을 멈춘 때, 온도는 60분 동안 35℃로 상승되었다.
9-안트라센 카르복시-메틸 트리메톡시시레인의 합성
2-리터 플라스크내에, 90.0g의 9-안트라센카르복실 산, 86.0 ml의 크로로메틸트리메톡시시레인, 66 ml의 트리에틸아민, 그리고 4 Å의 분자체상에서 건조되어진 1.25 L의 메틸이소부틸케톤(MIBK)들이 서서히 교반되고 가열되며, 8.5 시간 동안 환류되었다. 상기 용액은 2L의 테프론 병으로 이동되어 밤새 방치되었다.
다량의 고체 침전물이 형성하였다. 상기 MIBK 용액은 가만히 따라졌고(decanted), 회전-증발되어 대략 200g 으로 되었다. 동일한 중량의 헥산이 첨가되고 혼합되었다. 침전물이 형성하였다. 1.75 인치 직경과 2 인치 높이를 갖는 그리고 20%의 에틸아세테이트/80%의 헥산으로 현탁되어진 실리카 겔 컬럼이 준비되었다. 상기 MIBK/헥산 용액은 가압하에서 상기 컬럼을 통과하였고, 상기 컬럼은 20%의 에틸아세테이트/80%의 헥산 800ml로 세척되었다. 상기 용액은 0.2㎛ 로 여과되었고 회전-증발되었다. 상기 용매가 떨어지는 것(coming off)을 멈춘 때, 온도는 60분 동안 35℃로 상승되었다.
실시예 15
9-안트라센 카르복시-메틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램(4.798 몰) 2-프로판올, 148 그램(2.558 몰) 아세톤, 123그램(0.593 몰) TEOS, 77 그램(0.432 몰) MTEOS, 45 그램(0.102 몰) 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6 그램의 0.1 M 질산 및 72 그램(3.716 몰) 탈이온 수들이 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에 43 그램(0.590 몰)의 부탄올 그리고 1260 그램(8.344 몰)의 에틸락테이트등이 첨가되었다. 두께 = 1156 Å, n = 1.502, k = 0.446.
9-안트라센 카르복시-프로필 트리에톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램(4.798 몰) 2-프로판올, 148 그램(2.558 몰) 아세톤, 123그램(0.593 몰) TEOS, 77 그램(0.432 몰) MTEOS, 45 그램(0.102 몰) 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6 그램의 0.1 M 질산 및 72 그램(3.716 몰) 탈이온 수들이 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에 43 그램(0.590 몰)의 부탄올 그리고 1260 그램(8.344 몰)의 에틸락테이트등이 첨가되었다.
9-안트라센 카르복시-에틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
1-리터 플라스크내에 297 그램(4.798 몰) 2-프로판올, 148 그램(2.558 몰) 아세톤, 123그램(0.593 몰) TEOS, 77 그램(0.432 몰) MTEOS, 45 그램(0.102 몰) 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6 그램의 0.1 M 질산 및 72 그램(3.716 몰) 탈이온 수들이 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에 43 그램(0.590 몰)의 부탄올 그리고 1260 그램(8.344 몰)의 에틸락테이트등이 첨가되었다.
9-안트라센 카르복시-메틸 트리메톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 45그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 43그램(0.590몰)의 부탄올과 1260그램(8.344몰)의 에틸 락테이트가 첨가되었다.
실시예 16
9-안트라센 카르복시-메틸 트리에톡시시레인(triethoxysilane)을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 30그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수 및 3.7그램의 10% FC 430이 첨가되었다.
9-안트라센 카르복시-프로필 트리에톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 30그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수 및 3.7그램의 10% FC 430이 첨가되었다.
9-안트라센 카르복시-에틸 트리메톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 30그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수 및 3.7그램의 10% FC 430이 첨가되었다.
9-안트라센 카르복시-에틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 30그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수 및 3.7그램의 10% FC 430이 첨가되었다.
9-안트라센 카르복시-부틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 30그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수 및 3.7그램의 10% FC 430이 첨가되었다.
실시예 17
9-안트라센 카르복시-메틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 45그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 43그램(0.590몰)의 부탄올과 981그램(8.301몰)의 프로파솔-피가 첨가되었다. 두께=1407Å, n=1.334, k=0.551.
9-안트라센 카르복시-에틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 45그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 43그램(0.590몰)의 부탄올과 981그램(8.301몰)의 프로파솔-피가 첨가되었다.
9-안트라센 카르복시-프로필 트리에톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 45그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 43그램(0.590몰)의 부탄올과 981그램(8.301몰)의 프로파솔-피가 첨가되었다.
9-안트라센 카르복시-메틸 트리메톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 45그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 43그램(0.590몰)의 부탄올과 981그램(8.301몰)의 프로파솔-피가 첨가되었다.
실시예 18
9-안트라센 카르복시-프로필 트리에톡시시레인을 함유하는 SOG 흡수 합성
질소 인렛(inlet), 드라이 아이스 응축기 및 기계적 교반기를 갖춘 6L 자켓 반응기에 5000ml의 헥산, 720ml의 에탄올, 65ml의 물 및 10% 중량의 테트라부틸암모니움 클로라이드 히드레이트 수용액 120그램이 채워진다. 상기 혼합물은 25℃에서 교반하는 0.5시간 동안 평형이 유지된다. 트리클로로시레인(377.4그램, 2.78몰), 메틸트리클로로시레인(277.7그램, 1.86몰) 및 9-안트라센 카르복시-메틸 트리에톡시시레인(203.8그램, 0.46몰)의 혼합물이 70분 동안 연동(peristaltic) 펌프를 사용하는 상기 반응기에 첨가된다. 상기 시레인(silane)과 흡수 화합물(compound)의 첨가 완료와 동시에, 헥산은 10분 동안 라인을 통하여 펌프에 의해 주입된다. 이 반응은 2.3시간 동안 교반되어(stirred) 이뤄지며, 에탄올/H2O층은 제거되고, 그리고 나서 잔류하는 헥산 용액은 3미크론(㎛) 필터를 통해 걸러지고 그 후에 1㎛ 필터를 통해 걸러진다. 상기 용액에 헥산(3957그램, 45.92몰)이 첨가된다.
9-안트라센 카르복시-에틸 트리메톡시시레인을 함유하는 SOG 흡수 합성
질소 인렛(inlet), 드라이 아이스 응축기 및 기계적 교반기를 갖춘 6L 자켓 반응기에 5000ml의 헥산, 720ml의 에탄올, 65ml의 물 및 10% 중량의 테트라부틸암모니움 클로라이드 히드레이트 수용액 120그램이 채워진다. 상기 혼합물은 25℃에서 교반하는 0.5시간 동안 평형이 유지된다. 트리클로로시레인(377.4그램, 2.78몰), 메틸트리클로로시레인(277.7그램, 1.86몰) 및 9-안트라센 카르복시-메틸 트리에톡시시레인(203.8그램, 0.46몰)의 혼합물이 70분 동안 연동(peristaltic) 펌프를 사용하는 상기 반응기에 첨가된다. 상기 시레인(silane)과 흡수 화합물의 첨가 완료와 동시에, 헥산은 10분 동안 라인을 통하여 펌프에 의해 주입된다. 이 반응은 2.3시간 동안 교반되어(stirred) 이뤄지며, 에탄올/H2O 층은 제거되고, 그리고 나서 잔류하는 헥산 용액은 3미크론(㎛) 필터를 통해 걸러지고 그 후에 1㎛ 필터를 통해 걸러진다. 상기 용액에 헥산(3957그램, 45.92몰)이 첨가된다.
9-안트라센 카르복시-프로필 트리메톡시시레인을 함유하는 SOG 흡수 합성
질소 인렛(inlet), 드라이 아이스 응축기 및 기계적 교반기를 갖춘 6L 자켓 반응기에 5000ml의 헥산, 720ml의 에탄올, 65ml의 물 및 10% 중량의 테트라부틸암모니움 클로라이드 히드레이트 수용액 120그램이 채워진다. 상기 혼합물은 25℃에서 교반하는 0.5시간 동안 평형이 유지된다. 트리클로로시레인(377.4그램, 2.78몰), 메틸트리클로로시레인(277.7그램, 1.86몰) 및 9-안트라센 카르복시-메틸 트리에톡시시레인(203.8그램, 0.46몰)의 혼합물이 70분 동안 연동(peristaltic) 펌프를 사용하는 상기 반응기에 첨가된다. 상기 시레인(silane)과 흡수 화합물의 첨가 완료와 동시에, 헥산은 10분 동안 라인을 통하여 펌프에 의해 주입된다. 이 반응은 2.3시간 동안 교반되어(stirred) 이뤄지며, 에탄올/H2O 층은 제거되고, 그리고 나서 잔류하는 헥산 용액은 3미크론(㎛) 필터를 통해 걸러지고 그 후에 1㎛ 필터를 통해 걸러진다. 상기 용액에 헥산(3957그램, 45.92몰)이 첨가된다.
9-안트라센 카르복시-부틸 트리프로폭시시레인을 함유하는 SOG 흡수 합성
질소 인렛(inlet), 드라이 아이스 응축기 및 기계적 교반기를 갖춘 6L 자켓 반응기에 5000ml의 헥산, 720ml의 에탄올, 65ml의 물 및 10% 중량의 테트라부틸암모니움 클로라이드 히드레이트 수용액 120그램이 채워진다. 상기 혼합물은 25℃에서 교반하는 0.5시간 동안 평형이 유지된다. 트리클로로시레인(377.4그램, 2.78몰), 메틸트리클로로시레인(277.7그램, 1.86몰) 및 9-안트라센 카르복시-메틸 트리에톡시시레인(203.8그램, 0.46몰)의 혼합물이 70분 동안 연동(peristaltic) 펌프를 사용하는 상기 반응기에 첨가된다. 상기 시레인(silane)과 흡수 화합물의 첨가 완료와 동시에, 헥산은 10분 동안 라인을 통하여 펌프에 의해 주입된다. 이 반응은 2.3시간 동안 교반되어(stirred) 이뤄지며, 에탄올/H2O 층은 제거되고, 그리고 나서 잔류하는 헥산 용액은 3미크론(㎛) 필터를 통해 걸러지고 그 후에 1㎛ 필터를 통해 걸러진다. 상기 용액에 헥산(3957그램, 45.92몰)이 첨가된다.
실시예 19
9-안트라센 카르복시-메틸 트리에톡시시레인을 함유하는 SOG 흡수 합성
5 리터 플라스크 안에, 508.8그램(3.10몰)의 트리에톡시시레인(HTEOS), 135.8그램(0.31몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인 및 508.8그램(8.77몰)의 아세톤이 마그네틱 교반에 의해 혼합되고, 20℃ 이하로 냉각된다. 508.8그램(8.77몰)의 아세톤, 46.69그램(2.59몰 H2O, 0.0009몰 HNO3)의 0.02N 질산 및 37.03그램(2.06몰)의 탈이온수의 혼합물이, 20℃ 이하의 온도를 유지하면서, 45분 동안 깔때기를 통해 5L 플라스크 안의 혼합물에 천천히 첨가된다. 상기 용액은 8시간 동안 환류된다. 상기 용액에, 4631그램(30.67몰)의 에틸 락테이트가 첨가된다.
9-안트라센 카르복시-프로필 트리에톡시시레인을 함유하는 SOG 흡수 합성
5 리터 플라스크 안에, 508.8그램(3.10몰)의 트리에톡시시레인(HTEOS), 135.8그램(0.31몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인 및 508.8그램(8.77몰)의 아세톤이 마그네틱 교반에 의해 혼합되고, 20℃ 이하로 냉각된다. 508.8그램(8.77몰)의 아세톤, 46.69그램(2.59몰 H2O, 0.0009몰 HNO3)의 0.02N 질산 및 37.03그램(2.06몰)의 탈이온수의 혼합물이, 20℃ 이하의 온도를 유지하면서, 45분 동안 깔때기를 통해 5L 플라스크 안의 혼합물에 천천히 첨가된다. 상기 용액은 8시간 동안 환류된다. 상기 용액에, 4631그램(30.67몰)의 에틸 락테이트가 첨가된다.
9-안트라센 카르복시-에틸 트리메톡시시레인을 함유하는 SOG 흡수 합성
5 리터 플라스크 안에, 508.8그램(3.10몰)의 트리에톡시시레인(HTEOS), 135.8그램(0.31몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인 및 508.8그램(8.77몰)의 아세톤이 마그네틱 교반에 의해 혼합되고, 20℃ 이하로 냉각된다. 508.8그램(8.77몰)의 아세톤, 46.69그램(2.59몰 H2O, 0.0009몰 HNO3)의 0.02N 질산 및 37.03그램(2.06몰)의 탈이온수의 혼합물이, 20℃ 이하의 온도를 유지하면서, 45분 동안 깔때기를 통해 5L 플라스크 안의 혼합물에 천천히 첨가된다. 상기 용액은 8시간 동안 환류된다. 상기 용액에, 4631그램(30.67몰)의 에틸 락테이트가 첨가된다.
9-안트라센 카르복시-프로필 트리부톡시시레인을 함유하는 SOG 흡수 합성
5 리터 플라스크 안에, 508.8그램(3.10몰)의 트리에톡시시레인(HTEOS), 135.8그램(0.31몰)의 9-안트라센 카르복시-메틸 트리에톡시시레인 및 508.8그램(8.77몰)의 아세톤이 마그네틱 교반에 의해 혼합되고, 20℃ 이하로 냉각된다. 508.8그램(8.77몰)의 아세톤, 46.69그램(2.59몰 H2O, 0.0009몰 HNO3)의 0.02N 질산 및 37.03그램(2.06몰)의 탈이온수의 혼합물이, 20℃ 이하의 온도를 유지하면서, 45분 동안 깔때기를 통해 5L 플라스크 안의 혼합물에 천천히 첨가된다. 상기 용액은 8시간 동안 환류된다. 상기 용액에, 4631그램(30.67몰)의 에틸 락테이트가 첨가된다.
실시예 20
페닐트리에톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 104그램(0.432몰)의 페닐트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수가 첨가되었다. 두께=1727Å, n=1.957, k=0.384.
페닐트리메톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 104그램(0.432몰)의 페닐트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수가 첨가되었다.
페닐트리프로폭시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 104그램(0.432몰)의 페닐트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수가 첨가되었다.
페닐트리부톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 123그램(0.593몰)의 TEOS, 104그램(0.432몰)의 페닐트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수가 첨가되었다.
실시예 21
페닐트리에톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 93그램(0.448몰)의 TEOS, 37그램(0.209몰)의 MTEOS, 100그램(0.418몰)의 페닐트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수가 첨가되었다. 두께=1325Å, n=1.923, k=0.364.
페닐트리메톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 93그램(0.448몰)의 TEOS, 37그램(0.209몰)의 MTEOS, 100그램(0.418몰)의 페닐트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수가 첨가되었다.
페닐트리프로폭시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 93그램(0.448몰)의 TEOS, 37그램(0.209몰)의 MTEOS, 100그램(0.418몰)의 페닐트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수가 첨가되었다.
실시예 22
페닐트리에톡시시레인을 함유하는 SOG 흡수 합성
1 리터 플라스크 안에, 297그램(4.798몰)의 2-프로판올, 148그램(2.558몰)의 아세톤, 119그램(0.573몰)의 TEOS, 27그램(0.153몰)의 MTEOS, 74그램(0.306몰)의 페닐트리에톡시시레인, 0.6그램의 0.1M 질산 및 72그램(3.716몰)의 탈이온수가 화합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 88그램(1.422몰)의 2-프로판올, 44그램(0.758몰)의 아세톤, 59그램(1.227몰)의 에탄올, 9.5그램(0.528몰)의 탈이온수가 첨가되었다. 두께=1286Å, n=1.889, k=0.286.
페닐트리메톡시실란을 함유하는 흡수 SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 119그람(0.573몰) TEOS, 27그람(0.153몰)MTEOS, 74그람(0.306몰) 페닐트리에톡시실란, 0.6그람 0.1M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류(reflux)되었다.
상기 용액에, 부탄올(Butanol)의 57그람(0.769몰), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다.
페닐트리프로폭시실란을 함유하는 흡수 SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 119그람(0.573몰) TEOS, 27그람(0.153몰)MTEOS, 74그람(0.306몰) 페닐트리에톡시실란, 0.6그람 0.1M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 부탄올(Butanol)의 57그람(0.769몰), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다.
실시예 23
페닐트리에톡시실란을 함유하는 흡수SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 73그람(0.351몰) TEOS, 45그람(0.251몰)MTEOS, 121그람(0.503몰) 페닐트리에톡시실란, 0.6그람 0.1 M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 부탄올(Butanol)의 57그람(0.769몰), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다. 두께=1047Å, n=1.993, k=0.378.
페닐트리메톡시실란을 함유하는흡수SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 73그람(0.351몰) TEOS, 45그람(0.251몰)MTEOS, 121그람(0.503몰) 페닐트리에톡시실란, 0.6그람 0.1 M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 부탄올(Butanol)의 57그람(0.769몰), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다.
페닐트리프로폭시실란을 함유하는 흡수SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 73그람(0.351몰) TEOS, 45그람(0.251몰)MTEOS, 121그람(0.503몰) 페닐트리에톡시실란, 0.6그람 0.1 M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 부탄올(Butanol)의 57그람(0.769몰), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다.
페닐트리부톡시실란을 함유하는 흡수SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 73그람(0.351몰) TEOS, 45그람(0.251몰)MTEOS, 121그람(0.503몰) 페닐트리에톡시실란, 0.6그람 0.1 M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 부탄올(Butanol)의 57그람(0.769몰), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다.
실시예 24
페닐트리에톡시실란 및 2-하이드록시-4(3-트리에톡시실리프로폭시)-디페닐케톤을 함유하는 흡수 SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 73그람(0.351몰) TEOS, 45그람(0.251몰)MTEOS, 103그람(0.428몰) 페닐트리에톡시실란, 12그람(0.0298몰)2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤, 0.6그람 0.1 M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 부탄올(Butanol)의 57그람(0.769몰), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다.
실시예 25
4-에톡시페닐아조벤젠-4-카르복시-메틸트리에톡시실란을 함유하는 흡수 SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 123그람(0.593몰) TEOS, 77그람(0.432몰)MTEOS, 44.5그람(0.13몰)4-에톡시페닐아조벤젠-4-카르복시-메틸트리에톡시실란, 0.6그람 0.1 M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 부탄올(Butanol)의 57그람(0.769몰), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다. 365nm에서, n=1.499, k=0.162.
4-에톡시페닐아조벤젠-4-카르복시-에틸트리에톡시실란을 함유하는 흡수 SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 123그람(0.593몰) TEOS, 77그람(0.432몰)MTEOS, 44.5그람(0.13몰)4-에톡시페닐아조벤젠-4-카르복시-메틸트리에톡시실란, 0.6그람 0.1 M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 57그람(0.769몰)의 부탄올(Butanol), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다.
4-메톡시페닐아조벤젠-4-카르복시-프로필트리에톡시실란을 함유하는 흡수 SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 123그람(0.593몰) TEOS, 77그람(0.432몰)MTEOS, 44.5그람(0.13몰)4-에톡시페닐아조벤젠-4-카르복시-메틸트리에톡시실란, 0.6그람 0.1 M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 57그람(0.769몰)의 부탄올(Butanol), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다.
4-메톡시페닐아조벤젠-4-카르복시-프로필트리메톡시실란을 함유하는 흡수 SOG의 합성
1-리터 플라스크 내에서 297그람(4.798몰) 2-프로판올, 148그람(2.558몰)아세톤, 123그람(0.593몰) TEOS, 77그람(0.432몰)MTEOS, 44.5그람(0.13몰)4-에톡시페닐아조벤젠-4-카르복시-메틸트리에톡시실란, 0.6그람 0.1 M 질산 및 72그람(3.716몰) 탈이온수가 혼합되었다.
그 플라스크는 4시간동안 환류되었다.
상기 용액에, 57그람(0.769몰)의 부탄올(Butanol), 88그람(1.422 몰)2-프로판올, 44그람(0.758몰)의 아세톤, 59그람(1.227몰)의 에탄올, 9.5그람(0.528몰) 탈이온수가 첨가되었다.
이와 같이, 흡수 화합물을 포함하는 스핀-온 글래스 재료를 제조하기 위한 특정 실시예 및 적용예들이 개시되어 있다.
그러나, 상기한 것들 외에도 많은 변형들이 본 발명의 범위내에서 가능하다는 것은 당업자에게 자명한 것이다.
따라서, 본 발명의 요지(subject matter)는 제한되는 것이 아니고, 첨부된 청구범위내의 것을 모두 포함한다.
더욱이, 발명의 상세한 설명 및 특허청구범위를 해석함에 있어서, 모든 용어는 내용이 일치되는 가능한 넓은 방식으로 해석되어야 한다.
특히, 용어 "포함하다(comprises)" 및 "포함하는(comprising)"은 비-배타적인 방식(non-exclusive manner)으로 원소들, 성분들, 및 단계들을 나타내는 것으로 해석되어야 하며, 인용된 원소들, 성분들, 및 단계들이 언급되지 않은 다른 원소들, 성분들 또는 단계들과 함께 존재하거나, 또는 사용되거나, 또는 결합될 수 있는 것을 나타낸다.

Claims (30)

  1. 실리콘계 화합물과 375㎚미만의 파장에서 광을 흡수하는 편입 가능한 유기 흡수성 화합물을 포함하여 이루어지며,
    상기 실리콘계 화합물 또는 상기 편입가능한 유기 흡수 화합물은 최소하나의 CnH2n+1기 (단 n은 2보다 크다),
    최소하나의 알콕시기, 최소하나의 케톤기 또는 최소하나의 아조기를 포함하는 흡수성 스핀-온 글라스 조성물
  2. 제 1항에 있어서, 상기 범위는 260㎚ 미만의 파장임을 특징으로 하는 조성물
  3. 제 1항에 있어서, 상기 유기 흡수성 화합물은 최소하나의 벤젠고리와, 히드록실기, 아민기, 카복실 산기, 및 알콕시기와 할로겐 원자로 구성되는 그룹에서 선택된 최소하나의 치환체에 실리콘이 결합된 치환된 시릴기로 구성되는 그룹에서 선택된 반응성기를 포함함을 특징으로 하는 조성물
  4. 제 3항에 있어서, 상기 유기흡수성 화합물은 2이상의 벤젠고리를 포함함을 특징으로 하는 조성물
  5. 제 4항에 있어서, 상기 2이상의 벤젠고리는 융착(fused)되어 있음을 특징으로 하는 조성물
  6. 제 3항에 있어서, 상기 유기흡수성 혼합물은 실리콘 알콕시, 실리콘디알콕시, 및 실리콘 트리알콕시를 포함하는 그룹에서 선택된 반응성기를 포함하여 이루어짐을 특징으로 하는 조성물
  7. 제 6항에 있어서, 상기 실리콘 알콕시, 실리콘디알콕시 및 실리콘트리알콕시는 실리콘에톡시, 실리콘디에톡시, 실리콘트리에톡시, 실리콘메톡시, 실리콘디메톡시 및 실리콘 트리메톡시기를 포함함을 특징으로 하는 조성물
  8. 제 3항에 있어서, 상기 반응성기는 벤젠고리에 직접 결합되어 있음을 특징으로 하는 조성물
  9. 제 3항에 있어서, 상기 반응성기는 하이드로카본 브리지를 통해 벤젠고리에 부착되어 있음을 특징으로 하는 조성물
  10. 제 3항에 있어서, 상기 유기 흡수성 혼합물은 안트라 프라브산, 9-안트라센 카복실산, 9-안트라센메탄올, 알리자린, 퀴니자린, 프리무린, 3-히드록시-4(3-트리에톡시실릴 프로폭시)-디페닐케톤, 로졸산, 트리에톡시시릴프로필-1,8-나프탈이미드, 9-안트라센 카복시-알킬트리에톡시실란, 페닐트리에톡시실란, 4-페닐아조페놀, 4-에톡시페닐아조벤젠-4-카복시메틸 트리에톡시실란, 4-메톡시페닐아조벤젠-4-카복시-메틸트리에톡시실란 및 그 혼합물로 구성되는 그룹에서 선택된 흡수성 화합물을 포함함을 특징으로 하는 조성물.
  11. 제 10항에 있어서, 9-안트라센 카복시-알킬 트리 에톡시실란은 9-안트라센 카복시-프로필트리에톡시 실란을 포함함을 특징으로 하는 조성물
  12. 제 10항에 있어서, 상기 유기 흡수성 화합물은 페닐트리에톡시 실란을 포함함을 특징으로 하는 조성물
  13. 제 1항에 있어서, 상기 실리콘계 화합물은 메틸실록산, 메틸실세스퀴옥산, 페닐실록산, 페닐실세스퀴옥산, 메틸페닐실록산, 메틸페닐 실세스퀴옥산, 실라잔 중합체, 실리케이트 중합체 및 그 혼합물을 포함하는 그룹에서 선택된 중합체를 포함함을 특징으로 하는 조성물.
  14. 제 1항에 있어서, 상기 실리콘계 화합물은 하이드로겐 실록산, 하이드로겐 실세스퀴옥산, 오가노 하이드리도 실록산과 오가노 하이드리도실세스 퀴옥산 및 하이드로겐 실세스퀴옥산과 알콕시하이드리도실록산 또는 히드록시하이드리도 실록산의 공중합체로 구성되는 그룹에서 선택된 중합체임을 특징으로 하는 조성물.
  15. 제 14항 에 있어서, 상기 중합체는 일반식(H0∼1.0 SiO1.5∼2.0)χ.(여기서 χ는 8보다큼) 및 (H0∼1.0 SiO1.5∼2.0)n (Ro ∼ 1.0 SiO1.52.0)m (여기서 m은 O보다 크고 n과 m은 0보다 크고 n과 m의 합은 8∼5000이며 R은 C1 ∼ C20 알킬기 또는 C6 ∼ C12 아릴기이다)포함하는 그룹에서 선택된 일반실을 가짐을 특징으로 하는 조성물.
  16. 청구항 1의 흡수성 스핀-온 글라스 조성물과 용제나 용제 혼합물을 포함하여 이루어진 코팅용액.
  17. 제 16항에 있어서, 상기 용액은 약 0.5%∼20% 범위의 흡수성 스핀-온 글라스 조성물임을 특징으로 하는 코팅용액
  18. 제 17항에 있어서, 상기 용제는 에틸락테이트와 프로필렌 글리콜 프로필에테르를 포함하는 그룹에서 선택됨을 특징으로 하는 코팅용액
  19. 알콕시실란과 할로실란을 포함하는 그룹에서 선택된 최소 하나의 실란반응물, 최소하나의 편입가능한 유기 흡수화합물, 산/물 혼합물, 및 최소하나의 용제를 합하여 반응혼합물을 형성하는 단계, 및
    그 반응 혼합물을 환류시켜 흡수성 스핀-온-글라스 조성물을 형성하는 단계를 포함하고,
    상기 흡수성 스핀-온 글라스 조성물은 최소하나의 알킬기, 알콕시기, 케톤기 또는 아조기를 포함하는 흡수성 스핀-온 글라스 조성물 제조방법.
  20. 제 19항에 있어서, 상기 최소 하나의 유기 흡수 화합물은 최소하나의 벤젠 고리와, 히드록산기, 아민기, 카본실산기 및 알콕시기와 할로겐원자를 포함하는 최소하나의 치환체에 실리콘이 결합된 치환된 시릴기를 포함하는 반응성기를 포함함을 특징으로 하는 방법.
  21. 제 19항에 있어서, 상기 하나이상의 유기 흡수화합물은 안트라 프라브산, 9-안트라센 카복실산, 9-안트라센 메탄올, 알리자린, 퀴니자린, 프리무린, 2-히드록시-4(3-트리에톡시시릴프로톡시)-디페닐케톤, 조졸산, 트리에톡시시릴프로필-1,8-나프탈이미드, 9-안트라센 카복시-알킬 트리에톡시실란, 페닐트리에톡시실란, 4-페닐아조페놀, 4-에톡시페닐아조벤젠-4-카복시-메틸트리에톡시실란, 4-메톡시페닐아조벤젠-4-카복시-메틸트리에톡시실란 및 그 혼합물로 구성되는 그룹에서 선택됨을 특징으로 하는 방법.
  22. 제 21항에 있어서, 상기 9-안트라센 카복시-알킬 트리에톡시실란은 9-안트라센 카복시-프로필 트리 에톡시실란을 포함함을 특징으로 하는 방법
  23. 제 19항에 있어서, 상기 최소하나의 실란 반응물은 트리에톡시실란, 테트라에톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 테트라메톡시실란, 메틸트리메톡시실란, 트리메톡시실란, 디메틸디메톡시실란, 페닐트리에톡시실란, 페닐트리메톡시실란, 디페닐디에톡시실란과 디페닐디메톡시실란, 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 페닐트리클로로실란 테트라클로로실란, 클로로트리에톡시실란, 클로로 트리메톡시실란, 클로로메틸트리에톡시실란, 클로로에틸트리에톡시실란, 클로로페닐트리에톡시실란, 클로로메틸트리메톡시실란, 클로로에틸트리메톡시실란 및 클로로페닐트리메톡시실란을 포함함을 특징으로 하는 방법.
  24. 제 23항에 있어서, 상기 최소하나의 실란 반응물은 테트라에톡시실란과 메틸트리에톡시실란을 포함함을 특징으로 하는 방법
  25. 제 19항에 있어서, 상기 산/물 혼합물은 질산/물 혼합물임을 특징으로 하는 방법
  26. 최소하나의 알콕시 실란이나 할로실란, 최소하나의 편입가능한 유기 흡수 화합물, 산/물 혼합물 및 최소하나의 용제를 합하여 반응 혼합물을 형성하는 단계; 및
    그 반응 혼합물을 환류시켜 흡수성 스핀-온 글라스 중합체를 형성하는 단계;를 포함하고
    흡수성 스핀-온 글라스 조성물이 최소하나의 알킬기; 알콕시기, 케톤기 또는 아조기를 포함하는, 흡수성 스핀-온-글라스 중합체를 포함한 코팅 용액 제조방법
  27. 제 26항에 있어서, 나아가 코팅용액을 제조하기 위해 상기 스핀-온 조성물에 하나이상의 희석 용제를 첨가하는 단계를 포함함을 특징으로 하는 방법.
  28. 제 26항에 있어서, 상기 코팅용액은 0.5∼20% 흡수성 스핀-온 글라스 중합체임을 특징으로 하는 방법.
  29. 9-안트라센 카복실산, 클로로프로필트리에톡시실란, 트리에틸라민, 및 용제를 합하여 반응 혼합물을 형성하는 단계;
    그 반응 혼합물을 환류시키는 단계;
    그 환류된 반응 혼합물을 냉각시킨 침전물과 여액을 형성시키는 단계; 및
    상기 여액을 여과하여 액상 9-안트라센 카복실-프로필 트리에톡시실란을 제조하는 단계;를 포함하는 9-안트라센 카복실-프로필 트리에톡시 실란 제조방법.
  30. 제 29항에 있어서, 상기 여액 여과 단계는;
    상기 여액을 로토-증류(roto-evaporating)시키는 단계;
    그 로토-증류된 용액을 실리카겔 컬럼을 통과시키는 단계; 및
    상기 실리카겔 컬럼을 통과시킨 용액을 로토-증류시키는 단계;를 포함함을 특징으로 하는 방법.
KR1020047007485A 2001-11-15 2002-10-31 포토리소그래피를 위한 비반사 스핀-온-글래스 코팅 KR20050042068A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/001,143 US6824879B2 (en) 1999-06-10 2001-11-15 Spin-on-glass anti-reflective coatings for photolithography
US10/001,143 2001-11-15

Publications (1)

Publication Number Publication Date
KR20050042068A true KR20050042068A (ko) 2005-05-04

Family

ID=21694597

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020047007490A KR100897575B1 (ko) 2001-11-15 2002-10-31 포토리소그래피용 스핀-온-글래스 무반사 코팅
KR1020047007485A KR20050042068A (ko) 2001-11-15 2002-10-31 포토리소그래피를 위한 비반사 스핀-온-글래스 코팅

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020047007490A KR100897575B1 (ko) 2001-11-15 2002-10-31 포토리소그래피용 스핀-온-글래스 무반사 코팅

Country Status (8)

Country Link
US (2) US6824879B2 (ko)
EP (1) EP1478683A4 (ko)
JP (3) JP2005509914A (ko)
KR (2) KR100897575B1 (ko)
CN (2) CN1615333A (ko)
AU (1) AU2002336709A1 (ko)
TW (2) TWI324626B (ko)
WO (1) WO2003044079A1 (ko)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
JP2003502449A (ja) 1999-06-10 2003-01-21 ハネウエル・インターナシヨナル・インコーポレーテツド フォトリソグラフィ用スピンオンガラス反射防止コーティング
KR20040066124A (ko) * 2001-11-15 2004-07-23 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 반사 방지 피막
DE10227807A1 (de) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silylalkylester von Anthracen- und Phenanthrencarbonsäuren
EP1619555A4 (en) * 2003-04-17 2007-09-12 Nissan Chemical Ind Ltd POROUS LAYERING FILM AND LAYERING-FILM-EDUCATIONAL COMPOSITION FOR ITS PRODUCTION
KR101156200B1 (ko) * 2003-05-23 2012-06-18 다우 코닝 코포레이션 습식 에치율이 높은 실록산 수지계 반사 방지 피막 조성물
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
KR20060131735A (ko) * 2003-10-07 2006-12-20 허니웰 인터내셔날 인코포레이티드 집적 회로 장치를 위한 코팅 및 하드 마스크 조성물, 생산방법 및 이들의 용도
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP5102428B2 (ja) * 2003-11-25 2012-12-19 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 導波路組成物およびこれから形成された導波路
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7507521B2 (en) * 2004-08-09 2009-03-24 Intel Corporation Silicon based optically degraded arc for lithographic patterning
US7687225B2 (en) * 2004-09-29 2010-03-30 Intel Corporation Optical coatings
EP1819844B1 (en) 2004-12-17 2008-07-09 Dow Corning Corporation Method for forming anti-reflective coating
CN101072813B (zh) * 2004-12-17 2011-06-08 陶氏康宁公司 硅氧烷树脂涂料
JP4995096B2 (ja) * 2004-12-17 2012-08-08 ダウ・コーニング・コーポレイション 反射防止膜の形成方法、レジスト画像の形成方法、パターンの形成方法、電子デバイスの製造方法及びarc組成物
JP4832955B2 (ja) * 2005-06-07 2011-12-07 信越化学工業株式会社 レジスト下層膜材料並びにそれを用いたパターン形成方法
US7862886B2 (en) * 2005-08-12 2011-01-04 Fujifilm Corporation Optical film, antireflection film, processes for producing the same, and polarizing plate and display employing the same
NO325797B1 (no) * 2005-10-14 2008-07-21 Nor X Ind As Lysbeskyttelsesmiddel basert på organisk/uorganisk hybridpolymer, fremgangsmåte til fremstilling og anvendelse av samme
EP1788436B1 (en) * 2005-11-16 2013-01-09 Shin-Etsu Chemical Company, Ltd. Rework process for photoresist film
US8263312B2 (en) 2006-02-13 2012-09-11 Dow Corning Corporation Antireflective coating material
JP2008026500A (ja) * 2006-07-20 2008-02-07 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスおよびそれを用いたフォトマスクの製造方法
US8541532B2 (en) 2007-02-09 2013-09-24 Nippon Shokubai Co., Ltd. Silane compound, production method thereof, and resin composition containing silane compound
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US8524441B2 (en) 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
TWI439494B (zh) * 2007-02-27 2014-06-01 Braggone Oy 產生有機矽氧烷聚合物的方法
JP5470687B2 (ja) * 2007-08-10 2014-04-16 富士通株式会社 シリコン化合物、紫外線吸収体、多層配線装置の製造方法および多層配線装置
US20090111925A1 (en) * 2007-10-31 2009-04-30 Burnham Kikue S Thermal interface materials, methods of production and uses thereof
CN101910255B (zh) 2008-01-08 2013-07-10 道康宁东丽株式会社 倍半硅氧烷树脂
EP2238198A4 (en) * 2008-01-15 2011-11-16 Dow Corning RESINS BASED ON SILSESQUIOXANE
EP2250213B1 (en) * 2008-03-04 2013-08-21 Dow Corning Corporation Silsesquioxane resins
WO2009111121A2 (en) * 2008-03-05 2009-09-11 Dow Corning Corporation Silsesquioxane resins
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
US7955782B2 (en) * 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
US8809482B2 (en) 2008-12-10 2014-08-19 Dow Corning Corporation Silsesquioxane resins
KR20110096155A (ko) * 2008-12-10 2011-08-29 다우 코닝 코포레이션 습식 에칭가능한 반사방지 코팅
KR101266290B1 (ko) * 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US9327487B2 (en) * 2012-08-31 2016-05-03 Xerox Corporation Variable lithographic printing process
JP5700003B2 (ja) * 2012-08-31 2015-04-15 大日本印刷株式会社 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスを用いたフォトマスクの製造方法
EP2932496A4 (en) * 2012-12-13 2016-11-02 Corning Inc GLASS AND METHOD FOR PRODUCING GLASS ARTICLES
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
KR102182360B1 (ko) 2012-12-19 2020-11-24 닛산 가가쿠 가부시키가이샤 환상 디에스테르기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물
JP5742903B2 (ja) * 2013-09-24 2015-07-01 大日本印刷株式会社 フォトマスクブランクス
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
CN106132688B (zh) 2014-01-27 2020-07-14 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法
TWI575566B (zh) 2014-02-24 2017-03-21 東京威力科創股份有限公司 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
JP2017518954A (ja) 2014-04-09 2017-07-13 コーニング インコーポレイテッド デバイスで改質された基体物品、およびそれを製造する方法
JP6196194B2 (ja) 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
JP5979268B2 (ja) * 2015-03-06 2016-08-24 大日本印刷株式会社 フォトマスクブランクス
TWI593753B (zh) * 2015-03-11 2017-08-01 Taimide Tech Incoporation Polyimide film and method of forming a radial circuit board from the film
JP6803842B2 (ja) 2015-04-13 2020-12-23 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング
CN107635769B (zh) 2015-05-19 2020-09-15 康宁股份有限公司 使片材与载体粘结的制品和方法
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
RU2626105C1 (ru) * 2016-04-11 2017-07-21 Акционерное Общество "Саратовский институт стекла" Способ получения просветляющего золь-гель покрытия на основе диоксида кремния
TWI715765B (zh) * 2016-04-28 2021-01-11 日商住友化學股份有限公司 組成物
WO2017197288A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of a photo agent
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JP6252623B2 (ja) * 2016-05-20 2017-12-27 大日本印刷株式会社 フォトマスクブランクス
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TWI613167B (zh) * 2016-11-18 2018-02-01 宏益玻璃科技股份有限公司 一種抗眩光強化抗菌及抗指紋之玻璃面板製作方法
JP6895317B2 (ja) * 2017-05-24 2021-06-30 信越化学工業株式会社 多環式芳香族基片末端ポリオルガノシロキサンおよび組成物
WO2019036710A1 (en) 2017-08-18 2019-02-21 Corning Incorporated TEMPORARY BINDING USING POLYCATIONIC POLYMERS
US10748757B2 (en) * 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
JP7277700B2 (ja) * 2018-01-15 2023-05-19 セントラル硝子株式会社 撥水性保護膜形成用薬液、及びウェハの表面処理方法
US11500290B2 (en) 2018-11-13 2022-11-15 International Business Machines Corporation Adhesion promoters
JP7307005B2 (ja) 2019-04-26 2023-07-11 信越化学工業株式会社 硬化触媒の拡散距離を測定する方法
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN111362587B (zh) * 2020-04-28 2022-05-24 东莞南玻太阳能玻璃有限公司 一种高硬度防潮增透太阳能玻璃及其制备方法
CN115404005B (zh) * 2022-09-01 2024-01-05 北京星驰恒动科技发展有限公司 一种耐高温空间消杂光涂料及其制备方法和应用

Family Cites Families (477)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3547766A (en) 1966-11-25 1970-12-15 Du Pont Laminated article
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (ko) * 1972-07-11 1976-07-28
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US4107133A (en) 1974-01-14 1978-08-15 Dainippon Ink & Chemicals, Inc. Colored polyethylene molding compositions
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
DE2720559A1 (de) 1977-05-07 1978-11-09 Basf Ag Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
US4302503A (en) 1978-05-17 1981-11-24 Libbey-Owens-Ford Company Architectural spandrel
JPS5563335A (en) 1978-11-07 1980-05-13 Yoshiyuki Kitajima Cooling method
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
US4590117A (en) * 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
DE3561155D1 (ko) 1984-02-10 1988-01-21 Ciba-Geigy Ag
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS61274497A (ja) 1985-05-29 1986-12-04 Furuno Electric Co Ltd 送受波器
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
DE3684745D1 (de) 1985-08-29 1992-05-14 Du Pont Photopolymerisierbare zusammensetzung mit dizyklopentylacrylat oder methacrylat enthaltenden acrylcopolymeren.
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
CA1325354C (en) 1985-12-09 1993-12-21 Yasushi Umeda Photosensitive resin base printing material
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
JPS63137437A (ja) 1986-11-28 1988-06-09 Matsushita Electric Works Ltd 半導体チツプの樹脂封止法
JPS63149949A (ja) 1986-12-12 1988-06-22 Fujitsu Ltd アダプティブ半固定等化器
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
JPS6428032A (en) 1987-07-22 1989-01-30 Nissan Motor Select lever control device for vehicle automatic transmission
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
WO1989004004A1 (en) 1987-10-24 1989-05-05 Ito Optical Industrial Co., Ltd. Processing solution for preventing reflection of optical parts and process for preventing reflection using the solution
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
JP2603291B2 (ja) 1988-04-19 1997-04-23 東芝シリコーン株式会社 第4級アンモニウム基含有シリコーン樹脂微粉末
US4914143A (en) * 1988-04-25 1990-04-03 General Electric Company Flexible silicone coatings for plastic substrates and methods for making thermoformable, abrasion-resistant thermoplastic articles
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
DE58906867D1 (de) 1988-05-31 1994-03-17 Ciba Geigy Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen.
JPH02145511A (ja) 1988-08-22 1990-06-05 A Baron Nevley 紫外線吸収眼用軟化剤
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
AU4349489A (en) 1988-09-28 1990-04-18 Brewer Science, Inc. Multifunctional photolithographic compositions
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
EP0388503B1 (en) 1989-02-03 1993-09-01 Mitsubishi Materials Corporation Method for pulling single crystals
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
EP0388343B1 (en) 1989-03-14 1996-07-17 International Business Machines Corporation Chemically amplified photoresist
US5306736A (en) 1989-05-16 1994-04-26 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
US5190804A (en) * 1989-11-27 1993-03-02 Toshiba Silicone Co., Ltd. Coated inorganic hardened product
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
EP0458651B1 (en) 1990-05-25 1994-03-09 Matsushita Electric Industrial Co., Ltd. Photosensitive materials comprising organic photoconductive substances in a binder polymer having aromatic rings, OH groups and bromine joined at the aromatic ring or rings
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
JP2517785B2 (ja) 1990-08-02 1996-07-24 信越化学工業株式会社 含浸性防水剤組成物
US5082758A (en) 1990-08-31 1992-01-21 Xerox Corporation Toner and developer compositions with charge enhancing additives
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
DE69130280T2 (de) 1990-10-16 1999-04-08 Mitsui Chemicals Inc Verwendung eines hochlichtdurchlässigen staubschützenden Films, Verfahren zu dessen Herstellung und staubschützendes Element
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (ja) * 1990-11-15 1998-02-16 信越化学工業株式会社 ポリオルガノシロキサン樹脂の製造方法
ATE127870T1 (de) 1990-12-13 1995-09-15 Ciba Geigy Ag Wässrige dispersion schwerlöslicher uv-absorber.
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
JPH0597478A (ja) * 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd 撥水性ガラス物品およびその製造方法
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US5518818A (en) 1992-02-05 1996-05-21 Toray Industries, Inc. Primer and multilayer coated article
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (ja) 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
WO1994006870A1 (en) 1992-09-24 1994-03-31 Kansai Paint Co., Ltd. Finish coating composition and method of forming its coating film
CA2107715A1 (en) 1992-10-06 1994-04-07 Hiroyuki Naito Solvent-free organosiloxane composition and its use
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
US5349002A (en) * 1992-12-02 1994-09-20 General Electric Company Heat curable primerless silicone hardcoat compositions, and thermoplastic composites
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
JP3064753B2 (ja) 1993-08-10 2000-07-12 東亞合成株式会社 抗菌性熱可塑性樹脂成形体の製造方法
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5460911A (en) 1994-03-14 1995-10-24 Xerox Corporation Electrophotographic imaging member free of reflection interference
DE69511141T2 (de) 1994-03-28 2000-04-20 Wako Pure Chem Ind Ltd Resistzusammensetzung für tiefe Ultraviolettbelichtung
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US5705116A (en) 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
AU3460095A (en) 1994-06-30 1996-01-25 Hitachi Chemical Company, Ltd. Material for forming silica-base coated insulation film, process for producing the material, silica-base insulation film, semiconductor device, and process for producing the device
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
JP3824334B2 (ja) * 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US6063714A (en) * 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
EP0880715A1 (en) 1996-02-13 1998-12-02 Sola International Inc. Color-neutral uv blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
JP3694703B2 (ja) * 1996-04-25 2005-09-14 Azエレクトロニックマテリアルズ株式会社 反射防止コーティング用組成物
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
EP0917550A4 (en) 1996-10-25 1999-12-29 Blue River International L L C COMPOSITIONS FOR SILICON COATINGS AND USES THEREOF
DE69716218T2 (de) * 1996-11-20 2003-04-17 Jsr Corp Härtbare Harzzusammensetzung und gehärtete Produkte
JPH10161315A (ja) 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
CN1070903C (zh) 1996-12-13 2001-09-12 松下电工株式会社 硅氧烷乳液涂料组合物及其制备方法
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
CA2289562C (en) 1997-05-13 2006-08-15 Kirin Beer Kabushiki Kaisha Glassy-film-forming coating agent, and coating method and system using the same
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
ES2170493T5 (es) 1998-01-22 2007-11-01 KETTENBACH GMBH &amp; CO. KG Relleno para protesis y procedimiento para su produccion.
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
US6565813B1 (en) 1998-02-04 2003-05-20 Merck & Co., Inc. Virtual wells for use in high throughput screening assays
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
WO1999052986A1 (fr) * 1998-04-10 1999-10-21 Matsushita Electric Works, Ltd. Procede permettant de former un film de revetement inorganique hydrophile et composition de revetement inorganique
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
WO1999056178A1 (en) 1998-04-29 1999-11-04 Brewer Science, Inc. Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6277750B1 (en) 1998-07-10 2001-08-21 Clariant Finance (Bvi) Limited Composition for bottom reflection preventive film and novel polymeric dye for use in the same
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6210856B1 (en) 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
EP1031579B1 (en) 1999-02-26 2005-07-27 Showa Denko Kabushiki Kaisha Photopolymerization initiator for color filter, photosensitive coloring composition, and color filter
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
JP2003502449A (ja) * 1999-06-10 2003-01-21 ハネウエル・インターナシヨナル・インコーポレーテツド フォトリソグラフィ用スピンオンガラス反射防止コーティング
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
CA2380403C (en) 1999-07-30 2009-12-08 Ppg Industries Ohio, Inc. Cured coatings having improved scratch resistance, coated substrates and methods related thereto
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6649083B1 (en) * 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
WO2001020641A1 (en) 1999-09-13 2001-03-22 Koninklijke Philips Electronics N.V. Electric lamp
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
EP1257367A4 (en) 2000-02-08 2005-01-26 Adsil Lc METHOD FOR INCREASING THE THERMAL EFFICIENCY THROUGH THE USE OF SILANE COATINGS AND COATED ARTICLES
WO2001060961A1 (en) 2000-02-14 2001-08-23 The Procter & Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
CA2400157A1 (en) 2000-02-22 2001-08-30 Ram W. Sabnis Organic polymeric antireflective coatings deposited by chemical vapor deposition
DE60138327D1 (de) * 2000-02-28 2009-05-28 Jsr Corp Zusammensetzung zur Filmerzeugung, Verfahren zur Filmerzeugung und Filme auf Basis von Siliciumoxid
WO2001064804A1 (en) * 2000-02-28 2001-09-07 Adsil, Lc Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
JP2003529654A (ja) 2000-03-30 2003-10-07 ゼネラル・エレクトリック・カンパニイ 透明な難燃性ポリ(アリーレンエーテル)ブレンド
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
EP1146099B1 (en) 2000-04-13 2006-03-22 JSR Corporation Coating composition, method for producing the same, cured product and coating film
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
CA2417740A1 (en) 2000-08-18 2002-02-28 The Procter & Gamble Company Compositions and methods for odor and fungal control of protective garments
EP1314193A2 (en) 2000-08-21 2003-05-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
JP3772077B2 (ja) 2000-09-27 2006-05-10 株式会社東芝 パターン形成方法
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
EP1197511A1 (en) * 2000-10-10 2002-04-17 Shipley Company LLC Antireflective composition
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
CN1245664C (zh) * 2000-12-11 2006-03-15 捷时雅株式会社 放射线敏感性折射率变化性组合物以及折射率变化法
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
NZ526871A (en) 2001-01-25 2006-01-27 Bristol Myers Squibb Co Pharmaceutical dosage forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6713643B2 (en) * 2001-05-24 2004-03-30 Board Of Trustees Of Michigan State University Ultrastable organofunctional microporous to mesoporous silica compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US20030123302A1 (en) 2001-06-05 2003-07-03 Thompson Robert F. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
JP2003025510A (ja) 2001-07-16 2003-01-29 Shin Etsu Chem Co Ltd 反射防止性及び耐擦傷性を有する多層積層体
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
DE10151264A1 (de) * 2001-10-17 2003-04-30 Degussa Aminoalkylalkoxysiloxanhaltige Gemische, deren Herstellung und deren Verwendung
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
KR20040066124A (ko) 2001-11-15 2004-07-23 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 반사 방지 피막
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US20030214042A1 (en) 2002-02-01 2003-11-20 Seiko Epson Corporation Circuit substrate, electro-optical device and electronic appliances
MXPA04007398A (es) 2002-02-05 2005-06-20 Gencell Corp Componentes de celda de combustible metalico recubiertos con silano y metodos de fabricacion.
WO2003077029A1 (en) 2002-03-04 2003-09-18 Shipley Company, Llc Negative photoresists for short wavelength imaging
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
JP2004212946A (ja) 2002-10-21 2004-07-29 Rohm & Haas Electronic Materials Llc Siポリマー含有フォトレジスト
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
KR100857967B1 (ko) 2003-06-03 2008-09-10 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴형성 방법
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品

Also Published As

Publication number Publication date
CN1615333A (zh) 2005-05-11
TW200302259A (en) 2003-08-01
US20140227538A1 (en) 2014-08-14
JP2009282524A (ja) 2009-12-03
TWI324626B (en) 2010-05-11
US6824879B2 (en) 2004-11-30
JP2005509913A (ja) 2005-04-14
US20020095018A1 (en) 2002-07-18
KR100897575B1 (ko) 2009-05-15
KR20050042069A (ko) 2005-05-04
WO2003044079A1 (en) 2003-05-30
US9069133B2 (en) 2015-06-30
CN1615332A (zh) 2005-05-11
EP1478683A1 (en) 2004-11-24
TWI308585B (en) 2009-04-11
EP1478683A4 (en) 2005-06-15
JP4703745B2 (ja) 2011-06-15
JP2005509914A (ja) 2005-04-14
AU2002336709A1 (en) 2003-06-10
TW200306342A (en) 2003-11-16

Similar Documents

Publication Publication Date Title
KR20050042068A (ko) 포토리소그래피를 위한 비반사 스핀-온-글래스 코팅
EP1190277B1 (en) Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6506497B1 (en) Spin-on-glass anti-reflective coatings for photolithography
KR100804870B1 (ko) 포토리소그래피에 사용되는 스핀-온 유리 반사방지 코팅용흡수성 화합물
US8344088B2 (en) Spin-on anti-reflective coatings for photolithography
JP2005512309A6 (ja) フォトリソグラフィー用スピンオン反射防止膜
KR100917241B1 (ko) 포토리소그래피용 스핀-온 무반사 코팅

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid