KR20050042069A - 포토리소그래피용 스핀-온-글래스 무반사 코팅 - Google Patents

포토리소그래피용 스핀-온-글래스 무반사 코팅 Download PDF

Info

Publication number
KR20050042069A
KR20050042069A KR1020047007490A KR20047007490A KR20050042069A KR 20050042069 A KR20050042069 A KR 20050042069A KR 1020047007490 A KR1020047007490 A KR 1020047007490A KR 20047007490 A KR20047007490 A KR 20047007490A KR 20050042069 A KR20050042069 A KR 20050042069A
Authority
KR
South Korea
Prior art keywords
grams
spin
moles
anthracene
absorbent
Prior art date
Application number
KR1020047007490A
Other languages
English (en)
Other versions
KR100897575B1 (ko
Inventor
발드윈데레사
학커니겔
케네디조세프
스피어리차드
Original Assignee
허니웰 인터내셔날 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 허니웰 인터내셔날 인코포레이티드 filed Critical 허니웰 인터내셔날 인코포레이티드
Publication of KR20050042069A publication Critical patent/KR20050042069A/ko
Application granted granted Critical
Publication of KR100897575B1 publication Critical patent/KR100897575B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/006Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character
    • C03C17/008Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character comprising a mixture of materials covered by two or more of the groups C03C17/02, C03C17/06, C03C17/22 and C03C17/28
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/22Absorbing filters
    • G02B5/23Photochromic filters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Geochemistry & Mineralogy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Composite Materials (AREA)
  • Optics & Photonics (AREA)
  • Silicon Polymers (AREA)
  • Paints Or Removers (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Surface Treatment Of Optical Elements (AREA)

Abstract

자외선 포토리소그래피용 무반사 코팅물질들은 스핀-온-글래스 물질들에 혼합된 적어도 하나의 유기 광 흡수 화합물을 포함한다. 적절한 흡수 화합물들은 포토리소그래피에 사용될 수 있는 365nm, 248nm, 193nm 및 157nm 와 같은 파장들 주변의 파장대역에 대해 강하게 흡수한다. 흡수 스핀-온-글래스 물질들을 만드는 방법은 스핀-온-글래스 물질들의 합성중에 적어도 하나의 유기 흡수 화합물을 알콕시실란 또는 할로실란 반응물과 결합시키는 단계를 포함한다.

Description

포토리소그래피용 스핀-온-글래스 무반사 코팅{SPIN-ON-GLASS ANTI-REFLECTIVE COATINGS FOR PHOTOLITHOGRAPHY}
이 출원은 참조문헌으로서 전체적으로 포함된 2000년 10월 27일에 출원된 미국 특허출원번호 09/698,883 및 2000년 1월 26일에 출원된 미국특허출원번호 09/491,166인 케네디 등에 허여된 미국특허6,268,457의 부분 계속출원이다.
본 발명은 대체적으로 스핀-온-글래스 물질(spin-on-glass material)에 관한 것이며 보다 상세하게는 포토리소그래피(photolithography)에서 무반사 층들(anti-reflective layers)에 사용되는 광 흡수 스핀온 글래스 물질들(light-absorbing spin-on glass materials)과 상기 물질들을 제조하는 방법에 관한 것이다.
더 빠른 성능을 위한 요구조건들을 만족시키기 위하여, 집적회로장치 외형의 고유 크기들은 감소되기를 계속해 왔다. 더 작은 외형크기를 갖는 장치들의 생산은 반도체 제조에서 통상적으로 사용되는 많은 공정에 있어 새로운 과제를 가져왔다. 이들 제조공정들중 가장 중요한 것중 하나가 포토리소그래피(photolithography)이다.
포토리소그래피에 만들어지는 패턴들에서의 라인폭의 변동(linewidth variations)이 반도체 웨이퍼상의 기저층(underlyiing layer)에서의 빛 반사로부터 오는 광 간섭(opticla interference)에 의해 일어난다는 것이 오랫동안 인식되어오고 있다. 기저층의 토포그래피(topography)로 인한 포토레지스트 두께(photoresist thickness)에서의 변동이 또한 라인폭 변동을 가져온다. 포토레지스트 층 아래에 사용되는 무반사 코팅들(anti-reflective coatings)(ARC)은 조사되는 빔의 반사로부터 오는 간섭을 방지하기 위하여 사용되어 왔다. 또한, 포토레지스트 두께가 보다 균일하므로, 무반사 코팅들은 단계들에 걸쳐 라인폭 변동을 향상시키는 것을 도와주면서, 부분적으로 웨이퍼 토포그래피(water topography)를 평탄화한다.
유기 폴리머 필름들(organic polymer films), 특히 통상적으로 포토레지스트를 감광하기 위해 사용되는 i-라인(i-line)(365nm) 및 g-라인(g-line)(436nm) 파장의 빛과 최근 사용되는 248nm 파장의 빛을 흡수하는 필름들이 무반사 코팅들로서 적용되어오고 있다. 그러나, 유기 ARC가 유기 포토레지스트와 많은 화학적 속성을 공유한다는 사실이 유용한 공정 순서들을 제한한다. 더 나아가 ARC는 포토레지스트 층들과 섞일수 있다. 혼합을 피하기 위한 한가지 방법은 예를 들어, 플레임 등(Flaim et al.)에 부여된 미국특허 5,693,691에 설명된 바와 같이, 유기 ARC의 추가적인 첨가제로서 열경화성 바인더(thermosetting binder)를 도입하는 것이다. 아놀드 등에 부여된 미국특허 4,910,122에 설명된 바와 같이, 선택적으로 습윤제(wetting agent), 접착 촉매(adhesion promoter), 방부제(preservatives), 및 가소제(plasticizer)와 같은 추가적인 첨가제들 뿐만 아니라 염료도 선택적으로는 유기 ARC에 포함될 수 있다.
실리콘 옥시나이트라이드(silicon oxynitride)는 무반사 코팅으로 사용되어 온 다른 하나의 물질이다. 그러나, 실리톤 옥시나이트라이드는 흡수라기 보다는 파괴적인 간섭과정에 의해 ACR로서 작용하며, 이는 옥시나이트라이드 두께에 대한 매우 엄격한 조절이 필요하며, 이러한 물질이 매우 변동 가능한 토포그래피에 대해 ARC로서 잘 작용하지 않을 수도 있다는 것을 의미한다. 더 나아가, 포토레지스트 층들은 일반적으로 스핀 코터(spin coater)에 의해 부착되는 반면, 실리콘 옥시나이트라이드는 일반적으로 화학적 증착(chemical vapor deposition)에 의해 적층된다. 추가적인 화학 증착 공정은 처리 복잡성이 증가한다.
무반사 층으로 사용되는 다른 종류의 물질은 염료(dye)를 함유하는 스핀-온-글래스 조성물들(spin-on-glass(SOG) compositions)이다. 야우 등(Yau et al.)에 부여된 미국특허 4,587,138은 중량비 약 1%로 스핀-온-글래스와 혼합되는 베이직 엘로우 #11(basic yaellow #11)과 같은 염료를 개시한다. 올맨 등(allman et al.)에 부여된 미국특허 5,100,503은 Ti02, Cr207, MoO4, MnO4 또는 ScO4 와 같은 무기염료 및 접착촉매(adhesion promoter)를 함유하는 교차 결합된 폴리오가노실로산(cross-linked polyorganosiloxane)를 개시한다. 올맨은 스핀-온-글래스 조성물들이 평탄화 층으로서 작용한다는 것을 추가적으로 알려준다. 그러나, 지금까지 개시된 스핀-온-글래스, 염료 조합물은 작은 외형 크기를 갖는 장치들을 생산하기 위해 사용되고 있는 강한 자외선, 특히 248 및 193 nm 광원에 대한 노출에는 최적이 아니다. 더욱이, 모든 염료들이 쉽게 임의의 스핀-온-글래스 조성물에 포함될 수 있는 것은 아니다.
따라서, 자외선 스펙트럼 영역에서 강하고 균일하게 흡수하는 흡수 스핀-온-글래스 무반사 코팅 및 리소그래피 물질((absorbing spin-on-glass anti-reflective coating lithography material)과 스핀-온-글래스 무반사 코팅을 생산하는 방법이 바람직할 것이다. ARC 층이 포토레지스터 현상액을 통과시키지 않는 것이 또한 바람직할 것이다.
도 1a-도 1f는 스핀-온-글래스 조성물에 포함된 흡수 화합물의 화학식을 나타낸다.
도 2a-도 2h는 포토리소그래피 공정에서 무반사 코팅 층들로서의 흡수 스핀- 온 조성물들의 용도를 나타낸다.
자외선 포토리소그래피용 무반사 코팅 물질은 스핀-온-글래스(spin-on-glass, SOG) 물질에 혼합된 하나 또는 그 이상의 유기 흡수 화합물을 포함한다. 스핀-온-글래스 물질은 메틸실록산, 메틸실세스퀴녹산, 페닐실록산, 페닐실세스퀴녹산, 메틸페닐실록산, 메틸페닐실세스퀴녹산, 실리케이트 폴리머 및 이의 혼합물과 같은 실리콘계 화합물을 포함한다. 본 명세서에서 사용된 것처럼, "스핀-온-글래스 물질"로써 알려진 그룹은 또한 실록산 폴리머, 일반식 (H0-1.0SiO1.5-2.0)x 의 하이드로겐실록산 폴리머 및 일반식 (HSiO1,5)x (여기서 x는 약 4보다 큼)를 가지는 하이드로겐실세스퀴녹산 폴리머를 포함한다. 또한 하이드로겐실세스퀴녹산 및 알콕시하이드리도실록산 또는 하이드록시하이드리도실록산의 코폴리머를 포함한다. 스핀-온-글래스 물질은 추가적으로 일반식 (H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0 )m의 올가노하이드리도실록산 폴리머 및 일반식 (HSiO1.5)n(RSiO1.5)m의 올가노하이드리도실세스퀴녹산 폴리머를 포함한다(여기서 m은 0보다 크고 n 및 m의 합은 약 4보다 크며 R은 알킬 또는 아릴이다).
스핀-온-글래스 물질에 혼합하기 적합한 흡수 화합물은 파장 375nm 미만, 또는 약 260nm미만에서 강하게 흡수하는 것들이다. 특히, 적합한 흡수 화합물은 248㎚, 193㎚, 157nm와 같은 파장 주위 또는 365㎚와 같은 다른 자외선 파장에서 빛을 흡수하여 포토리소그래피에 사용될 수 있다. 적합한 흡수 화합물의 발색단은 전형적으로 적어도 하나의 벤젠 고리를 가지고, 두개 또는 그 이상의 벤젠 고리가 있는 경우에서, 그러한 고리들은 융합되거나 되지 않는다. 혼합가능한 흡수 화합물은 발색단에 부착된 접근가능한 반응기를 가지고, 이 반응기는 하이드록실기, 아민기, 카르복실산기 및 하나, 둘 또는 세개의 알콕시기 또는 할로겐 원자 치환체에 결합된 실리콘을 갖는 치환된 실릴기를 포함한다. 이 반응기들은 발색단에 직접 결합되거나, 또는 이 반응기들은 탄화수소 브릿지 또는 산소 연결을 통해 발색단에 부착된다. 발색단은 또한 스핀-온 글래스 물질을 제제하기 위해 사용된 것과 유사한 실리콘계 화합물 또는 폴리머들을 포함한다.
적합한 혼합가능 유기 흡수 화합물의 예들은 페닐트리알콕시실란(페닐트리에톡시실란, 페닐트리메톡시실란, 페닐트리프로폭시실란)과 같은 하나의 벤젠 고리를 가진 화합물; 2-하이드록시-4-(3-트리알콕시실릴프로폭시)-디페닐케톤, 3-하이드록시-4-(3-트리알콕시실릴프로폭시)-디페닐케톤, 로솔산, 4-페닐아조페놀, 4-알콕시페닐아조벤젠-4-카르복시-알킬 트리에톡시실란, 프리물린과 같은 융합되지 않는 둘 또는 그 이상의 벤젠 고리를 가진 화합물; 및 트리알콕시실릴프로필-1,8-나프탈이미드, 안트라플라브산, 알리자린, 퀴니자린, 9-안트라센 카르복시-알킬 트리에톡시실란(9-안트라센 카르복시-메틸 트리에톡시실란, 9-안트라센 카르복시-에틸 트리에톡시실란, 9-안트라센 카르복시-부틸 트리에톡시실란, 9-안트라센 카르복시-프로필 트리에톡시실란, 9-안트라센 카르복시-펜틸 트리에톡시실란), 9-안트라센 카르복실산, 9-안트라센 메탄올 및 이의 혼합물과 같은 융합되는 둘 또는 그 이상의 벤젠 고리를 갖는 화합물을 포함한다.
본 발명의 또 다른 양상에 따르면, 흡수 스핀-온-글래스 조성물을 합성하기 위한 방법이 제공되어진다. 스핀-온-글래스 물질은 트리에톡시실란, 테트라에톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 테트라메톡시실란, 메틸트리메톡시실란, 트리메톡시실란, 디메틸디메톡시실란, 페닐트리에톡시실란, 페닐트리메톡시실란, 디페닐디에톡시실란 및 디페닐디메톡시실란과 같은 실란 및 실리콘계 반응물로부터 전형적으로 합성된다. 할로실란, 특히, 클로로실란, 예를 들면, 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 페닐트리클로로실란, 테트라클로로실란, 디클로로실란, 메틸디클로로실란, 디메틸디클로로실란, 클로로트리에톡시실란, 클로로트리메톡시실란, 클로로메틸트리에톡시실란, 클로로에틸트리에톡시실란, 클로로페닐트리에톡시실란, 클로로메틸트리메톡시실란, 클로로에틸트리메톡시실란 및 클로로페닐트리메톡시실란이 또한 실란 반응물로서 사용되어진다.
흡수 스핀-온-글래스 조성물을 제조하기 위한 방법은 하나 또는 그 이상의 알콕시실란, 또는 하나 그 이상의 할로실란, 하나 또는 그 이상의 합체가능한 유기 흡수 화합물, 질산/물 혼합물과 같은 산/물 혼합물 및 하나 또는 그 이상의 용매를 혼합하여 반응 혼합물을 형성하는 단계; 및 상기 반응 혼합물을 환류시켜 흡수 스핀-온-글래스 조성물을 형성하는 단계를 포함한다. 이와 같이 형성된 스핀-온-글래스 조성물을 하나 또는 그 이상의 용매로 희석하여, 다양한 두께의 필름을 제조하는 코팅 용액을 제공한다. 할로실란 및 상 전이 촉매를 사용하는 방법을 포함하는 흡수 스핀-온-글래스 조성물을 제조하는 또 다른 방법도 또한 제공되어진다.
본 발명의 또 다른 양상에서, 흡수 스핀-온 조성물은 실리콘계 화합물 및 약 375nm 미만의 파장에서 빛을 강하게 흡수하는 혼합가능한 유기 흡수 화합물을 함유하여 제조된다. 또한, 적어도 하나의 실리콘계 화합물, 또는 혼합가능한 유기 흡수 화합물이 적어도 하나의 알킬기, 알콕시기, 케톤기 또는 아조기를 함유하는 흡수 스핀-온 조성물도 제공되어진다.
본 발명의 또 다른 양상에 따르면, 9-안트라센 카르복시-알킬 트리알콕시실란을 함유하는 화학적 클래스의 흡수 조성물도 제공되어진다. 9-안트라센 카르복시-알킬 트리알콕시실란의 어느 하나를 합성하는 방법은 9-안트라센 카르복실산, 클로로알킬트리알콕시실란, 트리에틸아민, 및 용매를 혼합하여 반응 혼합물을 형성하는 단계; 상기 반응 혼합물을 환류하는 단계; 상기 환류된 반응 혼합물을 냉각시켜 침전 및 잔류 용액을 형성하는 단계; 상기 잔류 용액을 여과하여 액상 9-안트라센 카르복시-알킬 트리알콕시실란을 제조하는 단계를 포함한다.
자외선 포토리소그래피용 무반사 코팅 물질은 스핀-온-글래스(spin-on-glass, SOG) 물질에 결합된 적어도 하나의 유기 흡수 화합물을 포함한다. 흡수 스핀-온-글래스 조성물은 적당한 용매에 용해되어 코팅 용액을 형성하고 반도체 장치를 제조하는데 있어 여러 물질 층에 도포된다. 흡수 스핀-온-글래스 무반사 코팅은 기존 반도체 제조 공정에 쉽게 통합되도록 설계된다. 통합을 촉진하는 몇가지 특성들은 a) 현상액 저항, b) 표준 포토레지스트 공정 동안의 열 안정성 및 c) 기반 층에 대한 선택적 제거를 포함한다.
의도된(contemplated) 스핀-온-글래스 물질은 메틸실록산, 메틸실세스퀴녹산, 페닐실록산, 페닐실세스퀴녹산, 메틸페닐실록산, 메틸페닐실세스퀴녹산, 실라잔 폴리머, 실리케이트 폴리머 및 이의 혼합물과 같은 실리콘계 화합물을 포함한다. 의도된 실라잔 폴리머는 발색단이 부착될 수 있는 "투명한" 폴리머 골격을 가지는 퍼하이드로실라잔이다. 명세서에 사용되어지는 "스핀-온-글래스 물질"이라는 구는 또한 실록산 폴리머 및 블록폴리머, 일반식 (H0-1.0SiO1.5-2.0)x의 하이드로겐실록산 폴리머 및 일반식 (HSiO1,5)x(여기서 x는 약 4보다 큼)를 가지는 하이드로겐실세스퀴녹산 폴리머를 포함한다. 또한 하이드로겐실세스퀴녹산 및 알콕시하이드리도실록산 또는 하이드록시하이드리도실록산의 코폴리머를 포함한다. 스핀-온-글래스 물질은 추가적으로 일반식 (H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m의 올가노하이드리도실록산 폴리머 및 (HSiO1.5)n(RSiO1.5)m의 올가노하이드리도실세스퀴녹산 폴리머를 포함한다(여기서 m은 0보다 크고 n 및 m의 합은 약 4보다 크며 R은 알킬 또는 아릴이다). 유용한 몇가지의 올가노하이드리도실록산 폴리머는 n 및 m의 합이 약 4 내지 5000이고 R은 C1-C20 알킬기 또는 C6-C12 아릴기이다. 올가노하이드리도실록산 및 올가노하이드리도실세스퀴녹산 폴리머는 선택적으로 스핀-온-폴리머로 나타내어진다. 몇몇 구체적인 예들은 메틸하이드리도실록산, 에틸하이드리도실록산, 프로필하이드리도실록산, t-부틸하이드리도실록산, 페닐하이드리도실록산과 같은 알킬하이드리도실록산; 및 메틸하이드리도실세스퀴녹산, 에틸하이드리도실세스퀴녹산, 프로필하이드리도실세스퀴녹산, t-부틸하이드리도실세스퀴녹산, 페닐하이드리도실세스퀴녹산 및 이들의 조합과 같은 알킬하이드리도실세스퀴녹산을 포함한다.
많은 나프탈렌계 화합물 및 안트라센계 화합물은 248㎚ 및 그 아래에서 중요한 흡수를 가진다. 벤젠계(명세서에서 페닐계와 동일하게 사용됨) 화합물은 200㎚보다 더 짧은 파장에서 중요한 흡수를 가진다. 이러한 나프탈렌계, 안트라센계 및 페닐계 화합물은 염료로서 종종 칭해지나 본 명세서에서는 이 화합물들의 흡수가 스펙트럼의 가시 영역에서의 파장에 한정되지 않기 때문에 흡수 화합물이라는 용어가 사용된다. 그러나, 이러한 흡수 화합물 모두가 ARC 물질로서 사용하기 위한 스핀-온-글래스에 혼합될 수는 없다. 본 발명에 사용하기 적합한 흡수 화합물은 248㎚, 193㎚의 파장, 또는 365㎚와 같은 다른 자외선 파장 주위에 집중된 파장에서 빛을 흡수하며 포토리소그래피에 사용된다.
전형적으로 적합한 흡수 화합물의 발색단은 적어도 하나의 벤젠 고리를 가지고 이때 두개 또는 그 이상의 벤젠 고리가 있고 그 고리들은 융합되거나 되지 않는다. 합체 가능(incorporatable) 흡수 화합물은 발색단에 부착된 접근 가능(accessible) 반응기를 가지고 이 반응기는 하이드록실기, 아민기, 카르복실산기 및 알콕시기 또는 할로겐 원자와 같은 하나, 둘 또는 세개의 이탈기에 결합된 실리콘을 갖는 치환된 실릴기를 포함한다. 에톡시 또는 메톡시기 또는 염소 원자는 종종 이탈기로서 사용된다. 종종 이탈기로 사용되는 이것들을 포함하는 반응기는 t실리콘에톡시, 실리콘디에톡시, 실리콘트리에톡시, 실리콘메톡시, 실리콘디메톡시, 실리콘트리메톡시, 클로로실릴, 디클로로실릴 및 트리클로로실릴기와 같은 실리콘알콕시, 실리콘디알콕시 및 실리콘트리알콕시 화합물을 포함한다. 이 반응기들은 예를 들면 페닐트리에톡시실란에서처럼 직접 발색단에 결합되거나 반응기들은 예를 들면 9-안트라센 카르복시-알킬 트리에톡시실란에서처럼 산소 연결 또는 탄화수소 브릿지를 통해 발색단에 부착된다. 발색단에의 실리콘트리알콕시기의 포함은 특히 흡수 SOG 필름의 안정성을 증가시키는데에 유익하다는 것을 발견하였다. 다른 유용한 흡수 화합물은 아조기, -N=N 및 접근 가능 반응기를 함유하는 것, 특히 365㎚ 주위에서의 흡수가 특정 적용을 원할 때에는 아조기가 연결된 벤젠 고리를 함유하는 것이다.
흡수 스핀-온-글래스 조성물 또는 물질에서, 흡수 화합물은 스핀-온-글래스 매트릭스에 틈새로 합체될 것이다. 선택적으로 흡수 화합물은 스핀-온-글래스 폴리머에 화학적으로 결합될 수 있다. 어떤 의도된 양태에서, 합체될 수 있는 흡수 화합물은 접근 가능 반응기를 통해 스핀-온-글래스 폴리머 골격과 결합을 형성한다.
어떤 의도된 양태에서, 흡수 스핀-온 조성물은 실리콘계 화합물 및 약 375㎚ 미만의 파장의 빛을 흡수하는 합체가능한 유기 흡수 화합물을 포함한다. 다른 의도된 양태에서, 흡수 스핀-온 조성물은 2㎚보다 더 큰 파장범위에 걸쳐 빛을 흡수한다. 다른 의도된 양태에서, 흡수 스핀-온 조성물은 10㎚ 보다 더 큰 파장 범위에 걸쳐 빛을 흡수한다.
또한, 적어도 하나의 실리콘계 화합물 또는 합체가능한 유기 흡수 화합물은 적어도 하나의 알킬기, 알콕시기, 케톤기 또는 아조기를 포함한다.
본 발명에 사용하기 적합한 흡수 화합물의 예들은 안트라플라브산(1), 9-안트라센 카르복실산(2), 9-안트라센 메탄올(3), 9-안트라센 에탄올(4), 9-안트라센 프로판올(5), 9-안트라센 부탄올(6), 알리자린(7), 퀴니자린(8), 프리물린(9), 2-하이드록시-4-(3-트리에톡시실릴프로폭시)-디페닐케톤(10), 2-하이드록시-4-(3-트리메톡시실릴프로폭시)-디페닐케톤(11), 2-하이드록시-4-(3-트리부톡시실릴프로폭시)-디페닐케톤(12), 2-하이드록시-4-(3-트리프로폭시실릴프로폭시)-디페닐케톤 (13), 로졸산(14), 트리에톡시실릴프로필-1,8-나프탈이미드(15), 트리메톡시실릴프로필-1,8-나프탈이미드(16), 트리프로폭시실릴프로필-1,8-나프탈이미드(17), 9-안트라센 카르복시-메틸 트리에톡시실란(18), 9-안트라센 카르복시-에틸 트리에톡시실란(19), 9-안트라센 카르복시-부틸 트리에톡시실란(20), 9-안트라센 카르복시-프로필 트리에톡시실란(21), 9-안트라센 카르복시-메틸 트리메톡시실란(22), 9-안트라센 카르복시-에틸-트리부톡시실란(23), 9-안트라센 카르복시-메틸 트리프로폭시실란(24), 9-안트라센 카르복시-프로필 트리메톡시실란(25), 페닐트리에톡시실란(26), 페닐트리메톡시실란(27), 페닐트리프로폭시실란(28), 4-페닐아조페놀(29), 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란(30), 4-메톡시페닐아조벤젠-4-카르복시-에틸 트리에톡시실란(31), 4-에톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란(32), 4-부톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란(33), 4-메톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란(34), 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란(35), 4-메톡시페닐아조벤젠-4-카르복시-에틸 트리에톡시실란(36), 4-메톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란(37), 및 이들의 조합을 포함한다. 흡수 화합물 1-37의 화학식은 도 1a-1f에 나타내었다. 유익한 결과는 예를 들어, 9-안트라센 카르복시-메틸 트리에톡시실란(18), 9-안트라센 메탄올(3), 2-하이드록시-4-(3-트리에톡시실릴프로폭시)-디페닐케톤(10)과 로졸산(14)의 조합 그리고 페닐트리에톡시실란(26)으로부터 얻어진다. 그러나 이 구체적인 화합물의 리스트는 완전한 리스트는 아니고 의도된 화합물 및 바람직한 화합물은 이 구체적인 화합물을 포함하는 화학적 화합물 클래스로부터 선택될 수 있다는 것을 인식해야만 한다.
이 흡수 화합물의 대부분은 상업적으로 예를 들면 알드리치 케미칼 컴퍼니(Aldrich Chemical Company, Milwaukee, WI)로부터 입수할 수 있다. 9-안트라센 카르복시-알킬 트리알콕시실란은 바로 아래에서 기재된 바와 같이 에스테르화 방법을 사용하여 합성된다. 위의 흡수 화합물에 더하여 페닐계 흡수 화합물은 메톡시벤조산과 같은 알콕시벤조산 화합물; 페닐 고리 또는 메틸페닐, 클로로페닐 및 클로로메틸페닐과 같은 치환된 페닐에 부착된 실리콘계 반응기를 갖는 구조를 포함한다. 구체적인 페닐계 흡수 화합물은 몇가지 예로서만 나타내는 페닐트리메톡시실란, 벤질트리클로로실란, 클로로메틸페닐트리메톡시실란, 페닐트리플루오로실란을 포함한다. 다시 몇가지 예에서만 나타내는 디페닐메틸에톡시실란, 디페닐디에톡시실란 및 디페닐디클로로실란과 같은 하나 또는 두개의 이탈기를 포함하는 디페닐 실란은 또한 적합한 합체 가능 흡수 화합물이다.
9-안트라센 카르복시-알킬 트리알콕시실란 화합물을 합성하는 일반적인 방법은 반응물로서 9-안트라센 카르복실산 및 클로로메틸 트리알콕시실란 화합물을 사용하는 것을 포함한다. 구체적으로, 9-안트라센 카르복시-메틸 트리에톡시실란(18)을 합성하는 방법은 반응물로서 9-안트라센 카르복실산(2) 및 클로로메틸 트리에톡시실란을 사용한다. 반응물들은 미리 4Å 분자체 위에서 건조된 트리에틸아민 및 메틸이소부틸케톤(MIBK)과 혼합되어 반응 혼합물을 형성하고 환류되도록 가열하고 약 6-10시간동안 환류된다. 환류 후, 반응 혼합물은 많은 양의 고체 침전을 남기도록 밤새도록 냉각되어진다. 남아있는 용액은 회전-증발되고, 실리카겔 칼럼을 통과시켜 여과시키고 두번째 회전-증발시켜 어두운 호박색 유성 액체로서 9-안트라센 카르복시-메틸 트리에톡시실란(18)을 제조하고 이것은 정제된다. 이러한 방법은 9-안트라센 카르복시-에틸 트리에톡시실란(TESAC), 9-안트라센 카르복시-프로필 트리메톡시실란 및 9-안트라센 카르복시-프로필 트리에톡시실란(ACTEP)을 포함하는 9-안트라센 카르복시-알킬 트리알콕시실란 클래스의 어떠한 화합물을 제조하는데에 사용되기에 적합하기 때문에 중요하다.
본 발명의 다른 양상에 따르면, 흡수 스핀-온-글래스 조성물을 합성하기 위한 방법이 제공되어진다. 스핀-온-글래스 물질은 전형적으로 예를들면 트리에톡시실란(HTEOS), 테트라에톡시실란(TEOS), 메틸트리에톡시실란(MTEOS), 디메틸디에톡시실란, 테트라메톡시실란(TMOS), 메틸트리메톡시실란(MTMOS), 트리메톡시실란, 디메틸디메톡시실란, 페닐트리에톡시실란(PTEOS), 페닐트리메톡시실란(PTMOS), 디페닐디에톡시실란 및 디페닐디메톡시실란을 포함하는 여러가지 실란 반응물로부터 합성되어진다. 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 페닐트리클로로실란, 테트라클로로실란, 디클로로실란, 메틸디클로로실란, 디메틸디클로로실란, 클로로트리에톡시실란, 클로로트리메톡시실란, 클로로메틸트리에톡시실란, 클로로에틸트리에톡시실란, 클로로페닐트리에톡시실란, 클로로메틸트리메톡시실란, 클로로에틸트리메톡시실란 및 클로로페닐트리메톡시실란과 같은 클로로실란을 포함하는 할로실란이 또한 실란 반응물로서 사용되어진다. 흡수 스핀-온-글래스 조성물을 제조하기 위하여 흡수 화합물 1-37, 이들의 조합과 같은 흡수 화합물이 SOG 물질의 합성 동안에 실란 반응물과 결합되어진다.
첫번째 방법에서, 예를 들어 HTEOS 또는 TEOS 및 MTEOS 또는 TMOS 및 MTMOS의 실란 반응물; 또는 선택적으로 테트라클로로실란 및 메틸트리클로로실란, 흡수 화합물 1-37과 같은 하나 또는 그 이상의 흡수 화합물; 용매 또는 용매들의 조합; 및 산/물 혼합물을 포함하는 반응 혼합물이 반응용기내에 형성된다. 적절한 용매는 아세톤, 2-프로판올, 및 1-프로판올, MIBK, 프로폭시프로판올, 프로필 아세테이트와 같은 다른 간단한 알콜, 케톤, 및 에스테르를 포함한다. 산/물 혼합물은 예를 들면, 질산과 물이다. 아세트산, 포름산, 인산, 염산 또는 아세트산 무수물과 같은 다른 프로톤산 또는 산 무수물이 선택적으로 산 혼합물에 사용된다. 결과 혼합물은 약 1 내지 24시간동안 환류되어 흡수 SOG 폴리머 용액을 제조한다.
흡수 SOG는 적절한 용매로 희석되어 다양한 두께의 필름을 제조하는 코팅 용액을 달성한다. 적절한 희석 용매는 아세톤, 2-프로판올, 에탄올, 부탄올, 메탄올, 프로필아세테이트, 에틸 락테이트 및 프로파솔-피(Propasol-P)로 시판되는 프로필렌 글리콜 프로필 에테르를 포함한다. 에틸 락테이트 및 프로필렌 글리콜 프로필 에테르와 같은 높은 끓는점을 가지는 희석 용매가 유용하다는 것을 발견하였다. 높은 끓는점의 용매는 버블 필름 결함의 형성 확률을 감소시키는 것으로 생각된다. 반대로, 낮은 끓는점의 용매는 필림의 가교된 맨 위층을 아래로 인트랩되게 하고 이어서 굽는 과정의 단계 동안 공극을 만든다. 본 발명에 유용한 추가 용매는 에틸렌 글리콜 디메틸 에테르, 선택적으로 명명된 글리임(glyme), 아니솔, 디부틸 에테르, 디프로필 에테르, 프로필렌 글리콜 메틸 에테르 아세테이트 및 펜탄올을 포함한다. 임의로, 3M(Minneapolis, Mn)에 의해 제공되어진 생산품 FC430, 또는 DIC(일본)에 의해 제공되어진 생산품 메가페이스 R08과 같은 계면활성제가 또한 코팅 용액에 첨가되어진다. 코팅 용액은 전형적으로 약 0.5 내지 20 중량% 폴리머이다. 사용에 앞서 코팅 용액은 표준 여과 기술에 의해 여과되어진다.
흡수 SOG 물질을 형성하는 두번째 방법에 따르면, 흡수 화합물 1-37과 같은 하나 이상의 흡수 화합물인 실란 반응물 및 용매 또는 용매들의 조합을 포함하는 반응 혼합물이 반응용기에 형성된다. 반응 혼합물이 가열하여 환류시키고 약 1 내지 24시간동안 환류하였다. 실란 반응물 및 용매는 위의 첫번째 방법에 기재된 바와 같다. 상술한 바와 같이 산/물 혼합물이 교반동안에 반응 혼합물에 첨가되어진다. 결과 혼합물을 가열하여 환류하고 약 1 내지 24시간동안 환류하여 흡수 SOG 폴리머를 제조한다. 흡수 SOG는 위에 기술된 바와 같이 희석되괴 여과되어 코팅 용액을 형성한다.
흡수 올가노하이드리도실록산 물질을 형성하는 방법은 비극성 용매 및 극성용매 둘다를 포함하는 이중 상 용매와 상 전이 촉매의 혼합물을 형성하는 단계; 하나 또는 그 이상의 올가노트리할로실란, 하이드리도트리할로실란 및 흡수 화합물 1-37과 같은 하나 또는 그 이상의 흡수 화합물을 첨가하여 이중 상 반응 혼합물을 제공하는 단계; 및 1 내지 24시간동안 이중 상 반응 혼합물을 반응시켜 흡수 올가노하이드리도실록산 폴리머를 제조하는 단계를 포함한다. 상전이 촉매는 테트라부틸암모늄 클로라이드 및 벤질트리메틸암모늄 클로라이드를 포함하나 이에 한정되지는 않는다. 비극성 용매의 예는 펜탄, 헥산, 헵탄, 사이클로헥산, 벤젠, 톨루엔, 크실렌, 카본 테트라클로라이드와 같은 할로겐화된 용매 및 이들의 혼합물을 포함하나 이에 제한되지는 않는다. 유용한 극성 용매는 물, 알콜 및 알콜과 물의 혼합물을 포함한다. 흡수 폴리머 용액은 위에서 기재된 바와 같이 희석되고 여과되어 코팅 용액을 형성한다.
흡수 SOG 코팅 용액은 특정 제조 공정에 의존하여 반도체 공정에서 사용되어지는 다양한 층들에 전형적으로 통상의 스핀-온 침착 기술에 의해 도포되어진다. 이 기술은 디스펜스 스핀, 두께 스핀, 및 열 굽기 단계를 포함하여 흡수 SOG 무반사 코팅을 제조한다. 전형적인 공정들은 약 20초동안 1000 내지 4000 rpm의 두께 스핀 및 각각 약 1분 동안 80℃ 내지 300℃의 온도에서의 둘 또는 삼의 굽기 단계들을 포함한다. 본 발명에 따른 흡수 SOG 무반사 코팅은 약 1.3 내지 약 2.0 반사지표 및 0.07보다 더 큰 흡광계수를 나타낸다. 실시예 부분에서 기재된 부분에서 처럼, 0.4보다 큰 흡광계수가 얻어진다. 반대로 실리콘 다이옥사이드, 실리케이트 및 메틸실록산과 같은 유전 물질의 흡광계수는 190㎚보다 더 큰 파장에서 약 0이다.
포토리소그래프 공정에서 무반사 코팅으로서 본 발명에 따른 흡수 스핀-온-글래스 물질을 사용하는 일반적인 방법은 도 2a-2h에 나타내어진다. 도 2a에 나타낸 바와 간이, 유전층 22은 실리콘 기재 20상에 침착되어진다. 유전층 22는 예를 들어 TEOS로부터 유래된 실리콘 다이옥사이드 층, 실란계 실리콘 다이옥사이드 층, 열 성장 옥사이드, 또는 화학증착에 의해 제조된 메틸하이드리도실록산 또는 실리콘 다이옥사이드 합체된 다른 원소 또는 화합물을 포함하는 다양한 유전 물질로 이루어질 수 있다. 유전층 22는 전형적으로 광학적으로 투명한 매질이다. 흡수 SOG 무반사 코팅층 24는 통상적인 포지티브 포토레지스트의 포토레지스트층 26에 의해 덮여진 유전층 22 위에 도포되어져(도 2b) 도 2c에 나타낸 스택을 제조한다. 도 2c의 스택은 도 2d에 나타낸 바와 같이 마스크 30을 통해 자외선 방사 32에 노출되어진다. 노출 동안에 흡수 SOG ARC 층 24는 포토레지스트를 통해 전송된 UV 광 32를 흡수한다. 유전층 22가 UV 파장 영역에서 투명하기 때문에, 흡수 SOG ARC 층 24가없다면 UV광 32는 임계 치수, 예를 들어 노출된 포토레지스트의 임계 치수 27을 감소시키면서 기반 실리콘 층 20을 반사한다. 이 예에서, 직접상 전달을 제공하는 포지티브 포토레지스트가 나타난다.
노출된 스택은 도 2e의 스택을 제조하도록 현상되어진다. 흡수 SOG ARC 층 24는 테트라메틸암모늄하이드로옥사이드(TMAH)의 2.5% 용액과 같은 통상적인 포토레지스트 현상액에 저항성이 있다. 반대로, 포토레지스트 물질들의 화학적 특성을 가지는 유기 ARC 층은 포토레지스트 현상액에 훨씬 민감하다. 게다가, 흡수 SOG ARC 층은 환원 화학, 가스계, 포토레지스트 스트리핑 공정에 저항성을 가지나 유기 ARC 층은 저항성을 가지지 않는 것으로 여겨진다. 그리하여 흡수 SOG 층의 사용은 ARC 층을 재도포할 필요없이 포토레지스트 재가공을 용이하게 한다.
다음으로, 패턴은 도 2f의 에칭 스택을 제조하기 위해 포토레지스트 층 26에 있는 구멍을 통해 흡수 SOG ARC 층 24에 에칭된다. 포토레지스트에 큰 선택성을 가지는 플루오로화탄소 에치가 흡수 SOG ARC 층 24 에치에 사용되어진다. 흡수 SOG의 플루오로화탄소 에치에의 반응은 유기 ARC 층위의 흡수 SOG에 추가적인 이점을 제공한다. 산소 플라즈마 에치는 유기계인 포토레지스트가 산소 플라즈마에 의해 또한 에치되기 때문에 현상된 포토레지스트의 임계 치수를 감소시킬 수 있다. 플르오로화탄소 플라즈마는 산소 플라즈마보다 포토레지스트를 덜 소모한다. 더 짧은 UV 파장에서 촛점 요구의 깊이는 도 2d에 나타낸 노출 단계에서 포토레지스트 층 26의 두께를 한정할 것이다. 예를 들어, 193㎚에서 포토레지스트 층의 두께는 약 300㎚이어야만 한다고 계산되어진다. 그리하여 이 짧은 파장이 적용되어지기 시작함에 따라 포토레지스트에 대하여 선택적으로 에치될 수 있는 ARC 층을 가지는 것이 중요해질 것이다.
플루오로화탄소 에치는 도 2g의 스택을 제조하기 위해 유전층 22를 통해 계속되어진다. 포토레지스트 층 26은 연속 에치 공정동안 부분적으로 소모되어진다. 최종적으로 포토레지스트 층 26은 산소 플라즈마 또는 수소 환원 화학 또는 습식 화학을 사용하여 스트립되고 SOG ARC 층 24는 완충 옥사이드 에치 예를 들어 표준 플루오로화수소산/물 혼합물의 완충 옥사이드 에치 또는 수성이거나 비수성 유기아민이나 수성이거나 비수성 플루오르계 화학을 사용하여 스트립된다. 유리하게도, SOG ARC 층은 기반 유전 층에 대하여 우수한 선택성을 나타내는 용액으로 스트립될 수 있다. 그리하여 도 2a-2h에 나타낸 일반적인 포토리소그래피 방법은 무반사 코팅 층으로서 및 손실성(sacrificial) 무반사 코팅 층으로서 흡수 SOG 물질의 공정 이점을 나타낸다.
흡수 SOG 물질을 합성하는 방법 뿐만 아니라 9-안트라센 카르복시-알킬 트리알콕시실란, 보다 구체적으로는 9-안트라센 카르복시-에틸 트리에톡시실란 및 9-안트라센 카르복시-프로필 트리에톡시실란과 같은 흡수 화합물의 합성 방법들이 다음 실시예에서 나타내어진다.
실시예 1
9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (propanol), 148 그램의 아세톤 (acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 60 그램의 9-안트라센 카르복시- 메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane), 0.6 그램의 0.1M 질산 (nitric acid), 그리고 72 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 115 그램의 부탄올 (butanol), 488 그램의 2-프로판올(2-propanol), 245그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액 (solution)은 여과되어졌다 (filtered). 상기 용액 (solution)은 분해되었고 (dispensed), 20 초동안 3000 알피엠(rpm) 두께 (thickness)의 스핀 (spin)이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다(baked). 옵티컬(optical) 특성들은 N&K 테크놀로지(Technology) 모델 1200 분석기로 측정되었다. 필름 두께는 1635 Å 였다. 245 nm 에서, 굴절 지수 (refractive index)(n)는 1.373 이었고, 소화 계수 (extinction cofficient)(k) 는 0.268 이었다. 그러나, 본 실시예에서, 9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane)과 같이 더 높은 순도의 출발 (starting) 물질들과 흡수 화합물 (compound)들이 더 높은 소화 계수 (extinction cofficient)들을 제공한다는 것은 높이 평가되어야 한다. 동일한 스핀 (spin), 굽는 과정 파라미터 (bake process parameter)들, 그리고 측정 기술은 다음 모든 실시예들에서 사용되었다.
9-안트라센 카르복시-에틸 트리에톡시실란 (9-anthracene carboxy - ethyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 60 그램의 9-안트라센 카르복시-에틸 트리에톡시실란 (9-anthracene carboxy-ethyl triethoxysilane), 0.6 그램의 0.1M 질산 (nitric acid)과, 72 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 115 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액 (solution)은 여과되었다 (filtered). 상기 용액 (solution)은 분해되었고 (dispensed), 20 초동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지 (Technology) 모델 1200 분석기로 측정되었다.
9-안트라센 카르복시-에틸 트리메톡시실란(9-anthracene carboxy-ethyl trimethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 60 그램의 카르복시-에틸 트리메톡시실란 (9-anthracene carboxy-ethyl trimethoxysilane), 0.6 그램의 0.1M 질산 (nitric acid)과, 72 그램의 탈이온화수 (deioized water)가 결합되어져 있다. 상기 플라스크는 4시간 동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 115 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 그리고 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액 (solution)은 여과되었다 (filtered). 상기 용액 (solution)은 분해되었고 (dispensed), 20 초동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지 (Technology) 모델 1200 분석기로 측정되었다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 178 그램의 2-프로판올 (2-propanol), 89 그램의 아세톤 (acetone), 52 그램의 TEOS, 59 그램의 MTEOS, 29 그램의 9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane), 3.3 그램의 0.1M 질산 (nitric acid)과, 40 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 26 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액 (solution)은 여과되었다 (filtered). 상기 용액 (solution)은 분해되었고 (dispensed), 20 초동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지(Technology) 모델 1200 분석기로 측정되었다. 두께는 1487.1 Å 이고, k=0.4315, n=1.4986 이다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 178 그램의 2-프로판올 (2-propanol), 89 그램의 아세톤 (acetone), 49 그램의 TEOS, 55 그램의 MTEOS, 48 그램의 9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane), 3.3 그램의 0.1M 질산 (nitric acid)과, 40 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 26 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 그리고 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액 (solution)은 여과되었다 (filtered). 상기 용액 (solution)은 분해되었고 (dispensed), 20 초동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지 (Technology) 모델 1200 분석기로 측정되었다. 두께는 534.45 Å 이고, k=0.45, n=1.49 이다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 178 그램의 2-프로판올 (2-propanol), 89 그램의 아세톤 (acetone), 13 그램의 TEOS, 110 그램의 MTEOS, 13 그램의 9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane), 3.3 그램의 0.1M 질산 (nitric acid)과, 40 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 26 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 그리고 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액(solution)은 여과되었다 (filtered). 상기 용액 (solution)은 분해되었고 (dispenesed), 20 초 동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀 (spin)이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지(Technology) 모델 1200 분석기로 측정된다. 두께는 414.17 Å 이고, k=0.3551, n=1.5079 이다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 178 그램의 2-프로판올 (2-propanol), 89 그램의 아세톤 (acetone), 96 그램의 TEOS, 15 그램의 MTEOS, 13 그램의 9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane), 3.3 그램의 0.1M 질산 (nitric acid)과, 40 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 15 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액 (solution)은 여과되었다 (filtered). 상기 용액 (solution)은 분해되었고(dispensed), 20 초 동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀 (spin)이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지 (Technology) 모델 1200 분석기로 측정되었다. 두께는 494.77 Å 이고, k=0.3354, n=1.5243 이다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 178 그램의 2-프로판올 (2-propanol), 89 그램의 아세톤 (acetone), 56 그램의 TEOS, 64 그램의 MTEOS, 7.63 그램의 9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane), 3.3 그램의 0.1M 질산 (nitric acid)과, 40 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간 동안 환류되어졌다 (refluxed). 상기 용액 (solution) 에, 26 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되어졌다. 상기 용액 (solution)은 여과되었다 (filtered). 상기 용액 (solution)은 분해되었고 (dipensed), 20 초 동안 3000 알피엠 (rpm) 두께(thickness) 의 스핀(spin)이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지 (Technology) 모델 1200 분석기로 측정된다. 두께는 3629.76 Å 이고, k=0.3559, n=1.4508 이다. 두번째 두께는 1377.37 Å, k=0.358, n=2.643 이다. 그러나, n 값들은 출발 (starting) 구성요소 (component)들과 반응물 (reactant)들의 두께 (thickness)와 순도에 따라 변할 수 있다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 178 그램의 2-프로판올 (2-propanol), 89 그램의 아세톤 (acetone), 86 그램의 TEOS, 25 그램의 MTEOS, 12.1 그램의 9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane), 3.3 그램의 0.1M 질산 (nitric acid), 그리고 40 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 26 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액(solution)은 여과되었다 (filtered). 상기 용액 (solution) 은 분해되었고 (dispensed), 20 초동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀 (spin)이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지 (Technology) 모델 1200 분석기로 측정되었다. 두께는 1455.93 Å 이고, k=0.339, n=1.5895 이다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 178 그램의 2-프로판올 (2-propanol), 89 그램의 아세톤 (acetone), 21 그램의 TEOS, 101 그램의 MTEOS, 12 그램의 9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane), 3.3 그램의 0.1M 질산 (nitric acid)과, 40 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되었다 (refluxed). 상기 용액 (solution)에, 26 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 3.8 그램의 10 퍼센트 430(3M, 미니애폴리스, 미네소타주)이 첨가되었다. 상기 용액 (solution)은 필터되었다(filtered). 상기 용액 (solution)은 분해되었고(dispensed), 20 초 동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀 (spin)이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지 (Technology) 모델 1200 분석기로 측정되었다. 두께는 345.31 Å 이고, k=0.3264, n=1.4614 이다. 두번째 두께는 1021.18 Å, k=0.3215, n=1.5059 이다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 178 그램의 2-프로판올 (2-propanol), 89 그램의 아세톤 (acetone), 37 그램의 TEOS, 74 그램의 MTEOS, 36 그램의 9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane), 3.3 그램의 0.1M 질산 (nitric acid)과, 40 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간 동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 26 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액 (solution)은 여과되었다 (filtered). 상기 용액 (solution)은 분해되었고(dispensed), 20 초동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀 (spin)이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지 (Technology) 모델 1200 분석기로 측정된다. 두께는 6000 Å 이고, k=0.3701, n=1.4486 이다. 두번째 두께는 2851.52 Å, k=0.3912, n=1.4786 이다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 178 그램의 2-프로판올 (2-propanol), 89 그램의 아세톤 (acetone), 64 그램의 TEOS, 42 그램의 MTEOS, 36 그램의 9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane), 3.3 그램의 0.1M 질산 (nitric acid)과, 40 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되었다 (refluxed). 상기 용액 (solution)에, 26 그램의 부탄올 (butanol), 488 그램의 2-프로판올 (2-propanol), 245 그램의 아세톤 (acetone), 329 그램의 에탄올 (ethanol), 53 그램의 탈이온화수 (deioized water), 그리고 3.8 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 상기 용액 (solution)은 여과되었다 (filtered). 상기 용액 (solution)은 분해되었고 (dispensed), 20 초동안 3000 알피엠 (rpm) 두께 (thickness)의 스핀이 뒤따르고, 각각 80°C 와 180°에서 일분씩 구워졌다 (baked). 옵티컬 (optical) 특성들은 N&K 테크놀로지 (Technology) 모델 1200 분석기로 측정된다. 두께는 5988 Å 이고, k=0.36, n=1.445 이다. 두번째 두께는 2888.27 Å, k=0.3835, n=1.4856 이다.
실시예 2
9-안트라센 메탄올(9-anthracene methanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone) 및 로졸산(rosolic acid)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 25 그램의 9-안트라센 메탄올 (9-anthracene methanol), 10 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 5 그램의 로졸산 (rosolic acid), 0.6 그램의 0.1M 질산 (nitric acid), 그리고 72 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 111 그램의 부탄올 (butanol), 459 그램의 2-프로판올 (2-propanol), 230 그램의 아세톤 (acetone), 309 그램의 에탄올 (ethanol), 50 그램의 탈이온화수 (deioized water), 그리고 3.75 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 두께는 1436 Å 이고, n=1.479, k=0.1255 이다.
9-안트라센 에탄올(9-anthracene ethanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone) 및 로졸산(rosolic acid)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 25 그램의 9-안트라센 에탄올 (9-anthracene ethanol), 10 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 5 그램의 로졸산 (rosolic acid), 0.6 그램의 0.1M 질산 (nitric acid), 그리고 72 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 111 그램의 부탄올 (butanol), 459 그램의 2-프로판올 (2-propanol), 230 그램의 아세톤 (acetone), 309 그램의 에탄올 (ethanol), 50 그램의 탈이온화수 (deioized water), 그리고 3.75 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다.
9-안트라센 메탄올(9-anthracene methanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone) 및 로졸산(rosolic acid)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 25 그램의 9-안트라센 메탄올(9-anthracene methanol), 10 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 5 그램의 로졸산(rosolic acid), 0.6 그램의 0.1M 질산 (nitric acid), 그리고 72 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되었다 (refluxed). 상기 용액 (solution)에, 111 그램의 부탄올 (butanol), 459 그램의 2-프로판올 (2-propanol), 230 그램의 아세톤(acetone), 309 그램의 에탄올 (ethanol), 50 그램의 탈이온화수 (deioized water), 그리고 3.75 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다.
9-안트라센 에탄올(9-anthracene ethanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone) 및 로졸산(rosolic acid)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 25 그램의 9-안트라센 에탄올 (9-anthracene ethanol), 10 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 5 그램의 로졸산 (rosolic acid), 0.6 그램의 0.1M 질산 (nitric acid), 그리고 72 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 111 그램의 부탄올 (butanol), 459 그램의 2-프로판올 (2-propanol), 230 그램의 아세톤 (acetone), 309 그램의 에탄올 (ethanol), 50 그램의 탈이온화수 (deioized water), 그리고 3.75 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다.
실시예 3
9-안트라센 메탄올(9-anthracene methanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone) 및 로졸산(rosolic acid)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 93 그램의 TEOS, 77 그램의 MTEOS, 20 그램의 9-안트라센 에탄올 (9-anthracene ethanol), 60 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 5 그램의 로졸산 (rosolic acid), 0.5599 그램의 0.1M 질산 (nitric acid), 그리고 71.90 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다 (refluxed). 상기 용액 (solution)에, 57 그램의 부탄올 (butanol), 88 그램의 2-프로판올 (2-propanol), 44 그램의 아세톤 (acetone), 59 그램의 에탄올 (ethanol), 9.5 그램의 탈이온화수 (deioized water), 그리고 3.75 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다.
실시예 4
9-안트라센 메탄올(9-anthracene methanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone) 및 로졸산(rosolic acid)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 108 그램의 TEOS, 77 그램의 MTEOS, 10 그램의 9-안트라센 에탄올 (9-anthracene ethanol), 60 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 5 그램의 로졸산 (rosolic acid), 0.5599 그램의 0.1M 질산 (nitric acid)과, 72 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되었다 (refluxed). 상기 용액 (solution)에, 57 그램의 부탄올 (butanol), 88 그램의 2-프로판올 (2-propanol), 44 그램의 아세톤 (acetone), 59 그램의 에탄올 (ethanol), 9.5 그램의 탈이온화수 (deioized water), 3.75 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 두께는 4275 Å 이고, n=1.529, k=0.124 이다.
실시예 5
2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 123 그램의 TEOS, 51 그램의 MTEOS, 60 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 0.6 그램의 0.1M 질산 (nitric acid)과, 72 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다(refluxed). 상기 용액 (solution)에, 57 그램의 부탄올 (butanol), 88 그램의 2-프로판올 (2-propanol), 44 그램의 아세톤 (acetone), 59 그램의 에탄올 (ethanol), 9.5 그램의 탈이온화수 (deioized water), 3.75 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 두께는 3592 Å 이고, n=1.563, k=0.067 이다.
2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올 (2-propanol), 148 그램의 아세톤 (acetone), 123 그램의 TEOS, 51 그램의 MTEOS, 60 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 0.6 그램의 0.1M 질산 (nitric acid)과, 72 그램의 탈이온화수 (deioized water)가 결합되어져있다. 상기 플라스크는 4시간동안 환류되어졌다(refluxed). 상기 용액 (solution)에, 57 그램의 부탄올 (butanol), 88 그램의 2-프로판올 (2-propanol), 44 그램의 아세톤 (acetone), 59 그램의 에탄올 (ethanol), 9.5 그램의 탈이온화수 (deioized water), 3.75 그램의 10 퍼센트 FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다.
실시예 6
9-안트라센 메탄올(9-anthracene methanol)을 함유하는 흡수 SOG의 합성
1 리터 플라스크에, 297 그램의 2-프로판올(2-propanol), 148 그램의 아세톤(acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 10 그램의 9-안트라센 메탄올(9-anthracene methanol), 0.6 그램의 0.1M 질산(nitric acid) 그리고 72 그램의 탈이온수가 결합되어져있다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57 그램의 부탄올(butanol), 88 그램의 2-프로판올(propanol), 44 그램의 아세톤(acetone), 59 그램의 에탄올(ethanol), 9.5 그램의 탈이온화수 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다.
9-안트라센 에탄올(9-anthracene ethanol)을 함유하는 흡수 SOG의 합성.
1 리터 플라스크에, 297 그램의 2-프로판올(2-propanol), 148 그램의 아세톤(acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 10 그램의 9-안트라센 에탄올(9-anthracene ethanol), 0.6 그램의 0.1M 질산(nitric acid) 그리고 72 그램의 탈이온수로 결합되었다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57 그램의 부탄올(butanol), 88 그램의 2-프로판올(propanol), 44 그램의 아세톤(acetone), 59 그램의 에탄올(ethanol), 9.5 그램의 탈이온화수 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다.
9-안트라센 프로판올(9-anthracene propanol)을 함유하는 흡수 SOG의 합성.
1 리터 플라스크에, 297 그램의 2-프로판올(2-propanol), 148 그램의 아세톤(acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 10 그램의 9-안트라센 프로판올(9-anthracene propanol), 0.6 그램의 0.1M 질산(nitric acid) 그리고 72 그램의 탈이온화수가 결합되어져있다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57 그램의 부탄올(butanol), 88 그램의 2-프로판올(propanol), 44 그램의 아세톤(acetone), 59 그램의 에탄올(ethanol), 9.5 그램의 탈이온수 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다.
실시예 7
9-안트라센 메탄올(9-anthracene methanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone) 및 로졸산(rosolic acid)을 함유하는 흡수 SOG의 합성.
1 리터 플라스크에, 297 그램의 2-프로판올(2-propanol), 148 그램의 아세톤(acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 20 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤 (2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 25 그램의 9-안트라센 메탄올(9-anthracene methanol), 그리고 5 그램의 로졸산(rosolic acid), 0.6 그램의 0.1M 질산(nitric acid), 그리고 72 그램의 탈이온화수가 결합되어져있다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57 그램의 부탄올(butanol), 88 그램의 2-프로판올(propanol), 44 그램의 아세톤(acetone), 59 그램의 에탄올(ethanol), 9.5 그램의 탈이온화수, 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 두께=3503Å, n=1.475, k=0.193.
실시예 8
9-안트라센 메탄올(9-anthracene methanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 그리고 로졸산(rosolic acid)을 함유하는 흡수 SOG의 합성.
1 리터 플라스크에, 297 그램의 2-프로판올(2-propanol), 148 그램의 아세톤(acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 5 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 25 그램의 9-안트라센 메탄올(9-anthracene methanol), 그리고 5 그램의 로졸산(rosolic acid), 0.6 그램의 0.1M 질산(nitric acid) 그리고 72 그램의 탈이온화수가 결합되어져있다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57 그램의 부탄올(butanol), 88 그램의 2-프로판올(propanol), 44 그램의 아세톤(acetone), 59 그램의 에탄올(ethanol), 9.5 그램의 탈이온화수, 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 두께=3119Å, n=1.454, k=0.175.
실시예 9
9-안트라센 메탄올(9-anthracene methanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 로졸산(rosolic acid), 쿠이니자린(quinizarin) 및 알리자린(alizarin)을 함유하는 흡수 SOG의 합성.
1 리터 플라스크에, 297 그램의 2-프로판올(2-propanol), 148 그램의 아세톤(acetone), 123 그램의 TEOS, 77 그램의 MTEOS, 20 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 25 그램의 9-안트라센 메탄올(9-anthracene methanol), 그리고 5 그램의 로졸산(rosolic acid), 2 그램의 쿠이니자린(quinizarin), 2 그램의 알리자린(alizarin), 0.6 그램의 0.1M 질산(nitric acid), 그리고 72 그램의 탈이온화수가 결합되어져 있다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 57 그램의 부탄올(butanol), 88 그램의 2-프로판올(propanol), 44 그램의 아세톤(acetone), 59 그램의 에탄올(ethanol), 9.5 그램의 탈이온화수, 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 두께=3554Å, n=1.489, k=0.193.
실시예 10
9-안트라센 메탄올(9-anthracene methanol), 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 로졸산(rosolic acid) 및 알리자린(alizarin)을 함유하는 흡수 SOG의 합성.
1 리터 플라스크에, 297 그램의 2-프로판올(2-propanol), 148 그램의 아세톤(acetone), 123 그램의 TEOS, 51.5 그램의 MTEOS, 5 그램의 2-하이드록시-4-(3-트리에톡시실리프로폭시)-디페닐케톤(2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone), 25 그램의 9-안트라센 메탄올(9-anthracene methanol), 5 그램의 로졸산(rosolic acid), 그리고 2 그램의 알리자린(alizarin), 0.5599 그램의 0.1M 질산(nitric acid) 그리고 71.90 그램의 탈이온화수가 결합되어져있다. 상기 플라스크는 4시간 동안 환류되었다. 상기 용액에, 56.68 그램의 부탄올(butanol), 87.99 그램의 2-프로판올(propanol), 44.10 그램의 아세톤(acetone), 59.31 그램의 에탄올(ethanol), 9.55 그램의 탈이온화수, 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)이 첨가되었다. 두께=3109Å, n=1.454, k=0.193.
실시예 11
9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane) 을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined)되어져 있다. 상기 플라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고, 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (ethanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.7그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가되었다. 두께 (thickness)=3010 Å, n=1.377, k=0.163.
9-안트라센 카르복시-에틸 트리에톡시실란 (9-anthracene carboxy-ethyl triethoxysilane) 을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-에틸 트리에톡시실란 (9-anthracene carboxy-ethyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined) 되어졌다. 상기 플라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (enthanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.7그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가되었다.
9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane) 을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined) 되어졌다. 상기 플라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (enthanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.7그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가되었다.
9-안트라센 카르복시-펜틸 트리에톡시실란 (9-anthracene carboxy-pentyl triethoxysilane) 을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-펜틸 트리에톡시실란 (9-anthracene carboxy-pentyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined) 되어졌다. 상기 플라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (enthanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.7그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가되었다.
9-안트라센 카르복시-메틸 트리메톡시실란 (9-anthracene carboxy-methyl trimethoxysilane) 을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-메틸 트리메톡시실란 (9-anthracene carboxy-methyl trimethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합되어져 있다. 상기 플라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고, 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (ethanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.7그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가되었다.
9-안트라센 카르복시-에틸 트리메톡시실란 (9-anthracene carboxy-ethyl trimethoxysilane) 을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-에틸 트리메톡시실란 (9-anthracene carboxy-ethyl trimethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined) 되어졌다. 상기 플라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (enthanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.7그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가되었다.
9-안트라센 카르복시-프로필 트리메톡시실란 (9-anthracene carboxy-propyl trimethoxysilane) 을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77그램의 MTEOS, 30그램의 9-안트라센 카르복시-프로필 트리메톡시실란 (9-anthracene carboxy-propyl trimethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined) 되어졌다. 상기 플라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (enthanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.7그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가되었다.
실시예 12
9-안트라센 메탄올 (9-anthracene methanol)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77 그램의 MTEOS, 그리고 10그램의 9-안트라센 메탄올 (9-anthracene methanol)이 결합 (combined)되어졌다. 상기 용액은 6시간동안 환류 (refluxed) 되어졌다. 0.6그램의 0.1M 질산 (nitric acid)과 72그램의 탈이온수 (deionized water)의 혼합물이 상기 프라스크에 첨가 (added) 되었다. 그리고 상기 플라스크는 4시간동안 환류 (refluxed) 되었다. 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (ethanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가 (added) 되었다.
9-안트라센 에탄올 (9-anthracene ethanol)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77 그램의 MTEOS, 그리고 10그램의 9-안트라센 에탄올 (9-anthracene ethanol)이 결합 (combined)되어졌다. 상기 용액은 6시간동안 환류 (refluxed) 되어졌다. 0.6그램의 0.1 M 질산 (nitric acid)과 72그램의 탈이온수 (deionized water)의 혼합물이 상기 프라스크에 첨가 (added) 되었다. 그리고 상기 플라스크는 4시간동안 환류 (refluxed) 되었다. 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (ethanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가 (added) 되었다.
9-안트라센 프로판올 (9-anthracene propanol)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 123그램의 TEOS, 77 그램의 MTEOS, 그리고 10그램의 9-안트라센 프로판올 (9-anthracene propanol)이 결합 (combined)되어졌다. 상기 용액은 6시간동안 환류 (refluxed) 되어졌다. 0.6그램의 0.1M 질산 (nitric acid)과 72그램의 탈이온수 (deionized water)의 혼합물이 상기 프라스크에 첨가 (added) 되었다. 그리고 상기 플라스크는 4시간동안 환류 (refluxed) 되었다. 상기 용액에는 57그램의 부탄올 (butanol), 88그램의 2-프로판올 (2-propanol), 44그램의 아세톤 (acetone), 59그램의 에탄올 (ethanol), 9.5그램의 탈이온수 (deionized water), 그리고 3.75 그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가 (added) 되었다.
실시예 13
9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 90그램의 TMOS, 59그램의 MTMOS, 60그램의 9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined)되었다. 그리고 상기 플라스크는 4시간동안 환류되어졌다. 상기 용액에는 115그램의 부탄올 (butanol), 488그램의 2-프로판올 (2-propanol), 245그램의 아세톤 (acetone), 329그램의 에탄올 (ethanol), 53그램의 탈이온수 (deionized water), 그리고 3.8그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가 (added)되었다.
9-안트라센 카르복시-에틸 트리에톡시실란 (9-anthracene carboxy-ethyl triethoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 90그램의 TMOS, 59그램의 MTMOS, 60그램의 9-안트라센 카르복시-에틸 트리에톡시실란 (9-anthracene carboxy-ethyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined)되어졌다. 그리고 상기 플라스크는 4시간동안 환류되어졌다. 상기 용액에는 115그램의 부탄올 (butanol), 488그램의 2-프로판올 (2-propanol), 245그램의 아세톤 (acetone), 329그램의 에탄올 (ethanol), 53그램의 탈이온수 (deionized water), 그리고 3.8그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가 (added)되었다.
9-안트라센 카르복시-메틸 트리메톡시실란 (9-anthracene carboxy-methyl trimethoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 90그램의 TMOS, 59그램의 MTMOS, 60그램의 9-안트라센 카르복시-메틸 트리메톡시실란 (9-anthracene carboxy-methyl trimethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined)되어졌다. 그리고 상기 플라스크는 4시간동안 환류되어졌다. 상기 용액에는 115그램의 부탄올 (butanol), 488그램의 2-프로판올 (2-propanol), 245그램의 아세톤 (acetone), 329그램의 에탄올 (ethanol), 53그램의 탈이온수 (deionized water), 그리고 3.8그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가 (added)되었다.
9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 90그램의 TMOS, 59그램의 MTMOS, 60그램의 9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined)되어졌다. 그리고 상기 플라스크는 4시간동안 환류되어졌다. 상기 용액에는 115그램의 부탄올 (butanol), 488그램의 2-프로판올 (2-propanol), 245그램의 아세톤 (acetone), 329그램의 에탄올 (ethanol), 53그램의 탈이온수 (deionized water), 그리고 3.8그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가 (added)되었다.
9-안트라센 카르복시-메틸 트리프로폭시실란 (9-anthracene carboxy-methyl tripropoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 90그램의 TMOS, 59그램의 MTMOS, 60그램의 9-안트라센 카르복시-메틸 트리프로폭시실란 (9-anthracene carboxy-methyl tripropoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined)되어졌다. 그리고 상기 플라스크는 4시간동안 환류되어졌다. 상기 용액에는 115그램의 부탄올 (butanol), 488그램의 2-프로판올 (2-propanol), 245그램의 아세톤 (acetone), 329그램의 에탄올 (ethanol), 53그램의 탈이온수 (deionized water), 그리고 3.8그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가 (added)되었다.
9-안트라센 카르복시-에틸 트리뷰톡시실란 (9-anthracene carboxy-ethyl tributoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램의 2-프로판올 (2-propanol), 148그램의 아세톤 (acetone), 90그램의 TMOS, 59그램의 MTMOS, 60그램의 9-안트라센 카르복시-에틸 트리뷰톡시실란 (9-anthracene carboxy-ethyl tributoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램의 탈이온수 (deionized water)가 결합 (combined)되어졌다. 그리고 상기 플라스크는 4시간동안 환류되어졌다. 상기 용액에는 115그램의 부탄올 (butanol), 488그램의 2-프로판올 (2-propanol), 245그램의 아세톤 (acetone), 329그램의 에탄올 (ethanol), 53그램의 탈이온수 (deionized water), 그리고 3.8그램의 10% FC 430 (3M, 미니애폴리스시, 미네소타주)가 첨가 (added)되었다.
실시예 14
9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane)의 합성
하나의 2리터 프라스크에 4 Å 분자체이상으로 건조되어진 90.0그램의 9-안트라센카르복실릭산 (9-anthracenecarboxylic acid), 86.0밀리리터의 클로로메틸트리에톡시실란 (chloromethyltriethoxysilane), 66밀리리터의 트리에틸라민 (triethylamine), 그리고 1.25리터의 메틸리소부틸케톤 (MIBK)은 섞여지고, 환류되기위해 천천히 가열되고, 8.5시간동안 환류 (reflux) 되어졌다. 상기 용액은 2리터 테플론 (Teflon) 병으로 옮겨지고 하룻밤동안 방치되어졌다. 그리고 많은 양의 고체 침전물 (solid precipitate)이 형성되었다. 상기 MIBK 용액은 약 200그램까지 따르어지고 (decanted) 회전증발 (roto-evaporated) 되어졌다. 같은 무게량의 헥산 (hexane)이 첨가되고 섞여졌다. 그리고 침전물이 발생되었다. 20% 에틸라세테이트/80% 헥산 (20% ethylacetate/80% hexane) 과 섞인 (slurried) 지름이 1.75인치이고 높이가 2인치인 실리카젤기둥 (column of silica gel)이 만들어졌다. 상기 MIBK/헥산 (MIBK/hexane) 용액은 상기 실리카기둥을 압축통과하였고 상기 기둥은 800밀리리터의 20% 에틸라세테이트/80% 헥산 (20% ethylacetate/80% hexane)으로 씻겨졌다. 상기 용액은 0.2 마이크로미터로 걸러졌고 회전증발되어졌다. 상기 용매 (solvent)의 컴밍오프 (coming off)가 끝날때 온도를 60분동안 35℃로 가열하였다. 그리고 85그램의 어두운 황색의 기름진 액체가 생성되었다.
9-안트라센 카르복시-에틸 트리에톡시실란 (9-anthracene carboxy-ethyl triethoxysilane)의 합성
하나의 2리터 프라스크에 4 Å 분자체이상으로 건조되어진 90.0그램의 9-안트라센카르복실릭산 (9-anthracenecarboxylic acid), 86.0밀리리터의 클로로에틸트리에톡시실란 (chloroethyltriethoxysilane), 66밀리리터의 트리에틸라민 (triethylamine), 그리고 1.25리터의 메틸리소부틸케톤 (MIBK)은 섞여지고, 환류되기위해 천천히 가열되고, 8.5시간동안 환류 (reflux) 되어졌다. 상기 용액은 2리터 테플론 (Teflon) 병으로 옮겨지고 하룻밤동안 방치되어졌다. 그리고 많은 양의 고체 침전물 (solid precipitate)이 형성되었다. 상기 MIBK 용액은 약 200그램까지 따르어지고 (decanted) 회전증발 (roto-evaporated) 되어졌다. 같은 무게량의 헥산 (hexane)이 첨가되고 섞여졌다. 그리고 침전물이 발생되었다. 20% 에틸라세테이트/80% 헥산 (20% ethylacetate/80% hexane) 과 섞인 (slurried) 지름이 1.75인치이고 높이가 2인치인 실리카젤기둥 (column of silica gel)이 만들어졌다. 상기 MIBK/헥산 (MIBK/hexane) 용액은 상기 실리카기둥을 압축통과하였고 상기 기둥은 800밀리리터의 20% 에틸라세테이트/80% 헥산 (20% ethylacetate/80% hexane)으로 씻겨졌다. 상기 용액은 0.2 마이크로미터로 걸러졌고 회전증발되어졌다. 상기 용매 (solvent)의 컴밍오프 (coming off)가 끝날때 온도를 60분동안 35℃로 가열하였다.
9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane)의 합성
하나의 2리터 프라스크에 4 Å 분자체이상으로 건조되어진 90.0그램의 9-안트라센카르복실릭산 (9-anthracenecarboxylic acid), 86.0밀리리터의 클로로프로필트리에톡시실란 (chloropropyltriethoxysilane), 66밀리리터의 트리에틸라민 (triethylamine), 그리고 1.25리터의 메틸리소부틸케톤 (MIBK)은 섞여지고, 환류되기위해 천천히 가열되고, 8.5시간동안 환류 (reflux) 되어졌다. 상기 용액은 2리터 테플론 (Teflon) 병으로 옮겨지고 하룻밤동안 방치되어졌다. 그리고 많은 양의 고체 침전물 (solid precipitate)이 형성되었다. 상기 MIBK 용액은 약 200그램까지 따르어지고 (decanted) 회전증발 (roto-evaporated) 되어졌다. 같은 무게량의 헥산 (hexane)이 첨가되고 섞여졌다. 그리고 침전물이 발생되었다. 20% 에틸라세테이트/80% 헥산 (20% ethylacetate/80% hexane) 과 섞인 (slurried) 지름이 1.75인치이고 높이가 2인치인 실리카젤기둥 (column of silica gel)이 만들어졌다. 상기 MIBK/헥산 (MIBK/hexane) 용액은 상기 실리카기둥을 압축통과하였고 상기 기둥은 800밀리리터의 20% 에틸라세테이트/80% 헥산 (20% ethylacetate/80% hexane)으로 씻겨졌다. 상기 용액은 0.2 마이크로미터로 걸러졌고 회전증발되어졌다. 상기 용매 (solvent)의 컴밍오프 (coming off)가 끝날때 온도를 60분동안 35℃로 가열하였다.
9-안트라센 카르복시-메틸 트리메톡시실란 (9-anthracene carboxy-methyl trimethoxysilane)의 합성
하나의 2리터 프라스크에 4 Å 분자체이상으로 건조되어진 90.0그램의 9-안트라센카르복실릭산 (9-anthracenecarboxylic acid), 86.0밀리리터의 클로로메틸트리메톡시실란 (chloromethyltrimethoxysilane), 66밀리리터의 트리에틸라민 (triethylamine), 그리고 1.25리터의 메틸리소부틸케톤 (MIBK)은 섞여지고, 환류되기위해 천천히 가열되고, 8.5시간동안 환류 (reflux) 되어졌다. 상기 용액은 2리터 테플론 (Teflon) 병으로 옮겨지고 하룻밤동안 방치되어졌다. 그리고 많은 양의 고체 침전물 (solid precipitate)이 형성되었다. 상기 MIBK 용액은 약 200그램까지 따르어지고 (decanted) 회전증발 (roto-evaporated) 되어졌다. 같은 무게량의 헥산 (hexane)이 첨가되고 섞여졌다. 그리고 침전물이 발생되었다. 20% 에틸라세테이트/80% 헥산 (20% ethylacetate/80% hexane) 과 섞인 (slurried) 지름이 1.75인치이고 높이가 2인치인 실리카젤기둥 (column of silica gel)이 만들어졌다. 상기 MIBK/헥산 (MIBK/hexane) 용액은 상기 실리카기둥을 압축통과하였고 상기 기둥은 800밀리리터의 20% 에틸라세테이트/80% 헥산 (20% ethylacetate/80% hexane)으로 씻겨졌다. 상기 용액은 0.2 마이크로미터로 걸러졌고 회전증발되어졌다. 상기 용매 (solvent)의 컴밍오프 (coming off)가 끝날때 온도를 60분동안 35℃로 가열하였다.
실시예 15
9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램 (4.798 mols)의 2-프로판올 (2-Propanol), 148그램 (2.558 mols)의 아세톤 (acetone), 123그램 (0.593 mols)의 TEOS, 77그램 (0.432 mols)의 MTEOS, 45그램 (0.102 mols)의 9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthrancene carboxy-methyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램 (3.716 mols)의 탈이온수 (deionized water)가 결합 (combined) 되어졌다. 상기 프라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고 상기 용액에 43그램 (0.590 mols)의 부탄올 (butanol) 그리고 1260그램 (8.344 mols) 에틸 락테이트 (ethyl lactate)가 첨가되어졌다. 두께(thickness)= 1156Å, n= 1.502, k= 0.446.
9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램 (4.798 mols)의 2-프로판올 (2-Propanol), 148그램 (2.558 mols)의 아세톤 (acetone), 123그램 (0.593 mols)의 TEOS, 77그램 (0.432 mols)의 MTEOS, 45그램 (0.102 mols)의 9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthrancene carboxy-methyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램 (3.716 mols)의 탈이온수 (deionized water)가 결합 (combined) 되어졌다. 상기 프라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고 상기 용액에 43그램 (0.590 mols)의 부탄올 (butanol) 그리고 1260그램 (8.344 mols) 에틸 락테이트 (ethyl lactate)가 첨가되어졌다.
9-안트라센 카르복시-에틸 트리에톡시실란 (9-anthracene carboxy-ethyl triethoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램 (4.798 mols)의 2-프로판올 (2-Propanol), 148그램 (2.558 mols)의 아세톤 (acetone), 123그램 (0.593 mols)의 TEOS, 77그램 (0.432 mols)의 MTEOS, 45그램 (0.102 mols)의 9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthrancene carboxy-methyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램 (3.716 mols)의 탈이온수 (deionized water)가 결합 (combined) 되어졌다. 상기 프라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고 상기 용액에 43그램 (0.590 mols)의 부탄올 (butanol) 그리고 1260그램 (8.344 mols) 에틸 락테이트 (ethyl lactate)가 첨가되어졌다.
9-안트라센 카르복시-메틸 트리메톡시실란 (9-anthracene carboxy-methyl trimethoxysilane)을 함유하는 흡수 SOG (absorbing SOG)의 합성
하나의 1리터 플라스크에 297그램 (4.798 mols)의 2-프로판올 (2-Propanol), 148그램 (2.558 mols)의 아세톤 (acetone), 123그램 (0.593 mols)의 TEOS, 77그램 (0.432 mols)의 MTEOS, 45그램 (0.102 mols)의 9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthrancene carboxy-methyl triethoxysilane), 0.6그램의 0.1 M 질산 (nitric acid), 그리고 72그램 (3.716 mols)의 탈이온수 (deionized water)가 결합 (combined) 되어졌다. 상기 프라스크는 4시간동안 환류 (refluxed) 되어졌다. 그리고 상기 용액에 43그램 (0.590 mols)의 부탄올 (butanol) 그리고 1260그램 (8.344 mols) 에틸 락테이트 (ethyl lactate)가 첨가되어졌다.
실시예 16
9-안트라센 카르복시-메틸 트리에톡시실란 (9-anthracene carboxy-methyl triethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램 (4.798몰)의 2-프로판올 (2-propanol), 148그램 (2.558몰)의 아세톤 (acetone), 123그램 (0.593몰)의 TEOS, 77그램 (0.432몰)의 MTEOS, 30그램 (0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올(Butanol), 88그램(1.422몰)의 2-프로판올(2-propanol), 44그램(0.758몰)의 아세톤(acetone), 59그램(1.227몰)의 에탄올(ethanol), 9.5그램(0.528몰)의 탈이온수(deionized water)와 3.7그램의 10% FC 430이 첨가되었다.
9-안트라센 카르복시-프로필 트리에톡시실란 (9-anthracene carboxy-propyl triethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 30그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올(Butanol), 88그램(1.422몰)의 2-프로판올(2-propanol), 44그램(0.758몰)의 아세톤(acetone), 59그램(1.227몰)의 에탄올(ethanol), 9.5그램(0.528몰)의 탈이온수(deionized water)와 3.7그램의 10% FC 430이 첨가되었다.
9-안트라센 카르복시-에틸 트리메톡시실란(9-anthracene carboxy-ethyl trimethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 30그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올(Butanol), 88그램(1.422몰)의 2-프로판올(2-propanol), 44그램(0.758몰)의 아세톤(acetone), 59그램(1.227몰)의 에탄올(ethanol), 9.5그램(0.528몰)의 탈이온수(deionized water)와 3.7그램의 10% FC 430이 첨가되었다.
9-안트라센 카르복시-에틸 트리에톡시실란(9-anthracene carboxy-ethyl triethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 30그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올(Butanol), 88그램(1.422몰)의 2-프로판올(2-propanol), 44그램(0.758몰)의 아세톤(acetone), 59그램(1.227몰)의 에탄올(ethanol), 9.5그램(0.528몰)의 탈이온수(deionized water)와 3.7그램의 10% FC 430이 첨가되었다.
9-안트라센 카르복시-부틸 트리에톡시실란(9-anthracene carboxy-butyl triethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 30그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올(Butanol), 88그램(1.422몰)의 2-프로판올(2-propanol), 44그램(0.758몰)의 아세톤(acetone), 59그램(1.227몰)의 에탄올(ethanol), 9.5그램(0.528몰)의 탈이온수(deionized water)와 3.7그램의 10% FC 430이 첨가되었다.
실시예 17
9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 45그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 43그램(0.590몰)의 부탄올(Butanol)과 981그램(8.301몰)의 프로파솔-피(propasol-p)가 첨가되었다. 두께=1407Å (thickness=1407Å), n=1.334, k=0.551.
9-안트라센 카르복시-에틸 트리에톡시실란(9-anthracene carboxy-ethyl triethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 45그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 43그램(0.590몰)의 부탄올(Butanol)과 981그램(8.301몰)의 프로파솔-피(propasol-p)가 첨가되었다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 45그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 43그램(0.590몰)의 부탄올(Butanol)과 981그램(8.301몰)의 프로파솔-피(propasol-p)가 첨가되었다.
9-안트라센 카르복시-메틸 트리메톡시실란(9-anthracene carboxy-methyl trimethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 77그램(0.432몰)의 MTEOS, 45그램(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 43그램(0.590몰)의 부탄올(Butanol)과 981그램(8.301몰)의 프로파솔-피(propasol-p)가 첨가되었다.
실시예 18
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유한 흡수 SOG의 합성.
니트로겐 인레트(nitrogen inlet), 드라이아이스 콘덴서(dry ice condenser)와 미케니컬 스터러(mechanical stirrer)가 장착된 6리터 재킷 반응기(6L jacketed reactor)가 5000mL 헥산(hexanes) 720mL 에탄올(ethanol), 65mL 물과, 물에 용해시킨 10 중량 퍼센트 테트라부틸암모니움 클로라이드 하이드레이트 용액(10% by weight tetrabutylammonium chloride hydrate solution in water) 120g으로 충전된다. 상기 혼합물(mixture)은 25도(25℃)에서 0.5시간동안 스터링(stirring)으로 평형된다. 트리클로로실란(trichlorosilane)(377.4g, 2.78Mol), 메틸트리클로로실란 (methyltrichlorosilane)(277.7g, 1.86Mol), 그리고 (203.8g, 0.46Mol)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane)의 혼합물이, 70분이상 기간 동안 연동 펌프(peristaltic pump)를 사용하여, 상기 반응기에 첨가된다. 실란(silane)과 흡수 화합물(absorbing compound)의 첨가가 완료되면, 헥산(hexane)은 10분동안 라인들(lines)을 통해 펌프(pump)된다. 상기한 반응물(reaction)은 2.3시간동안 휘저어지고, 에탄올/물 층(ethanol/H2O layer)은 제거된다. 그리고 그 때 남아있는 헥산 용액(hexane solution)은 3미크론(micron : ㎛) 필터를 통해 여과되고, 그 다음에 1미크론(㎛) 필터에 의해 여과된다. 상기 용액에, (3957g, 45.92Mol)의 헥산(hexane)이 첨가된다.
9-안트라센 카르복시-에틸 트리메톡시실란(9-anthracene carboxy-ethyl trimethoxysilane)을 함유한 흡수 SOG의 합성.
니트로겐 인레트(nitrogen inlet), 드라이아이스 콘덴서(dry ice condenser)와 미케니컬 스터러(mechanical stirrer)가 장착된 6리터 재킷 반응기(6L jacketed reactor)가 5000mL 헥산(hexanes) 720mL 에탄올(ethanol), 65mL 물과, 물에 용해시킨 10 중량 퍼센트 테트라부틸암모니움 클로라이드 하이드레이트 용액(10% by weight tetrabutylammonium chloride hydrate solution in water) 120g으로 충전된다. 상기 혼합물(mixture)은 25도(25℃)에서 0.5시간동안 스터링(stirring)으로 평형된다. 트리클로로실란(trichlorosilane)(377.4g, 2.78Mol), 메틸트리클로로실란 (methyltrichlorosilane)(277.7g, 1.86Mol), 그리고 (203.8g, 0.46Mol)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane)의 혼합물이, 70분이상 기간 동안 연동 펌프(peristaltic pump)를 사용하여, 상기 반응기에 첨가된다. 실란(silane)과 흡수 화합물(absorbing compound)의 첨가가 완료되면, 헥산(hexane)은 10분동안 라인들(lines)을 통해 펌프(pump)된다. 상기한 반응물(reaction)은 2.3시간동안 휘저어지고, 에탄올/물 층(ethanol/H2O layer)은 제거된다. 그리고 그 때 남아있는 헥산 용액(hexane solution)은 3미크론(micron : ㎛) 필터를 통해 여과되고, 그 다음에 1미크론(㎛) 필터에 의해 여과된다. 상기 용액에, (3957g, 45.92Mol)의 헥산(hexane)이 첨가된다.
9-안트라센 카르복시-프로필 트리메톡시실란(9-anthracene carboxy-propyl trimethoxysilane)을 함유한 흡수 SOG의 합성.
니트로겐 인레트(nitrogen inlet), 드라이아이스 콘덴서(dry ice condenser)와 미케니컬 스터러(mechanical stirrer)가 장착된 6리터 재킷 반응기(6L jacketed reactor)가 5000mL 헥산(hexanes) 720mL 에탄올(ethanol), 65mL 물과, 물에 용해시킨 10 중량 퍼센트 테트라부틸암모니움 클로라이드 하이드레이트 용액(10% by weight tetrabutylammonium chloride hydrate solution in water) 120g으로 충전된다. 상기 혼합물(mixture)은 25도(25℃)에서 0.5시간동안 스터링(stirring)으로 평형된다. 트리클로로실란(trichlorosilane)(377.4g, 2.78Mol), 메틸트리클로로실란 (methyltrichlorosilane)(277.7g, 1.86Mol), 그리고 (203.8g, 0.46Mol)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane)의 혼합물이, 70분이상 기간 동안 연동 펌프(peristaltic pump)를 사용하여, 상기 반응기에 첨가된다. 실란(silane)과 흡수 화합물(absorbing compound)의 첨가가 완료되면, 헥산(hexane)은 10분동안 라인들(lines)을 통해 펌프(pump)된다. 상기한 반응물(reaction)은 2.3시간동안 휘저어지고, 에탄올/물 층(ethanol/H2O layer)은 제거된다. 그리고 그 때 남아있는 헥산 용액(hexane solution)은 3미크론(micron : ㎛) 필터를 통해 여과되고, 그 다음에 1미크론(㎛) 필터에 의해 여과된다. 상기 용액에, (3957g, 45.92Mol)의 헥산(hexane)이 첨가된다.
9-안트라센 카르복시-부틸 트리프로폭시실란(9-anthracene carboxy-butyl tripropoxysilane)을 함유한 흡수 SOG의 합성.
니트로겐 인레트(nitrogen inlet), 드라이아이스 콘덴서(dry ice condenser)와 미케니컬 스터러(mechanical stirrer)가 장착된 6리터 재킷 반응기(6L jacketed reactor)가 5000mL 헥산(hexanes) 720mL 에탄올(ethanol), 65mL 물과, 물에 용해시킨 10 중량 퍼센트 테트라부틸암모니움 클로라이드 하이드레이트 용액(10% by weight tetrabutylammonium chloride hydrate solution in water) 120g으로 충전된다. 상기 혼합물(mixture)은 25도(25℃)에서 0.5시간동안 스터링(stirring)으로 평형된다. 트리클로로실란(trichlorosilane)(377.4g, 2.78Mol), 메틸트리클로로실란 (methyltrichlorosilane)(277.7g, 1.86Mol), 그리고 (203.8g, 0.46Mol)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane)의 혼합물이, 70분이상 기간 동안 연동 펌프(peristaltic pump)를 사용하여, 상기 반응기에 첨가된다. 실란(silane)과 흡수 화합물(absorbing compound)의 첨가가 완료되면, 헥산(hexane)은 10분동안 라인들(lines)을 통해 펌프(pump)된다. 상기한 반응물(reaction)은 2.3시간동안 휘저어지고, 에탄올/물 층(ethanol/H2O layer)은 제거된다. 그리고 그 때 남아있는 헥산 용액(hexane solution)은 3미크론(micron : ㎛) 필터를 통해 여과되고, 그 다음에 1미크론(㎛) 필터에 의해 여과된다. 상기 용액에, (3957g, 45.92Mol)의 헥산(hexane)이 첨가된다.
실시예 19
9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane)을 함유한 흡수 SOG의 합성.
5리터 플라스크에서, 508.8그램(3.10몰)의 트리에톡시실란(triethoxysilane : HTEOS), 135.8그램(0.31몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 그리고 508.8그램(8.77몰)의 아세톤(acetone)이 매그네틱 스터링(megnetic stirring)에 의해 혼합되고, 20도(℃) 이하로 냉각된다. 508.8그램(8.77몰)의 아세톤(acetone), 46.69그램(2.59몰 H2O, 0.0009몰 HNO3)의 0.02N 질산(0.02N nitric acid), 그리고 37.03그램(2.06몰)의 탈이온수(deionized water)의 혼합물이 드롭핑(dropping) 깔때기를 통해 상기 5리터 플라스크에 있는 상기 혼합물에 45분이상 기간동안 천천히 첨가되며, 온도는 20도(℃)이하를 유지한다. 상기 용액은 8시간동안 환류된다. 상기 용액에, 4631그램(30.67몰)의 에틸 젖산염(ethyl lactate)가 첨가된다.
9-안트라센 카르복시-프로필 트리에톡시실란(9-anthracene carboxy-propyl triethoxysilane)을 함유한 흡수 SOG의 합성.
5리터 플라스크에서, 508.8그램(3.10몰)의 트리에톡시실란(triethoxysilane : HTEOS), 135.8그램(0.31몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 그리고 508.8그램(8.77몰)의 아세톤(acetone)이 매그네틱 스터링(megnetic stirring)에 의해 혼합되고, 20도(℃) 이하로 냉각된다. 508.8그램(8.77몰)의 아세톤(acetone), 46.69그램(2.59몰 H2O, 0.0009몰 HNO3)의 0.02N 질산(0.02N nitric acid), 그리고 37.03그램(2.06몰)의 탈이온수(deionized water)의 혼합물이 드롭핑(dropping) 깔때기를 통해 상기 5리터 플라스크에 있는 상기 혼합물에 45분이상 기간동안 천천히 첨가되며, 온도는 20도(℃)이하를 유지한다. 상기 용액은 8시간동안 환류된다. 상기 용액에, 4631그램(30.67몰)의 에틸 젖산염(ethyl lactate)가 첨가된다.
9-안트라센 카르복시-에틸 트리메톡시실란(9-anthracene carboxy-ethyl trimethoxysilane)을 함유한 흡수 SOG의 합성.
5리터 플라스크에서, 508.8그램(3.10몰)의 트리에톡시실란(triethoxysilane : HTEOS), 135.8그램(0.31몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 그리고 508.8그램(8.77몰)의 아세톤(acetone)이 매그네틱 스터링(megnetic stirring)에 의해 혼합되고, 20도(℃) 이하로 냉각된다. 508.8그램(8.77몰)의 아세톤(acetone), 46.69그램(2.59몰 H2O, 0.0009몰 HNO3)의 0.02N 질산(0.02N nitric acid), 그리고 37.03그램(2.06몰)의 탈이온수(deionized water)의 혼합물이 드롭핑(dropping) 깔때기를 통해 상기 5리터 플라스크에 있는 상기 혼합물에 45분이상 기간동안 천천히 첨가되며, 온도는 20도(℃)이하를 유지한다. 상기 용액은 8시간동안 환류된다. 상기 용액에, 4631그램(30.67몰)의 에틸 젖산염(ethyl lactate)가 첨가된다.
9-안트라센 카르복시-프로필 트리부톡시실란(9-anthracene carboxy-propyl tributoxysilane)을 함유한 흡수 SOG의 합성.
5리터 플라스크에서, 508.8그램(3.10몰)의 트리에톡시실란(triethoxysilane : HTEOS), 135.8그램(0.31몰)의 9-안트라센 카르복시-메틸 트리에톡시실란(9-anthracene carboxy-methyl triethoxysilane), 그리고 508.8그램(8.77몰)의 아세톤(acetone)이 매그네틱 스터링(megnetic stirring)에 의해 혼합되고, 20도(℃) 이하로 냉각된다. 508.8그램(8.77몰)의 아세톤(acetone), 46.69그램(2.59몰 H2O, 0.0009몰 HNO3)의 0.02N 질산(0.02N nitric acid), 그리고 37.03그램(2.06몰)의 탈이온수(deionized water)의 혼합물이 드롭핑(dropping) 깔때기를 통해 상기 5리터 플라스크에 있는 상기 혼합물에 45분이상 기간동안 천천히 첨가되며, 온도는 20도(℃)이하를 유지한다. 상기 용액은 8시간동안 환류된다. 상기 용액에, 4631그램(30.67몰)의 에틸 젖산염(ethyl lactate)가 첨가된다.
실시예 20
페닐트리에톡시실란(phenyltriethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 104그램(0.432몰)의 페닐트리에톡시실란(phenyltriethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올(Butanol), 88그램(1.422몰)의 2-프로판올(2-propanol), 44그램(0.758몰)의 아세톤(acetone), 59그램(1.227몰)의 에탄올(ethanol), 9.5그램(0.528몰)의 탈이온수(deionized water)가 첨가되었다. 두께=1727Å (thickness=1727Å), n=1.957, k=0.384.
페닐트리메톡시실란(phenyltrimethoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 104그램(0.432몰)의 페닐트리에톡시실란(phenyltriethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올(Butanol), 88그램(1.422몰)의 2-프로판올(2-propanol), 44그램(0.758몰)의 아세톤(acetone), 59그램(1.227몰)의 에탄올(ethanol), 9.5그램(0.528몰)의 탈이온수(deionized water)가 첨가되었다.
페닐트리프로폭시실란(phenyltripropoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 104그램(0.432몰)의 페닐트리에톡시실란(phenyltriethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올(Butanol), 88그램(1.422몰)의 2-프로판올(2-propanol), 44그램(0.758몰)의 아세톤(acetone), 59그램(1.227몰)의 에탄올(ethanol), 9.5그램(0.528몰)의 탈이온수(deionized water)가 첨가되었다.
페닐트리부톡시실란(phenyltributoxysilane)을 함유한 흡수 SOG의 합성.
1리터 플라스크에 297그램(4.798몰)의 2-프로판올(2-propanol), 148그램(2.558몰)의 아세톤(acetone), 123그램(0.593몰)의 TEOS, 104그램(0.432몰)의 페닐트리에톡시실란(phenyltriethoxysilane), 0.6그램의 0.1M 질산(0.1M nitric acid)과 72그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간동안 환류되었다. 상기 용액에, 57그램(0.769몰)의 부탄올(Butanol), 88그램(1.422몰)의 2-프로판올(2-propanol), 44그램(0.758몰)의 아세톤(acetone), 59그램(1.227몰)의 에탄올(ethanol), 9.5그램(0.528몰)의 탈이온수(deionized water)가 첨가되었다.
실시예 21
페닐트리에톡시실란(Phenyltriethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(acetone), 93 그램(0.448몰)의 TEOS, 37 그램(0.209몰)의 MTEOS, 100 그램(0.418몰)의 페닐트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수(deionized water)가 결합되었다. 상기 플라스크는 4시간 동안 환류(reflux)되어졌다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올(Ethanol), 9.5 그램(0.528몰)의 탈이온수가 첨가되었다. 두께=1325 Å, n=1.923, k=0.364.
페닐트리메톡시실란(Phenyltrimethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(acetone), 93 그램(0.448몰)의 TEOS, 37 그램(0.209몰)의 MTEOS, 100 그램(0.418몰)의 페닐트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
페닐트리프리폭시실란(Phenyltripropoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤, 93 그램(0.448몰)의 TEOS, 37 그램(0.209몰)의 MTEOS, 100 그램(0.418몰)의 페닐트리에톡시실란(phenyltriethoxysilane), 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
실시예 22
페닐트리에톡시실란(Phenyltriethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤, 119 그램(0.573몰)의 TEOS, 27 그램(0.153몰)의 MTEOS, 74 그램(0.306몰)의 페닐트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올, 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다. 두께=1286 Å, n=1.889, k=0.286.
페닐트리메톡시실란(Phenyltrimethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤, 119 그램(0.573몰)의 TEOS, 27 그램(0.153몰)의 MTEOS, 74 그램(0.306몰)의 페닐트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
페닐트리프로폭시실란(Phenyltripropoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤, 119 그램(0.573몰)의 TEOS, 27 그램(0.153몰)의 MTEOS, 74 그램(0.306몰)의 페닐트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 이온이 제거된 물이 첨가된다.
실시예 23
페닐트리에톡시실란(Phenyltriethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(aceton), 73 그램(0.351몰)의 TEOS, 45 그램(0.251몰)의 MTEOS, 121 그램(0.503몰)의 페닐트리에톡시실란, 0.6 그램 0.1 M의 질산(nitric acid) 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다. 두께=1047 Å, n=1.993, k=0.378.
페닐트리메톡시실란(Phenyltrimethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(aceton), 73 그램(0.351몰)의 TEOS, 45 그램(0.251몰)의 MTEOS, 121 그램(0.0.503몰)의 페닐트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
페닐트리프로폭시실란(Phenyltripropoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(aceton), 73 그램(0.351몰)의 TEOS, 45 그램(0.251몰)의 MTEOS, 121 그램(0.0.503몰)의 페닐트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
페닐트리부톡시실란(Phenyltributoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(aceton), 73 그램(0.351몰)의 TEOS, 45 그램(0.251몰)의 MTEOS, 121 그램(0.0.503몰)의 페닐트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
실시예 24
페닐트리에톡시실란(Phenyltriethoxysilane)과 2-하이드록시-4(3-트리에오톡시실리프로폭시)-디페닐케톤(2-hydroxy-4(3-trieothoxysilypropoxy)-diphenylketone)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(aceton), 73 그램(0.351몰)의 TEOS, 45 그램(0.251몰)의 MTEOS, 103 그램(0.428몰)의 페닐트리에톡시실란, 12 그램(0.0298몰)의 2-하이폭시-4(3-트리에오톡시실리프로폭시)-디페닐케톤, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
실시예 25
4-에톡시페닐라조벤젠-4-카르복시-메틸 트리에톡시실란(4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(aceton), 123 그램(0.593몰)의 TEOS, 77 그램(0.432몰)의 MTEOS, 44.5 그램(0.13몰)의 4-에톡시페닐라조벤젠-4-카르복시-메틸 트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다. n=1.499, 365 nm에서 k=0.162.
4-에톡시페닐라조벤젠-4-카르복시-에틸 트리에톡시실란(4-ethoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(aceton), 123 그램(0.593몰)의 TEOS, 77 그램(0.432몰)의 MTEOS, 44.5 그램(0.13몰)의 4-에톡시페닐라조벤젠-4-카르복시-메틸 트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
4-메톡시페닐라조벤젠-4-카르복시-프로필 트리에톡시실란(4-methoxyphenylazobenzene-4-carboxy-propyl triethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(aceton), 123 그램(0.593몰)의 TEOS, 77 그램(0.432몰)의 MTEOS, 44.5 그램(0.13몰)의 4-에톡시페닐라조벤젠-4-카르복시-메틸 트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
4-메톡시페닐라조벤젠-4-카르복시-프로필 트리메톡시실란(4-methoxyphenylazobenzene-4-carboxy-propyl trimethoxysilane)을 함유한 흡수 SOG(absorbing SOG)의 합성
1리터 플라스크에 297 그램(4.798몰)의 2-프로판올(2-propanol), 148 그램(2.558몰)의 아세톤(aceton), 123 그램(0.593몰)의 TEOS, 77 그램(0.432몰)의 MTEOS, 44.5 그램(0.13몰)의 4-에톡시페닐라조벤젠-4-카르복시-메틸 트리에톡시실란, 0.6 그램 0.1 M의 질산 그리고 72 그램(3.716몰)의 탈이온수가 결합된다. 상기 플라스크는 4시간 동안 환류(reflux)된다. 상기 용액에, 57 그램(0.769몰)의 부탄올(Butanol), 88 그램(1.422몰)의 2-프로판올, 44 그램(0.758몰)의 아세톤, 59 그램(1.227몰)의 에탄올, 9.5 그램(0.528몰)의 탈이온수가 첨가된다.
그러므로, 흡수 화합물(absorbing compounds)을 포함하는 스핀-온-글래스(spin-on glass) 물질을 제공하는 합성물들 및 방법들의 특정 실시예들 및 응용예들이 개시되었다. 그러나, 상기 발명의 개념으로부터 벗어남이 없이 이미 설명된 것들에 더하여 더욱 많은 수정들이 가능함은 당업자에게 명백하다. 그러므로, 본 발명의 기술적 사상은 청구된 청구항들의 취지 이외에는 한정되지 않는다. 더욱이, 명세서 및 청구항의 해석에 있어서, 모든 용어들은 문맥에 맞게 가능한 가장 넓은 방식으로 해석되어야 한다. 특히, "포함하여 이루어진다"와 "포함하는"이라는 용어는 비배타적인 방식으로 요소들, 와합물들, 또는 단계들을 인용하는 것으로 해석되어야 하고, 인용된 요소들, 화합물들, 또는 단계들이 명시적으로 인용되지 않는 다른 요소들, 화합물들, 또는 단계들과 함께 존재하거나 사용되거나 결합될 수 있음을 나타낸다.
상기 내용 중에 포함됨

Claims (30)

  1. 실리콘계 화합물 및 375㎚ 미만의 파장에서 빛을 흡수하는 합체 가능 유기 흡수 화합물을 포함하는 흡수 스핀-온-글래스 조성물로서, 적어도 하나의 실리콘계 화합물 또는 합체 가능 유기 흡수 화합물이 알킬기, 알콕시기, 케톤기 또는 아조기를 포함하는 흡수 스핀-온-글래스 조성물.
  2. 제1항에 있어서,
    파장의 범위가 약 260㎚보다 적은 흡수 스핀-온-글래스 조성물.
  3. 제1항에 있어서,
    유기 흡수 화합물이 적어도 하나의 벤젠 고리 및 하이드록실기, 아민기, 카르복실산기, 및 알콕시기 및 할로겐 원자로 이루어지는 그룹으로부터 선택된 적어도 하나의 치환기에 결합된 실리콘을 갖는 치환된 실릴기로 이루어지는 그룹으로부터 선택된 반응기를 포함하는 흡수 스핀-온-글래스 조성물.
  4. 제3항에 있어서,
    유기 흡수 화합물이 둘 또는 그 이상의 벤젠 고리를 포함하는 흡수 스핀-온-글래스 조성물.
  5. 제4항에 있어서,
    둘 또는 그 이상의 벤젠 고리가 융합되어진 흡수 스핀-온-글래스 조성물.
  6. 제3항에 있어서,
    유기 흡수 화합물이 실리콘알콕시, 실리콘디알콕시 및 실리콘트리알콕시로 이루어지는 그룹으로부터 선택된 반응기를 포함하는 흡수 스핀-온-글래스 조성물.
  7. 제6항에 있어서,
    실리콘알콕시, 실리콘디알콕시 및 실리콘트리알콕시가 실리콘에톡시, 실리콘디에톡시, 실리콘트리에톡시, 실리콘메톡시, 실리콘디메톡시 및 실리콘트리메톡시기를 포함하는 흡수 스핀-온-글래스 조성물.
  8. 제3항에 있어서,
    반응기가 벤젠 고리에 직접 결합되어지는 흡수 스핀-온-글래스 조성물.
  9. 제3항에 있어서,
    반응기가 탄화수소 브릿지를 통해 벤젠 고리에 부착되어진 흡수 스핀-온-글래스 조성물.
  10. 제3항에 있어서,
    유기 흡수 화합물이 안트라플라브산, 9-안트라센 카르복실산, 9-안트라센 메탄올, 알리자린, 퀴니자린, 프리물린, 2-하이드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 로졸산, 트리에톡시실릴프로필-1,8-나프탈이미드, 9-안트라센 카르복시-알킬 트리에톡시실란, 페닐트리에톡시실란, 4-페닐아조페놀, 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란, 4-메톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란 및 이들의 혼합물로 이루어지는 그룹으로부터 선택된 흡수 화합물을 포함하는 흡수 스핀-온-글래스 조성물.
  11. 제10항에 있어서,
    9-안트라센 카르복시-알킬 트리에톡시실란이 9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 스핀-온-글래스 조성물.
  12. 제10항에 있어서,
    유기 흡수 화합물이 페닐트리에톡시실란을 포함하는 흡수 스핀-온-글래스 조성물.
  13. 제1항에 있어서,
    실리콘계 화합물은 메틸실록산, 메틸실세스퀴녹산, 페닐실록산, 페닐실세스퀴녹산, 메틸페닐실록산, 메틸페닐실세스퀴녹산, 실라잔 폴리머, 실리케이트 폴리머 및 이들의 혼합물로 이루어지는 그룹으로부터 선택된 폴리머를 포함하는 흡수 스핀-온-글래스 조성물.
  14. 제1항에 있어서,
    실리콘계 화합물은 하이드로겐실록산, 하이드로겐실세스퀴녹산, 올가노하이드리도실록산 및 올가노하이드리도실세스퀴녹산 폴리머; 및 하이드로겐실세스퀴녹산 및 알콕시하이드리도실록산 또는 하이드록시하이드리도실록산의 코폴리머로 이루어지는 그룹으로부터 선택되는 폴리머인 흡수 스핀-온-글래스 조성물.
  15. 제14항에 있어서,
    폴리머는 (H0-1.0SiO1.5-2.0)x(여기서 x는 약 8보다 더 크다) 및 (H0-1.0SiO 1.5-2.0)n(R0-1.0SiO1.5-2.0)m(여기서 m은 0보다 크고 n 및 m의 합은 약 8 부터 약 5000까지이고 R은 C1-C20 알킬기 또는 C6-C12 아릴기이다)을 포함하는 그룹으로부터 선택된 일반식의 폴리머인 흡수 스핀-온-글래스 조성물.
  16. 제1항의 흡수 스핀-온-글래스 조성물 및 용매 또는 용매 혼합물을 포함하는 코팅 용액.
  17. 제16항에 있어서,
    코팅 용액에 흡수 스핀-온-글래스 조성물 약 0.5 내지 약 20중량%가 있는 코팅 용액.
  18. 제17항에 있어서,
    용매가 에틸 락테이트 및 프로필렌 글리콜 프로필 에테르를 포함하는 그룹으로부터 선택되는 코팅 용액.
  19. 알콕시킬란 및 할로실란으로 이루어진 그룹으로부터 선택되는 적어도 하나의 실란 반응물, 적어도 하나의 합체가능한 유기 흡수 화합물, 산/물 혼합물 및 적어도 하나의 용매를 혼합하여 반응 혼합물을 형성하는 단계; 및
    상기 반응 혼합물을 환류시켜 흡수 스핀-온-글래스 조성물을 형성하는 단계를 포함하고, 흡수 스핀-온-글래스 조성물이 적어도 하나의 알킬기, 알콕시기, 케톤기 또는 아조기를 포함하는 흡수 스핀-온-글래스 조성물의 제조방법.
  20. 제19항에 있어서,
    적어도 하나의 유기 흡수 화합물이 적어도 하나의 벤젠 고리와, 하이드록실기, 아민기, 카르복실산기 및 알콕시기 및 할로겐 원자를 포함하는 적어도 하나의 치환기에 결합된 실리콘을 갖는 치환된 실릴기를 포함하는 반응기를 포함하는 흡수 스핀-온-글래스 조성물의 제조방법.
  21. 제19항에 있어서,
    적어도 하나 또는 그 이상의 유기 흡수 화합물이 안트라플라브산, 9-안트라센 카르복실산, 9-안트라센 메탄올, 알리자린, 퀴니자린, 프리물린, 2-하이드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 로졸산, 트리에톡시실릴프로필-1,8-나프탈이미드, 9-안트라센 카르복시-알킬 트리에톡시실란, 페닐트리에톡시실란, 4-페닐아조페놀, 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란, 4-메톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란 및 이들의 혼합물을 포함하는 흡수 스핀-온-글래스 조성물의 제조방법.
  22. 제21항에 있어서,
    9-안트라센 카르복시-알킬 트리에톡시실란이 9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 흡수 스핀-온-글래스 조성물의 제조방법.
  23. 제19항에 있어서,
    적어도 하나의 실란 반응물이 트리에톡시실란, 테트라에톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 테트라메톡시실란, 메틸트리메톡시실란, 트리메톡시실란, 디메틸디메톡시실란, 페닐트리에톡시실란, 페닐트리메톡시실란, 디페닐디에톡시실란, 디페닐디메톡시실란, 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 페닐트리클로로실란, 테트라클로로실란, 클로로트리에톡시실란, 클로로트리메톡시실란, 클로로메틸트리에톡시실란, 클로로에틸트리에톡시실란, 클로로페닐트리에톡시실란, 클로로메틸트리메톡시실란, 클로로에틸트리메톡시실란 및 클로로페닐트리메톡시실란을 포함하는 흡수 스핀-온-글래스 조성물의 제조방법.
  24. 제23항에 있어서,
    적어도 하나의 실란 반응물은 테트라에톡시실란 및 메틸트리에톡시실란을 포함하는 흡수 스핀-온-글래스 조성물의 제조방법.
  25. 제19항에 있어서,
    산/물 혼합물은 질산/물 혼합물인 흡수 스핀-온-글래스 조성물의 제조방법.
  26. 적어도 하나의 알콕시실란 또는 할로실란; 적어도 하나의 합체 가능한 유기 흡수 화합물; 산/물 혼합물; 및 적어도 하나의 용매를 혼합하여 반응 혼합물을 형성하는 단계; 및
    상기 반응 혼합물을 환류시켜 흡수 스핀-온-글래스 폴리머를 형성하는 단계를 포함하고,
    상기 스핀-온-글래스 조성물이 적어도 하나의 알킬기, 알콕시기, 케톤기 또는 아조기를 포함하는 흡수 스핀-온-글래스 폴리머를 함유하는 코팅 용액의 제조방법.
  27. 제26항에 있어서,
    코팅 용액을 제조하기 위하여 하나 또는 그 이상의 희석 용매를 흡수 스핀-온-글래스 조성물에 첨가하는 단계를 더 포함하는 코팅 용액의 제조방법.
  28. 제26항에 있어서,
    코팅 용액이 흡수 스핀-온-글래스 폴리머 약 0.5% 내지 약 20%인 코팅 용액의 제조방법.
  29. 9-안트라센 카르복실산, 클로로프로필트리에톡시실란, 트리에틸아민 및 용매를 혼합하여 반응 혼합물을 형성하는 단계;
    상기 반응 혼합물을 환류하는 단계;
    상기 환류된 반응 혼합물을 냉각시켜 침전 및 잔류 용액을 형성하는 단계;
    잔류 용액을 여과하여 9-안트라센 카르복시-프로필 트리에톡시실란 액체를 제조하는 단계를 포함하는 9-안트라센 카르복시-프로필 트리에톡시실란의 제조방법.
  30. 제29항에 있어서,
    잔류 용액을 여과하는 단계가 잔류 용액을 회전-증발시키는 단계;
    회전-증발된 용액을 실리카겔 칼럼을 통과시키는 단계; 및
    실리카겔 칼럼을 통과한 용액을 회전-증발시키는 단계를 포함하는 9-안트라센 카르복시-프로필 트리에톡시실란의 제조방법.
KR1020047007490A 2001-11-15 2002-10-31 포토리소그래피용 스핀-온-글래스 무반사 코팅 KR100897575B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/001,143 US6824879B2 (en) 1999-06-10 2001-11-15 Spin-on-glass anti-reflective coatings for photolithography
US10/001,143 2001-11-15

Publications (2)

Publication Number Publication Date
KR20050042069A true KR20050042069A (ko) 2005-05-04
KR100897575B1 KR100897575B1 (ko) 2009-05-15

Family

ID=21694597

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020047007485A KR20050042068A (ko) 2001-11-15 2002-10-31 포토리소그래피를 위한 비반사 스핀-온-글래스 코팅
KR1020047007490A KR100897575B1 (ko) 2001-11-15 2002-10-31 포토리소그래피용 스핀-온-글래스 무반사 코팅

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020047007485A KR20050042068A (ko) 2001-11-15 2002-10-31 포토리소그래피를 위한 비반사 스핀-온-글래스 코팅

Country Status (8)

Country Link
US (2) US6824879B2 (ko)
EP (1) EP1478683A4 (ko)
JP (3) JP2005509914A (ko)
KR (2) KR20050042068A (ko)
CN (2) CN1615333A (ko)
AU (1) AU2002336709A1 (ko)
TW (2) TWI308585B (ko)
WO (1) WO2003044079A1 (ko)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
KR20040075866A (ko) * 2001-11-15 2004-08-30 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
DE10227807A1 (de) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silylalkylester von Anthracen- und Phenanthrencarbonsäuren
JP4471123B2 (ja) * 2003-04-17 2010-06-02 日産化学工業株式会社 多孔質下層膜及び多孔質下層膜を形成するための下層膜形成組成物
WO2004113417A1 (en) * 2003-05-23 2004-12-29 Dow Corning Corporation Siloxane resin-based anti-reflective coating composition having high wet etch rate
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP5348843B2 (ja) * 2003-10-07 2013-11-20 ハネウエル・インターナシヨナル・インコーポレーテツド 集積回路用途の被覆およびハードマスク組成物、これらの製造方法および使用
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP5102428B2 (ja) * 2003-11-25 2012-12-19 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 導波路組成物およびこれから形成された導波路
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7507521B2 (en) * 2004-08-09 2009-03-24 Intel Corporation Silicon based optically degraded arc for lithographic patterning
US7687225B2 (en) * 2004-09-29 2010-03-30 Intel Corporation Optical coatings
JP5412037B2 (ja) * 2004-12-17 2014-02-12 ダウ・コーニング・コーポレイション シロキサン樹脂、シロキサン樹脂の調製方法および抗反射コーティング組成物
JP4995096B2 (ja) * 2004-12-17 2012-08-08 ダウ・コーニング・コーポレイション 反射防止膜の形成方法、レジスト画像の形成方法、パターンの形成方法、電子デバイスの製造方法及びarc組成物
CN101072896B (zh) 2004-12-17 2010-05-05 陶氏康宁公司 形成抗反射涂层的方法
JP4832955B2 (ja) * 2005-06-07 2011-12-07 信越化学工業株式会社 レジスト下層膜材料並びにそれを用いたパターン形成方法
US7862886B2 (en) * 2005-08-12 2011-01-04 Fujifilm Corporation Optical film, antireflection film, processes for producing the same, and polarizing plate and display employing the same
NO325797B1 (no) * 2005-10-14 2008-07-21 Nor X Ind As Lysbeskyttelsesmiddel basert på organisk/uorganisk hybridpolymer, fremgangsmåte til fremstilling og anvendelse av samme
US7642043B2 (en) * 2005-11-16 2010-01-05 Shin-Etsu Chemical Co., Ltd. Rework process for photoresist film
WO2007094848A2 (en) 2006-02-13 2007-08-23 Dow Corning Corporation Antireflective coating material
JP2008026500A (ja) * 2006-07-20 2008-02-07 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスおよびそれを用いたフォトマスクの製造方法
KR101296551B1 (ko) 2007-02-09 2013-08-13 가부시키가이샤 닛폰 쇼쿠바이 실란 화합물, 그 제조 방법 및 실란 화합물을 포함하는 수지 조성물
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
TWI439494B (zh) * 2007-02-27 2014-06-01 Braggone Oy 產生有機矽氧烷聚合物的方法
EP2121808A1 (en) 2007-02-27 2009-11-25 AZ Electronic Materials USA Corp. Silicon-based antifrelective coating compositions
JP5470687B2 (ja) * 2007-08-10 2014-04-16 富士通株式会社 シリコン化合物、紫外線吸収体、多層配線装置の製造方法および多層配線装置
US20090111925A1 (en) * 2007-10-31 2009-04-30 Burnham Kikue S Thermal interface materials, methods of production and uses thereof
US8318258B2 (en) 2008-01-08 2012-11-27 Dow Corning Toray Co., Ltd. Silsesquioxane resins
KR20100114075A (ko) * 2008-01-15 2010-10-22 다우 코닝 코포레이션 실세스퀴옥산 수지
US8304161B2 (en) * 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
EP2250215B1 (en) * 2008-03-05 2020-03-25 Dow Silicones Corporation Silsesquioxane resins
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
US7955782B2 (en) * 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
WO2010068337A1 (en) * 2008-12-10 2010-06-17 Dow Corning Corporation Wet-etchable antireflective coatings
US8809482B2 (en) 2008-12-10 2014-08-19 Dow Corning Corporation Silsesquioxane resins
KR101266290B1 (ko) * 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
JP5700003B2 (ja) * 2012-08-31 2015-04-15 大日本印刷株式会社 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスを用いたフォトマスクの製造方法
US9327487B2 (en) * 2012-08-31 2016-05-03 Xerox Corporation Variable lithographic printing process
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
KR20150095822A (ko) * 2012-12-13 2015-08-21 코닝 인코포레이티드 유리 및 유리 물품의 제조 방법
WO2014098076A1 (ja) * 2012-12-19 2014-06-26 日産化学工業株式会社 環状ジエステル基を有するシリコン含有レジスト下層膜形成組成物
JP5742903B2 (ja) * 2013-09-24 2015-07-01 大日本印刷株式会社 フォトマスクブランクス
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
KR102402923B1 (ko) * 2014-02-24 2022-05-27 도쿄엘렉트론가부시키가이샤 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스
JP2017518954A (ja) 2014-04-09 2017-07-13 コーニング インコーポレイテッド デバイスで改質された基体物品、およびそれを製造する方法
JP6196194B2 (ja) 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
JP5979268B2 (ja) * 2015-03-06 2016-08-24 大日本印刷株式会社 フォトマスクブランクス
TWI593753B (zh) * 2015-03-11 2017-08-01 Taimide Tech Incoporation Polyimide film and method of forming a radial circuit board from the film
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
CN107635769B (zh) 2015-05-19 2020-09-15 康宁股份有限公司 使片材与载体粘结的制品和方法
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
RU2626105C1 (ru) * 2016-04-11 2017-07-21 Акционерное Общество "Саратовский институт стекла" Способ получения просветляющего золь-гель покрытия на основе диоксида кремния
KR102338212B1 (ko) * 2016-04-28 2021-12-10 스미또모 가가꾸 가부시키가이샤 조성물
CN109313395B (zh) 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JP6252623B2 (ja) * 2016-05-20 2017-12-27 大日本印刷株式会社 フォトマスクブランクス
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TWI613167B (zh) * 2016-11-18 2018-02-01 宏益玻璃科技股份有限公司 一種抗眩光強化抗菌及抗指紋之玻璃面板製作方法
JP6895317B2 (ja) * 2017-05-24 2021-06-30 信越化学工業株式会社 多環式芳香族基片末端ポリオルガノシロキサンおよび組成物
KR102659516B1 (ko) 2017-08-18 2024-04-23 코닝 인코포레이티드 유리 적층체
US10748757B2 (en) * 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
CN111615567B (zh) 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法
JP7277700B2 (ja) * 2018-01-15 2023-05-19 セントラル硝子株式会社 撥水性保護膜形成用薬液、及びウェハの表面処理方法
US11500290B2 (en) 2018-11-13 2022-11-15 International Business Machines Corporation Adhesion promoters
JP7307005B2 (ja) 2019-04-26 2023-07-11 信越化学工業株式会社 硬化触媒の拡散距離を測定する方法
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN111362587B (zh) * 2020-04-28 2022-05-24 东莞南玻太阳能玻璃有限公司 一种高硬度防潮增透太阳能玻璃及其制备方法
CN115404005B (zh) * 2022-09-01 2024-01-05 北京星驰恒动科技发展有限公司 一种耐高温空间消杂光涂料及其制备方法和应用
WO2024162468A1 (ja) * 2023-02-02 2024-08-08 積水化学工業株式会社 シリコーン組成物
WO2024162466A1 (ja) * 2023-02-02 2024-08-08 積水化学工業株式会社 熱伝導性組成物及び熱伝導性成形体
WO2024162467A1 (ja) * 2023-02-02 2024-08-08 積水化学工業株式会社 シリコーン樹脂組成物

Family Cites Families (477)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3547766A (en) 1966-11-25 1970-12-15 Du Pont Laminated article
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (ko) * 1972-07-11 1976-07-28
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US4107133A (en) 1974-01-14 1978-08-15 Dainippon Ink & Chemicals, Inc. Colored polyethylene molding compositions
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
DE2720559A1 (de) 1977-05-07 1978-11-09 Basf Ag Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
US4302503A (en) 1978-05-17 1981-11-24 Libbey-Owens-Ford Company Architectural spandrel
JPS5563335A (en) 1978-11-07 1980-05-13 Yoshiyuki Kitajima Cooling method
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
US4590117A (en) * 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
ATE37242T1 (de) 1984-02-10 1988-09-15 Ciba Geigy Ag Verfahren zur herstellung einer schutzschicht oder einer reliefabbildung.
DE3561155D1 (ko) 1984-02-10 1988-01-21 Ciba-Geigy Ag
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS61274497A (ja) 1985-05-29 1986-12-04 Furuno Electric Co Ltd 送受波器
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
DE3684745D1 (de) 1985-08-29 1992-05-14 Du Pont Photopolymerisierbare zusammensetzung mit dizyklopentylacrylat oder methacrylat enthaltenden acrylcopolymeren.
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
DE3689949T2 (de) 1985-12-09 1995-03-16 Nippon Paint Co Ltd Druckmaterial auf der Basis eines lichtempfindlichen Harzes.
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
JPS63137437A (ja) 1986-11-28 1988-06-09 Matsushita Electric Works Ltd 半導体チツプの樹脂封止法
JPS63149949A (ja) 1986-12-12 1988-06-22 Fujitsu Ltd アダプティブ半固定等化器
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
JPS6428032A (en) 1987-07-22 1989-01-30 Nissan Motor Select lever control device for vehicle automatic transmission
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
WO1989004004A1 (en) 1987-10-24 1989-05-05 Ito Optical Industrial Co., Ltd. Processing solution for preventing reflection of optical parts and process for preventing reflection using the solution
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
JP2603291B2 (ja) 1988-04-19 1997-04-23 東芝シリコーン株式会社 第4級アンモニウム基含有シリコーン樹脂微粉末
US4914143A (en) * 1988-04-25 1990-04-03 General Electric Company Flexible silicone coatings for plastic substrates and methods for making thermoformable, abrasion-resistant thermoplastic articles
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
JPH02145511A (ja) 1988-08-22 1990-06-05 A Baron Nevley 紫外線吸収眼用軟化剤
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
DE68928548T2 (de) 1988-09-28 1998-04-23 Brewer Science Inc Multifunktionelle photolithographische zusammensetzungen
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
EP0388503B1 (en) 1989-02-03 1993-09-01 Mitsubishi Materials Corporation Method for pulling single crystals
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
EP0388343B1 (en) 1989-03-14 1996-07-17 International Business Machines Corporation Chemically amplified photoresist
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5306736A (en) 1989-05-16 1994-04-26 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
US5190804A (en) * 1989-11-27 1993-03-02 Toshiba Silicone Co., Ltd. Coated inorganic hardened product
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
DE69101338T2 (de) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind.
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
JP2517785B2 (ja) 1990-08-02 1996-07-24 信越化学工業株式会社 含浸性防水剤組成物
US5082758A (en) 1990-08-31 1992-01-21 Xerox Corporation Toner and developer compositions with charge enhancing additives
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
DE69130280T2 (de) 1990-10-16 1999-04-08 Mitsui Chemicals, Inc., Tokio/Tokyo Verwendung eines hochlichtdurchlässigen staubschützenden Films, Verfahren zu dessen Herstellung und staubschützendes Element
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (ja) * 1990-11-15 1998-02-16 信越化学工業株式会社 ポリオルガノシロキサン樹脂の製造方法
ATE127870T1 (de) 1990-12-13 1995-09-15 Ciba Geigy Ag Wässrige dispersion schwerlöslicher uv-absorber.
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
JPH0597478A (ja) * 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd 撥水性ガラス物品およびその製造方法
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
DE69225590T2 (de) 1992-02-05 1998-09-10 Toray Industries, Inc., Tokio/Tokyo Grundschicht und beidseitig beschichteter artikel
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (ja) 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
DE4394591T1 (de) 1992-09-24 1994-10-20 Kansai Paint Co Ltd Decküberzugszusammensetzung und Filmbildungsverfahren unter dessen Verwendung
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
US5349002A (en) * 1992-12-02 1994-09-20 General Electric Company Heat curable primerless silicone hardcoat compositions, and thermoplastic composites
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
JP3064753B2 (ja) 1993-08-10 2000-07-12 東亞合成株式会社 抗菌性熱可塑性樹脂成形体の製造方法
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5460911A (en) 1994-03-14 1995-10-24 Xerox Corporation Electrophotographic imaging member free of reflection interference
DE69511141T2 (de) 1994-03-28 2000-04-20 Matsushita Electric Industrial Co., Ltd. Resistzusammensetzung für tiefe Ultraviolettbelichtung
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US5705116A (en) 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
EP0768352A4 (en) 1994-06-30 1997-12-10 Hitachi Chemical Co Ltd MATERIAL FOR THE FORMATION OF A COATED THIN FILM BASED ON SILICA, MANUFACTURING METHOD THEREOF, INSULATING LAYER BASED ON SILICA, SEMICONDUCTOR DEVICE, AND MANUFACTURING METHOD THEREOF
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
JP3824334B2 (ja) * 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US6063714A (en) * 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
AU715653B2 (en) 1996-02-13 2000-02-10 Sola International Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
JP3694703B2 (ja) * 1996-04-25 2005-09-14 Azエレクトロニックマテリアルズ株式会社 反射防止コーティング用組成物
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
US5929159A (en) 1996-10-25 1999-07-27 Adsil Lc Oligomeric silicon coating compositions, articles coated therewith and method for forming coating composition and coated articles based thereon
DE69716218T2 (de) * 1996-11-20 2003-04-17 Jsr Corp., Tokio/Tokyo Härtbare Harzzusammensetzung und gehärtete Produkte
JPH10161315A (ja) 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
EP0887392B1 (en) 1996-12-13 2002-06-12 Matsushita Electric Works, Ltd. Silicone emulsion coating composition and processes for the preparation thereof
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
JP3137660B2 (ja) 1997-05-13 2001-02-26 麒麟麦酒株式会社 ガラス質被膜形成コーティング剤、ならびにそれを用いたコーティング方法およびコーティング装置
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
DE59802587D1 (de) 1998-01-22 2002-01-31 Kettenbach Gmbh & Co Kg Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
CA2318881A1 (en) 1998-02-04 1999-08-12 Tina Garyantes Virtual wells for use in high throughput screening assays
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US6303229B2 (en) * 1998-04-10 2001-10-16 Matsushita Electric Works, Ltd. Hydrophilic inorganic coating film and composition made from alkoxysilane and silica
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
WO1999056178A1 (en) 1998-04-29 1999-11-04 Brewer Science, Inc. Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6277750B1 (en) 1998-07-10 2001-08-21 Clariant Finance (Bvi) Limited Composition for bottom reflection preventive film and novel polymeric dye for use in the same
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6210856B1 (en) 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
DE60021449T2 (de) 1999-02-26 2006-05-24 Showa Denko K.K. Photopolymerisationsinitiator für Farbfilter, Farbzusammensetzung und Farbfilter
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
EP1190277B1 (en) * 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
CN1262582C (zh) 1999-07-30 2006-07-05 匹兹堡玻璃板工业俄亥俄股份有限公司 具有改进抗刮性的固化涂层、涂覆基材及其有关方法
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6649083B1 (en) * 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
DE60025153T2 (de) 1999-09-13 2006-08-31 Koninklijke Philips Electronics N.V. Elektrische lampe
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
US6451382B2 (en) 2000-02-08 2002-09-17 John B. Schutt Method for improving heat efficiency using silane coatings and coated articles produced thereby
AU2001238216A1 (en) 2000-02-14 2001-08-27 The Procter And Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
WO2001063358A1 (en) 2000-02-22 2001-08-30 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
YU64902A (sh) * 2000-02-28 2006-01-16 Adsil Lc. Preparati za prevlačenje bazirani na silanima, proizvodi obloženi na taj način i postupci za njihovo korišćenje
EP1127929B1 (en) * 2000-02-28 2009-04-15 JSR Corporation Composition for film formation, method of film formation, and silica-based film
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
EP1278799A1 (en) 2000-03-30 2003-01-29 General Electric Company Transparent, flame retardant poly(arylene ether) blends
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
DE60118052T2 (de) 2000-04-13 2006-10-26 Jsr Corp. Überzugsmittel, Verfahren zur Herstellung, gehärtetes Produkt und Beschichtungsfilm
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
AU2001288306A1 (en) 2000-08-18 2002-03-04 The Procter And Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
EP1837902B1 (en) 2000-08-21 2017-05-24 Dow Global Technologies LLC Use of organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
JP3772077B2 (ja) 2000-09-27 2006-05-10 株式会社東芝 パターン形成方法
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
TW538319B (en) * 2000-10-10 2003-06-21 Shipley Co Llc Antireflective composition, method for forming antireflective coating layer, and method for manufacturing electronic device
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
EP1350814A4 (en) * 2000-12-11 2007-09-19 Jsr Corp RADIATION SENSITIVE COMPOSITION, VARIABLE REFRACTION INDEX AND METHOD OF ALTERING REFRACTIVE INDEX
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
WO2002058699A1 (en) 2001-01-25 2002-08-01 Bristol-Myers Squibb Company Pharmaceutical forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6713643B2 (en) * 2001-05-24 2004-03-30 Board Of Trustees Of Michigan State University Ultrastable organofunctional microporous to mesoporous silica compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
EP1395984A4 (en) 2001-06-05 2007-08-15 Flexplay Technologies Inc OPTICAL DEVICES WITH LIMITED ACTIVITY WITH A REACTIVE INTERMITTENT LAYER AND METHOD OF MANUFACTURING THE SAME
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
JP2003025510A (ja) 2001-07-16 2003-01-29 Shin Etsu Chem Co Ltd 反射防止性及び耐擦傷性を有する多層積層体
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
DE10151264A1 (de) * 2001-10-17 2003-04-30 Degussa Aminoalkylalkoxysiloxanhaltige Gemische, deren Herstellung und deren Verwendung
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
KR20040075866A (ko) 2001-11-15 2004-08-30 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
KR100508296B1 (ko) 2002-02-01 2005-08-17 세이코 엡슨 가부시키가이샤 회로 기판, 전기 광학 장치 및 전자 기기
WO2003067682A2 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
KR100994818B1 (ko) 2002-03-04 2010-11-16 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 단파장 이미지화용 네거티브 포토레지스트
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
AU2003287194A1 (en) 2002-10-21 2004-05-13 Shipley Company L.L.C. Photoresists containing sulfonamide component
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
KR100882409B1 (ko) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지용 실리콘 수지, 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴 형성 방법
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品

Also Published As

Publication number Publication date
EP1478683A1 (en) 2004-11-24
EP1478683A4 (en) 2005-06-15
AU2002336709A1 (en) 2003-06-10
TWI324626B (en) 2010-05-11
TW200302259A (en) 2003-08-01
KR100897575B1 (ko) 2009-05-15
CN1615332A (zh) 2005-05-11
JP2005509914A (ja) 2005-04-14
WO2003044079A1 (en) 2003-05-30
US20140227538A1 (en) 2014-08-14
US20020095018A1 (en) 2002-07-18
JP2005509913A (ja) 2005-04-14
US6824879B2 (en) 2004-11-30
JP2009282524A (ja) 2009-12-03
CN1615333A (zh) 2005-05-11
JP4703745B2 (ja) 2011-06-15
TWI308585B (en) 2009-04-11
US9069133B2 (en) 2015-06-30
KR20050042068A (ko) 2005-05-04
TW200306342A (en) 2003-11-16

Similar Documents

Publication Publication Date Title
KR100897575B1 (ko) 포토리소그래피용 스핀-온-글래스 무반사 코팅
EP1190277B1 (en) Semiconductor having spin-on-glass anti-reflective coatings for photolithography
KR100705849B1 (ko) 포토리소그래피용 sog 반사방지 코팅
JP4381143B2 (ja) フォトリソグラフィー用スピンオン反射防止膜
KR100804870B1 (ko) 포토리소그래피에 사용되는 스핀-온 유리 반사방지 코팅용흡수성 화합물
JP2005512309A6 (ja) フォトリソグラフィー用スピンオン反射防止膜
KR100818678B1 (ko) 포토리소그라피용 스핀온 유리 반사 방지 피막
JP2011221549A (ja) フォトリソグラフィー用スピンオン反射防止膜
KR100917241B1 (ko) 포토리소그래피용 스핀-온 무반사 코팅
JP2009175747A (ja) フォトリソグラフィー用スピンオン反射防止膜
JP2009280822A (ja) フォトリソグラフィ用のスピンオングラス反射防止性コーティング

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee