JP2009282524A - フォトリソグラフィ用のスピンオングラス反射防止コーティング - Google Patents

フォトリソグラフィ用のスピンオングラス反射防止コーティング Download PDF

Info

Publication number
JP2009282524A
JP2009282524A JP2009125324A JP2009125324A JP2009282524A JP 2009282524 A JP2009282524 A JP 2009282524A JP 2009125324 A JP2009125324 A JP 2009125324A JP 2009125324 A JP2009125324 A JP 2009125324A JP 2009282524 A JP2009282524 A JP 2009282524A
Authority
JP
Japan
Prior art keywords
grams
group
mole
solution
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009125324A
Other languages
English (en)
Other versions
JP4703745B2 (ja
Inventor
Teresa Baldwin
ボールドウィン,テレサ
Nigel Hacker
ハッカー,ナイジェル
Joseph Kennedy
ケネディー,ジョセフ
Richard Spear
スピア,リチャード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of JP2009282524A publication Critical patent/JP2009282524A/ja
Application granted granted Critical
Publication of JP4703745B2 publication Critical patent/JP4703745B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/22Absorbing filters
    • G02B5/23Photochromic filters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/006Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character
    • C03C17/008Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character comprising a mixture of materials covered by two or more of the groups C03C17/02, C03C17/06, C03C17/22 and C03C17/28
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Abstract

【課題】紫外線スペクトル領域において均一で強い吸収を有する吸収性スピンオングラス反射防止コーティング及びリソグラフィー材料、並びにそのスピンオングラス反射防止コーティングの製造方法を提供する。
【解決手段】ケイ素系化合物及び375nm未満の波長の光を吸収する導入可能な有機吸収性化合物を含む吸収性スピンオングラス組成物であって、ケイ素系化合物又は導入可能な有機吸収性化合物の少なくとも1つがアルキル基、アルコキシ基、ケトン基、又はアゾ基を含む組成物。
【選択図】なし

Description

この出願は、Kennedyらに発行された(2001年7月31日)米国特許第6,268,457号の、2000年10月27日に出願された米国特許出願第09/698,883号の、及び2000年1月26日に出願された米国特許出願第09/491,166号の、一部継続である。これらの全てがリファレンスにより本明細書に組み込まれる。
本発明は、一般に、スピンオングラス材料に関し、より詳細には、フォトリソグラフィーにおける反射防止層として使用されるための光-吸収性スピンオングラス材料、及びその材料の製造方法に関する。
より高速な性能に対する要求を満たすために、集積回路デバイスの形状の特徴的な寸法は縮小され続けている。より小さなサイズの形状を有するデバイスを量産するために、半導体製造において使用されている従来の多くのプロセスにおいて新しい試みが導入されている。これら製造プロセスの最も重要なものの一つが、フォトリソグラフィーである。
フォトリソグラフィーによって製造されるパターンにおけるライン幅のバラツキは、半導体ウェハー上の下層で反射する光からの光学的干渉によるものと長い間考えられてきている。下層のトポグラフィーによるフォトレジスト厚さのバラツキも、ライン幅のバラツキを誘発する。フォトレジスト層の下に施される反射防止コーティング(ARC)が、照射ビームの反射による干渉を防止するために使用されてきている。加えて、反射防止コーティングは、ウェハーのトポグラフィーを部分的に平坦化し、フォトレジスト厚さがより均一になるので、各工程においてライン幅のバラツキを改善する助けとなる。
有機ポリマーフィルム、特に、i−線(365 nm)及びg−線(436 nm)の波長(フォトレジストを露光するために従来から使用されている波長)で吸収するものや最近使用される248 nmの波長で吸収するものは、反射防止コーティングとして採用されてきている。しかし、有機ARCが有機フォトレジストと多くの化学的性質を共有しているという事実は、使用可能なプロセスのシーケンスを制限し得る。更に、ARCは、フォトレジスト層と混ざり合ってしまう可能性がある。この混ざり合いを回避する解決策が、例えば、Flaimらの米国特許第5,693,691号に記載されているような、有機ARCの追加成分として熱硬化性バインダーを導入することである。Arnoldらの米国特許第4,910,122号に記載されているように、染料もまた有機ARCに組み込まれてもよく、更に、場合によって、湿潤剤、接着促進剤、防腐剤、及び可塑剤が組み込まれてもよい。
反射防止コーティングとして使用されてきた別の材料として、ケイ素のオキシ窒化物がある。しかし、ケイ素のオキシ窒化物は、吸収によるというよりもむしろ弱め合う干渉プロセスによるARCとして働く。このことは、オキシ窒化物の厚さを非常に厳密に制御することが必要であること、及びその材料が変化に富んだトポグラフィー上でARCとして上手く働かない可能性があることを意味する。更に、ケイ素のオキシ窒化物は、典型的には、化学蒸着法によって堆積される一方、フォトレジスト層は、典型的には、スピンコーターを使用して塗布される。追加の化学蒸着プロセスは製造工程の複雑化をもたらし得る。
反射防止層として使用できる材料のもう一つのクラスが、染料を含むスピンオングラス(SOG)組成物である。Yauらの米国特許第4,587,138号は、ほぼ1重量%の量でスピンオングラスと混合したベーシックイエロー #11 などの染料を開示している。Allmanらの米国特許第5,100,503号は、Ti02、Cr207、MoO4、MnO4、Sc04などの無機染料及び接着促進剤を含む架橋ポリオルガノシロキサンを開示している。Allmanは、更に、スピンオングラス組成物も平坦化層として寄与することを教示している。しかし、今までに開示されてきたスピンオングラスと染料との組合せは、小さなサイズの形状を有するデバイスの製造に使用されるようになってきている深紫外線(deep ultraviolet)、特に248及び193 nmの光源に露光するには最適であると言えない。更に、全ての染料が任意のスピンオングラス組成物に容易に組み込むことができると言うわけではない。
それゆえ、紫外線スペクトル領域において均一で強い吸収を有する吸収性スピンオングラス反射防止コーティング及びリソグラフィー材料、並びにそのスピンオングラス反射防止コーティングの製造方法が望まれる。また、このARC層はフォトレジスト現像液に不浸透であることが望ましい。
米国特許第5,693,691号 米国特許第4,910,122号 米国特許第4,587,138号 米国特許第5,100,503号
深紫外線フォトリソグラフィー用の反射防止コーティング材料は、スピンオングラス(SOG)材料に組み込まれた1以上の有機吸収性(absorbing:光吸収性又は吸光性)化合物を含む。このスピンオングラス材料は、ケイ素系化合物、例えば、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、シリケートポリマー及びそれらの混合物などを含む。本明細書において使用されているような"スピンオングラス材料"として知られる群はまた、シロキサンポリマー、一般式(H0-1.0SiO1.5-2.0)Xのハイドロジェンシロキサンポリマー、及び式(HSiO1.5)xを有するハイドロジェンシルセスキオキサンポリマー(上記式においてxは約4より大きい。)を含む。ハイドロジェンシルセスキオキサンとアルコキシヒドリドシロキサン又はヒドロキシヒドリドシロキサンとのコポリマーも含まれる。スピンオングラス材料は、更に、一般式(H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)mのオルガノヒドリドシロキサンポリマー及び一般式(HSiO1.5)n(RSiO1.5)mのオルガノヒドリドシルセスキオキサンポリマー(上記式において、mは0より大きく、n及びmの合計は約4より大きく、Rはアルキル又はアリールである)を含む。
スピンオングラス材料に組み込むのに好適な吸収性化合物は、375 nm未満又は約260 nm未満の波長で強い吸収を有する。特に、好適な吸収性化合物は、例えば、248 nm、193 nm、157 nm付近の波長、又は他の紫外線の波長(例えば、フォトリソグラフィーに使用され得る365 nmの波長)を吸収する。好適な化合物のクロモフォア(chromophore)は、典型的には、少なくとも1つのベンゼン環を有する。この場合、2以上のベンゼン環が存在し、その環は、縮合していても縮合していなくてもよい。導入可能な(incorporatable)吸収性化合物は、クロモフォアに取り付けられた入手しやすい反応性基を有し、その反応性基は、ヒドロキシル基、アミン基、カルボン酸基、及び1、2又は3のアルコキシ基又はハロゲン原子の置換基に結合したケイ素を有する置換シリル基を含むことができる。その反応性基はクロモフォアに直接に結合してもよいし、或いはその反応性基は炭化水素橋又は酸素の結合を介してクロモフォアに取り付けられてもよい。クロモフォアは、スピンオングラス材料を処方するために使用されるものと同様なケイ素系化合物又はポリマーを含んでもよい。
好適な導入可能な有機吸収性化合物としては、ベンゼン環を有する化合物、例えば、フェニルトリアルコキシシラン(フェニルトリエトキシシラン、フェニルトリメトキシシラン、フェニルトリプロポキシシラン;2以上の縮合していないベンゼン環を有する化合物、例えば、2-ヒドロキシ-4-(3-トリアルコキシシリルプロポキシ)-ジフェニルケトン、3-ヒドロキシ-4-(3-トリアルコキシシリルプロポキシ)-ジフェニルケトン、ロゾール酸、4-フェニルアゾフェノール、及びアルコキシフェニルアゾベンゼン-4-カルボキシ-アルキルトリエトキシシラン、アリザリン、キニザリン、9-アントラセンカルボキシ-アルキルトリエトキシシラン(9-アントラセンカルボキシ-メチルトリエトキシシラン、9-アントラセンカルボキシ-エチルトリエトキシシラン、9-アントラセンカルボキシ-ブチルトリエトキシシラン、9-アントラセンカルボキシ-プロピルトリエトキシシラン、9-アントラセンカルボキシ-ペンチルトリエトキシシラン)、9-アントラセンカルボン酸、9-アントラセンメタノール、それらの混合物などが挙げられる。
本発明の別の面によれば、吸収性スピンオングラス組成物を合成する方法が提供される。スピンオングラス材料は、慣用的には、シラン及びケイ素系反応剤、例えば、トリエトキシシラン、テトラエトキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、テトラメトキシシラン、メチルトリメトキシシラン、トリメトキシシラン、ジメチルジメトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、ジフェニルジエトキシシラン、及びジフェニルジメトキシシランなどから合成される。シラン反応剤としては、ハロシラン、特にクロロシラン、例えば、トリクロロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリクロロシラン、テトラクロロシラン、ジクロロシラン、メチルジクロロシラン、ジメチルジクロロシラン、クロロトリエトキシシラン、クロロトリメトキシシラン、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシラン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロエチルメトキシシラン、及びクロロフェニルトリメトキシシランなども使用される。
吸収性スピンオングラス組成物の製造方法は、1以上のアルコキシシラン又は1以上のハロシラン、1以上の導入可能な有機吸収性化合物、酸/水混合物、例えば、硝酸/水混合物、及び1以上の溶媒を混合して反応混合物を形成すること;及び反応混合物を還流して吸収性スピンオングラス組成物を形成することを含む。そのようにして形成されたスピンオングラス組成物は、1以上の溶媒で希釈されて様々な厚さのフィルムを製造するためのコーティング溶液を与える。吸収性スピンオングラス組成物を製造するための別の方法としては、ハロシラン及び相間移動触媒を使用する方法が挙げられる。
本発明の別の面において、ケイ素系化合物及び約375 nm未満の波長で強く光を吸収する導入可能な有機吸収性化合物を含む吸収性スピンオン組成物が提供される。更に、少なくとも1つのケイ素系化合物又は導入可能な有機吸収性化合物が少なくとも1つのアルキル基、アルコキシ基、ケトン基又はアゾ基を含む吸収性スピンオン組成物が提供される。
本発明の更に別の面によれば、9-アントラセンカルボキシ-アルキルトリアルコキシシランを含む化学的クラスの吸収性化合物が提供される。9-アントラセンカルボキシ-アルキルトリアルコキシシランの何れか一つを合成する方法は、9-アントラセンカルボン酸、クロロアルキルトリアルコキシシラン、トリエチルアミン、及び溶媒を混合して反応混合物を形成すること;反応混合物を還流すること;還流した反応混合物を冷却して析出物及び残留溶液を形成すること;及び残留溶液を濾過して液状9-アントラセンカルボキシ-アルキルトリアルコキシシランを製造することを含む。
図1aは、スピンオングラス組成物に導入される吸収性化合物の化学式を示す。 図1bは、スピンオングラス組成物に導入される吸収性化合物の化学式を示す。 図1cは、スピンオングラス組成物に導入される吸収性化合物の化学式を示す。 図1dは、スピンオングラス組成物に導入される吸収性化合物の化学式を示す。 図1eは、スピンオングラス組成物に導入される吸収性化合物の化学式を示す。 図1fは、スピンオングラス組成物に導入される吸収性化合物の化学式を示す。 図2aは、フォトリソグラフィープロセスにおける反射防止コーティング層としての吸収性スピンオングラス組成物の使用法を示す。 図2bは、フォトリソグラフィープロセスにおける反射防止コーティング層としての吸収性スピンオングラス組成物の使用法を示す。 図2cは、フォトリソグラフィープロセスにおける反射防止コーティング層としての吸収性スピンオングラス組成物の使用法を示す。 図2dは、フォトリソグラフィープロセスにおける反射防止コーティング層としての吸収性スピンオングラス組成物の使用法を示す。 図2eは、フォトリソグラフィープロセスにおける反射防止コーティング層としての吸収性スピンオングラス組成物の使用法を示す。 図2fは、フォトリソグラフィープロセスにおける反射防止コーティング層としての吸収性スピンオングラス組成物の使用法を示す。 図2gは、フォトリソグラフィープロセスにおける反射防止コーティング層としての吸収性スピンオングラス組成物の使用法を示す。 図2hは、フォトリソグラフィープロセスにおける反射防止コーティング層としての吸収性スピンオングラス組成物の使用法を示す。
紫外線フォトリソグラフィー用の反射防止コーティング材料は、スピンオングラス(SOG)材料に導入される、少なくとも1つの有機吸収性化合物を含む。吸収性スピンオングラス組成物は、適切な溶媒に溶かしてコーティング溶液を形成し、半導体デバイスを製造する際に様々な材料の層に塗布する。吸収性スピンオングラス反射防止コーティングは、現存する半導体製造プロセスに容易に導入されるように設計される。導入を容易にするためのいくつかの性質としては、a)現像剤耐性、b)標準フォトレジストプロセスを行う間の熱安定性、c)下層に対する選択的除去性などが挙げられる。
企図されるスピンオングラス材料は、ケイ素系化合物、例えば、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、シラザンポリマー、シリケートポリマー、及びそれらの混合物などを含む。企図されるシラザンポリマーはペルヒドロシラザンであり、これはクロモフォアを結合できる「透明」ポリマー主鎖を有する。本明細書で使用されるように、「スピンオングラス材料」との文言は、シロキサンポリマー及びブロックポリマー、一般式(H0-1.0SiO1.5-2.0)Xのハイドロジェンシロキサンポリマー、及び式(HSiO1.5)xを有するハイドロジェンシルセスキオキサンポリマー(上記式においてxは約4より大きい。)をも含む。ハイドロジェンシルセスキオキサンとアルコキシヒドリドシロキサン又はヒドロキシヒドリドシロキサンとのコポリマーも含まれる。スピンオングラス材料は、更に、一般式(H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)mのオルガノヒドリドシロキサンポリマー及び一般式(HSiO1.5)n(RSiO1.5)mのオルガノヒドリドシルセスキオキサンポリマー(上記式において、mは0より大きく、n及びmの合計は約4より大きく、Rはアルキル又はアリールである)を含む。いくつかの有用なオルガノヒドリドシロキサンポリマーにおいて、n及びmの合計は約4〜約5000であり、RはC-C20のアルキル基又はC6-C12のアリール基である。オルガノヒドリドシロキサン及びオルガノヒドリドシルセスキオキサンポリマーはスピンオンポリマーとも呼ばれる。具体例としては、アルキルヒドリドシロキサン、例えば、メチルヒドリドシロキサン、エチルヒドリドシロキサン、プロピルヒドリドシロキサン、t-ブチルヒドリドシロキサン、フェニルヒドリドシロキサン;アルキルヒドリドシルセスキオキサン、例えば、メチルヒドリドシルセスキオキサン、エチルヒドリドシルセスキオキサン、プロピルヒドリドシルセスキオキサン、t-ブチルヒドリドシルセスキオキサン、フェニルヒドリドシルセスキオキサン、及びそれらの混合物などが挙げられる。
多くのナフタレン系及びアントラセン系化合物は、248 nm以下に有意な吸収を有する。ベンゼン系(ここではフェニル系と同様な意味で用いる)化合物は、200 nmよりも短い波長に有意な吸収を有する。これらナフタレン系、アントラセン系、及びフェニル系化合物はしばしば染料として言及される一方で、吸収性化合物という用語が本明細書では使用される。その理由は、これら化合物の吸収がスペクトルの可視領域の波長に限定されないためである。しかし、そのような吸収性化合物の全てがARC材料として使用するためのスピンオングラスに導入できるわけではない。本発明の使用に好適な吸収性化合物は、例えば、248 nm、193 nmなどの波長付近を中心とする波長範囲又はフォトリソグラフィーにおいて使用され得る、例えば、365 nmなどの他の紫外線波長範囲で光を吸収する。
好適な吸収性化合物のクロモフォアは、典型的には、少なくとも1つのベンゼン環を有する。ここで、2以上のベンゼン環を有する場合には、その環は縮合していても或いは縮合していなくてもよい。導入可能な吸収性化合物は、クロモフォアに結合した入手容易な反応性基を有する。ここで、反応性基としては、例えば、ヒドロキシル基、アミン基、カルボン酸基、及び1、2又は3の脱離基(例えば、アルコキシ基又はハロゲン原子)に結合したケイ素を有する置換されたシリル基などが挙げられる。エトキシ又はメトキシ基又は塩素原子がしばしば脱離基として使用される。しばしば使用されるこれら脱離基を含む反応性基としては、例えば、ケイ素アルコキシ、ケイ素ジアルコキシ、及びケイ素トリアルコキシ化合物、例えば、ケイ素エトキシ、ケイ素ジエトキシ、ケイ素トリエトキシ、ケイ素メトキシ、ケイ素ジメトキシ、ケイ素トリメトキシ、クロロシリル、ジクロロシリル、及びトリクロロシリル基などが挙げられる。反応性基は、例えば、フェニルトリエトキシシランにおけるようにクロモフォアに直接に結合してもよいし、或いは、反応性基は、例えば、9-アントラセンカルボキシ-アルキルトリエトキシシランにおけるように酸素結合又は炭化水素橋を介してクロモフォアに結合してもよい。クロモフォア上のケイ素トリアルコキシ基を含むことは、例えば、特に、吸収性SOGフィルムの安定性を促進するために有利であることがわかっている。他の有用な吸収性化合物としては、アゾ基、-N=N-、及び入手容易な反応性基、特にアゾ基に結合しているベンゼン環を含むものが挙げられ、特に、365 nm付近の吸収が特定の用途に望ましいときに、有用である。
吸収性スピンオングラス組成物又は材料において、吸収性化合物は、スピンオングラスマトリックス中の隙間に導入されてもよい。別の方法として、吸収性化合物は、スピンオングラスポリマーに化学的に結合されることもできる。ある企図された態様において、導入可能な吸収性化合物は、入手容易な反応性基を介してスピンオングラスポリマーの主鎖と結合を形成する。
ある企図された態様において、吸収性スピンオン組成物は、ケイ素系化合物及び約375 nm未満の波長にわたる光を吸収する導入可能な有機吸収性化合物を含む。ある企図された態様において、吸収性スピンオン組成物は、2 nmより長い波長範囲にわたる光を吸収する。更に他の企図された態様において、吸収性スピンオン組成物は、10 nmより長い波長範囲にわたる光を吸収する。
更に、少なくとも1つのケイ素系化合物又は導入可能な有機吸収性化合物は、少なくとも1つのアルキル基、アルコキシ基、ケトン基又はアゾ基を含む。
本発明での使用に好適な吸収性化合物としては、例えば、アンスラフラビックアシッド(1)、9-アントラセンカルボン酸(2)、9-アントラセンメタノール(3)、9-アントラセンエタノール(4)、9-アントラセンプロパノール(5)、9-アントラセンブタノール(6)、アリザドロキシ-4-(3-トリエトキシシリルプロポキシ)-ジフェニルケトン(10)、2-ヒドロキシ-4-(3-トリメトキシシリルプロポキシ)-ジフェニルケトン(11)、2-ヒドロキシ-4-(3-トリブトキシシリルプロポキシ)-ジフェニルケトン(12)、2-ヒドロキシ-4-(3-トリプロポキシシリルプロポキシ)-ジフェニルケトン(13)、ロゾール酸(14)、トリエトキシシリルプロピル-1,8-ナフタルイミド(15)、トリメトキシシリルプロピル-1,8-ナフタルイミド(16)、トリプロポキシシリルプロピル-1,8-ナフタルイミド(17)、9-アントラセンカルボキシ-メチルトリエトキシシラン(18)、9-アントラセンカルボキシ-エチルトリエトキシシラン(19)、9-アントラセンカルボキシ-ブチルトリエトキシシラン(20)、9-アントラセンカルボキシ-プロピルトリエトキシシラン(21)、9-アントラセンカルボキシ-メチルトリメトキシシラン(22)、9-アントラセンカルボキシエチルトリブトキシシラン(23)、9-アントラセンカルボキシ-メチルトリプロポキシシラン(24)、9-アントラセンカルボキシ-プロピルトリメトキシシラン(25)、フェニルトリエトキシシラン(26)、フェニルトリメトキシシラン(27)、フェニルトリプロポキシシラン(28)、4-フェニルアゾフェノール(29)、4-エトキシフェニルアゾベンゼン-4-カルボキシメチルトリエトキシシラン(30)、4-メトキシフェニルアゾベンゼン-4-カルボキシ-エチルトリエトキシシラン(31)、4-エトキシフェニルアゾベンゼン-4-カルボキシ-プロピルトリエトキシシラン(32)、4-ブトキシフェニルアゾベンゼン-4-カルボキシ-プロピルトリエトキシシラン(33)、4-メトキシフェニルアゾベンゼン-4-カルボキシ-メチルトリエトキシシラン(34)、4-エトキシフェニルアゾベンゼン-4-カルボキシ-メチルトリエトキシシラン(35)、4-メトキシフェニルアゾベンゼン-4-カルボキシ-エチルトリエトキシシラン(36)、4-メトキシフェニルアゾベンゼン-4-カルボキシ-プロピルトリエトキシシラン(37)、及びそれらの組合せが挙げられる。吸収性化合物1-37の化学式を図1a-1fに示す。例えば、9-アントラセンカルボキシ-メチルトリエトキシシラン(18)で、9-アントラセンメタノール(3)、2-ヒドロキシ-4-(3-トリエトキシシリルプロポキシ)-ジフェニルケトン(10)及びロゾール酸(14)の組合せで、及びフェニルトリエトキシシラン(26)で、有利な結果が得られている。しかし、この特定の化合物のリストが絶対的なリストではなく、企図される好ましい化合物はこれら特定の化合物を含む化学的化合物クラスから選択できることが理解されるべきである。
これら吸収性化合物の殆どが、例えば、Aldrich Chemical Company (Milwaukee, WI)から市販されている。9-アントラセンカルボキシ-アルキルトリアルコキシシランは、以下に説明するエステル化法を使用して合成される。上記吸収性化合物の他に、フェニル系吸収性化合物としては、アルコキシ安息香酸化合物(例えば、メトキシ安息香酸);フェニル環に又は置換フェニル(例えば、メチルフェニル、クロロフェニル、クロロメチルフェニルなど)に結合したケイ素系反応性基を有する構造が挙げられる。特定のフェニル系吸収性化合物としては、例えば、フェニルトリメトキシシラン、ベンジルトリクロロシラン、クロロメチルフェニルトリメトキシシラン、フェニルトリフルオロシランなどが挙げられるが、これらはほんの数例に過ぎない。1又は2の脱離基を含むジフェニルシラン(例えば、ジフェニルメチルエトキシシラン、ジフェニルジエトキシシラン、及びジフェニルジクロロシランなど、これらもほんの数例に過ぎない。)も好適な導入可能な吸収性化合物である。
9-アントラセンカルボキシ-アルキルトリアルコキシシラン化合物を合成する一般的な方法は、9-アントラセンカルボン酸及びクロロメチルトリアルコキシシラン化合物を反応剤として使用することを含む。特に、9-アントラセンカルボキシ-メチルトリエトキシシラン(18)を合成する方法は、9-アントラセンカルボン酸(2)及びクロロメチルトリエトキシシランを反応剤として使用する。反応剤は、予め4Åモレキュラーシーブで乾燥した、トリエチルアミン及びメチルイソブチルケトン(MIBK)と混合し、反応混合物を形成する。この混合物は、還流するために加熱され、ほぼ6〜10時間還流される。還流後、反応混合物は、一晩冷却され、大量の固体析出物を生成する。残留溶液を回転蒸留(roto-evaporated)し、シリカゲルカラムを通じて濾過し、2回目の回転蒸留を行い、9-アントラセンカルボキシ-メチルトリエトキシシラン(18)を暗琥珀色の油状液体を製造する。この液体は精製してもよい。この方法は重要である。なぜなら、9-アントラセンカルボキシ-アルキルトリアルコキシシランのクラス(例えば、9-アントラセンカルボキシ-エチルトリエトキシシラン(TESAC)、9-アントラセンカルボキシ-プロピルトリメトキシシラン、9-アントラセンカルボキシ-プロピルトリエトキシシラン(ACTEP)など)における全ての化合物を製造するために使用するのに好適だからである。
本発明の別の面によれば、吸収性スピンオングラス組成物の合成方法が提供される。スピンオングラス材料は、典型的には、種々のシラン反応剤、例えば、トリエトキシシラン(HTE0S)、テトラエトキシシラン(TEOS)、メチルトリエトキシシラン(MTEOS)、ジメチルジエトキシシラン、テトラメトキシシラン(TMOS)、メチルトリメトキシシラン(MTMOS)、トリメトキシシラン、ジメチルジメトキシシラン、フェニルトリエトキシシラン(PTEOS)、フェニルトリメトキシシラン(PTMOS)、ジフェニルジエトキシシラン、ジフェニルジメトキシシランなどから合成される。例えば、クロロシラン(例えば、トリクロロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリクロロシラン、テトラクロロシラン、ジクロロシラン、メチルジクロロシラン、ジメチルジクロロシラン、クロロトリエトキシシラン、クロロトリメトキシシラン、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシラン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロエチルトリメトキシシラン、クロロフェニルトリメトキシシランなど)などのハロシランもシラン反応剤として使用される。吸収性スピンオングラス組成物を製造するために、吸収性化合物、例えば、吸収性化合物1-37又はそれらの組合せは、SOG材料の合成の間にシラン反応剤と結合される。
第1の方法において、シラン反応剤(例えば、HTEOS、又はTEOS及びMTEOS、又はTMOS及びMTMOS;又は別の方法として、テトラクロロシラン及びメチルトリクロロシランなど)、1以上の吸収性化合物(例えば、吸収性化合物1-37など);溶媒又は溶媒の組合せ;及び酸/水混合物を含む反応混合物を反応容器内に形成する。適当な溶媒としては、例えば、アセトン、2-プロパノール、他の簡単なアルコール、ケトン及びエステル、例えば、1-プロパノール、MIBK、プロポキシプロパノール、及び酢酸プロピルなどが挙げられる。酸/水混合物は、例えば、硝酸及び水である。他のプロトン酸又は酸無水物、例えば、酢酸、ギ酸、燐酸、塩酸又は無水酢酸などが別の方法として酸混合物において使用される。得られた混合物は、ほぼ1〜24時間還流されて吸収性SOGポリマー溶液を生成する。
吸収性SOGは、適当な溶媒で希釈して様々な厚さのフィルムを製造するためのコーティング溶液にすることができる。好適な希釈用溶媒としては、例えば、アセトン、2-プロパノール、エタノール、ブタノール、メタノール、プロピルアセテート、エチルラクテート、プロピレングリコールプロピルエーテル(プロパゾル−P(Propasol-P)として市販されている)などが挙げられる。高沸点を有する希釈用溶媒、例えば、エチルラクテート、プロピレングリコールプロピルエーテルなどが有利であることがわかっている。高沸点溶媒は泡膜欠陥が形成される可能性を減少させると信じられる。対照的に、低沸点溶媒は架橋された上部フィルム層の下に閉じ込められて、その後、ベーキング処理工程の間に除去されるときにボイドを生じる可能性がある。本発明において有用な追加の溶媒としては、エチレングリコールジメチルエーテル(別にグライムともいう)、アニソール、ジブチルエーテル、ジプロピルエーテル、プロピレングリコールメチルエーテルアセテート、ペンタノールなどが挙げられる。場合によって、界面活性剤、例えば、製品FC430(3M (Minneapolis, MN)社製)、製品 Megaface R08(DIC(日本)社製)などもコーティング溶液に加える。コーティング溶液は、典型的には、約0.5〜20重量% ポリマーである。使用前に、コーティング溶液は標準的な濾過法によって濾過される。
吸収性SOG材料を形成するための第2の方法は、シラン反応剤、1以上の吸収性化合物、例えば、吸収性化合物1-37、及び溶媒又は溶媒の組合せを含む反応混合物を反応容器内に形成する。反応混合物は、還流するために加熱されて、ほぼ1〜24時間還流される。シラン反応剤及び溶媒は、第1の方法で前述したものと同じである。酸/水混合物も前述のとおりであり、攪拌しながら反応混合物に加える。得られた混合物は、還流するために加熱されて、ほぼ1〜24時間還流されて、吸収性SOGポリマーを生成する。吸収性SOGは、前述したように希釈、濾過されてコーティング溶液を形成する。
吸収性オルガノヒドリドシロキサン材料の形成方法は、非極性溶媒及び極性溶媒の両方を含む二相溶媒及び相間移動触媒の混合物を形成すること;1以上のオルガノトリハロシラン、ヒドリドトリハロシラン、及び1以上の吸収性化合物(例えば、吸収性化合物1-37など)を加えて二相反応混合物を生成すること;及び二相反応混合物を1〜24時間反応させて吸収性オルガノヒドリドシロキサンポリマーを生成することを含む。相間移動触媒としては、例えば、テトラブチルアンモニウムクロライド、ベンジルトリメチルアンモニウムクロライドなどが挙げられるが、これらに限定されない。非極性溶媒としては、例えば、ペンタン、ヘキサン、ヘプタン、シクロヘキサン、ベンゼン、トルエン、キシレン、ハロゲン化溶媒(例えば、四塩化炭素)及びそれらの混合物が挙げられるが、これらに限定されない。有用な極性溶媒としては、例えば、水、アルコール、アルコールと水との混合物などが挙げられる。吸収性ポリマー溶液は、前述したように希釈、濾過されてコーティング溶液を形成する。
吸収性SOGコーティング溶液は、特定の製造プロセス、典型的には、慣用のスピンオン堆積法によって、半導体製造工程において使用される様々な層に塗布される。このような技術としては、吸収性SOG反射防止コーティングを製造するための、例えば、ディスペンススピン、厚スピン(thickness spin)、サーマルベークステップ等が挙げられる。典型的なプロセスは、1000〜4000 rpm、約20秒の厚スピン、及びそれぞれ80℃〜300℃の温度で約1分間の2又は3のベークステップを含む。本発明によれば、吸収性SOG反射防止コーティングは、約1.3〜約2.0の屈折率、及び0.07を超える吸光率を呈する。下記実施例の欄において報告するように、0.4を超える吸光率が得られている。対照的に、誘電性材料、例えば、二酸化ケイ素、シリケート、メチルシロキサンなどの吸光率は、190 nmを超える波長でほぼゼロである。
本発明による、フォトリソグラフィープロセスにおいて反射防止コーティングとして吸収性スピンオングラス材料を使用する一般的な方法が図2a-2hに示されている。図2aに示されているように、誘電性層22がケイ素基板20上に堆積される。誘電性層22は様々な誘電性材料、例えば、TEOSから誘導される二酸化ケイ素層、シラン系二酸化ケイ素層、熱成長酸化物、化学蒸着法で生成したメチルヒドリドシロキサン、他の元素又は化合物を導入した二酸化ケイ素などから構成することができる。誘電性層22は、典型的には、光学的に透明な媒体である。吸収性SOG反射防止コーティング層24は、誘電性層22(図2b)上に塗布される。そして、慣用のポジティブフォトレジストのフォトレジスト層26によって被覆されて、図2cに示されるスタックが製造される。図2cのスタックは、図2dに示されるように、紫外線の放射32にマスク30を介して露光される。この露光の間、吸収性SOG ARC層24は、フォトレジストを透過したUV光32を吸収する。誘電性層22はUV波長範囲内で透過性なので、吸収性SOG ARC 層24が存在しないとしたならば、UV 光32は下のケイ素層で反射されてしまい、クリティカルな寸法、例えば、露光されるフォトレジストのクリティカルな寸法27を破壊してしまうだろう。この例では、直接に像の転写を行うポジティブフォトレジストが想定される。
露光されたスタックは現像されて、図2eのスタックが製造される。吸収性SOG ARC 層24は慣用のフォトレジスト現像溶液、例えば、テトラメチルアンモニウムヒドロキシド(TMAH)の2.5%溶液などに耐性がある。対照的に、有機ARC層は、フォトレジスト材料の化学的特性のいくつかを有するものであり、フォトレジスト現像液に影響されやすい。更に、吸収性SOG ARC層は還元性の化学ガス系フォトレジストストリッピングプロセスに耐性があると予想される一方、有機ARCは耐性がない。したがって、吸収性SOG層の使用は、ARC層を再塗布する必要なしで、フォトレジストの再利用を容易にし得る。
次に、フォトレジスト層26内の開口を通じてパターンが吸収性SOG ARC層24内にエッチングにより形成されて、図2fのエッチングされたスタックが製造される。フォトレジストに高い選択性を有するフルオロカーボンエッチングが使用されて、吸収性SOG ARC層24がエッチングされる。吸収性SOGのフルオロカーボンエッチングに対する応答は、酸素プラズマエッチングが必要な有機ARC層を超える、吸収性SOGの追加の利点を与える。酸素プラズマエッチングは現像されたフォトレジストのクリティカルな寸法を破壊し得る。その理由は、有機系であるフォトレジストもまた酸素プラズマによってエッチングされるからである。フルオロカーボンプラズマは、酸素プラズマほどにはフォトレジストを消費しない。より短いUV波長で焦点要求深さが、図2dに示される露光工程で、フォトレジスト層26の厚さを制限する。例えば、193 nmでフォトレジスト層の厚さは約300 nmとすべきことが見積もられる。従って、これら短い波長が採用され始めると、フォトレジストに関して選択的にエッチングされ得るARC層を有することが重要となる。
フルオロカーボンエッチングを誘電性層22を通じて続けて、図2gのスタックが製造される。フォトレジスト層26は、続けられたエッチングプロセスの間に部分的に消費される。最後に、フォトレジスト層26は酸素プラズマ又は水素還元化学又は湿式化学を使用して除去され、SOG ARC層24は緩衝酸化物エッチング(例えば、標準的なフッ化水素酸/水混合物)又は水性或いは非水性有機アミン又は水性或いは非水性フッ素系化学のいずれかを使用して除去される。有利には、SOG ARC層は、その下の誘電性層に関して良好な選択性を示す溶液で除去することができる。従って、図2a-2hに示される一般的なフォトリソグラフィー法は、反射防止コーティング層として及び犠牲の反射防止コーティング層としての吸収性SOG材料のプロセスの利点を示している。
吸収性SOG材料の合成法及び吸収性化合物(例えば、9−アントラセンカルボキシ−アルキルトリアルコキシシラン、より詳しくは、9−アントラセンカルボキシ−エチルトリエトキシシラン及び9−アントラセンカルボキシ−プロピルトリエトキシシラン)の合成が以下の実施例で説明される。
実施例1
9−アントラセンカルボキシ−メチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、115グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリ、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン(thickness spin)、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&KTechnology Model 1200 analyzer で測定した。フィルム厚さは1635Åであった。248nmで屈折率(n)は1.373であり、吸光率(k)は0.268であった。しかし、この実施例における原料及び吸収性化合物(例えば、9−アントラセンカルボキシ−メチルトリエトキシシラン)の純度が高いほど、高い吸光率を与えることが理解されるべきである。同様なスピン及びベークプロセスパラメータ及び測定技術が以下の全ての実施例に使用された。
9−アントラセンカルボキシ−エチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9−アントラセンカルボキシ−エチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、115グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&KTechnology Model 1200 analyzer で測定した。
9−アントラセンカルボキシ−エチルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9−アントラセンカルボキシ−エチルトリメトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、115グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&KTechnology Model 1200 analyzer で測定した。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、178グラムの2−プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、3.3グラムの0.1M硝酸、及び40グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、26グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&K Technology Model 1200 analyzer で測定した。厚さは1487.1オングストローム、k=0.4315、n=1.4986であった。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、178グラムの2−プロパノール、89グラムのアセトン、49グラムのTEOS、55グラムのMTEOS、48グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、3.3グラムの0.1M硝酸、及び40グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、26グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&K Technology Model 1200 analyzer で測定した。厚さは534.45オングストローム、k=0.45、n=1.49であった。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、178グラムの2−プロパノール、89グラムのアセトン、13グラムのTEOS、110グラムのMTEOS、13グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、3.3グラムの0.1M硝酸、及び40グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、26グラムのブタノール、
488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&K Technology Model 1200 analyzer で測定した。厚さは414.17オングストローム、k=0.3551、n=1.5079であった。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、178グラムの2−プロパノール、89グラムのアセトン、96グラムのTEOS、15グラムのMTEOS、13グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、3.3グラムの0.1M硝酸、及び40グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、15グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rp
mの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&K Technology Model 1200 analyzer で測定した。厚さは494.77オングストローム、k=0.3354、n=1.5243であった。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、178グラムの2−プロパノール、89グラムのアセトン、56グラムのTEOS、64グラムのMTEOS、7.63グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、3.3グラムの0.1M硝酸、及び40グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、26グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000
rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&K Technology Model 1200 analyzer で測定した。厚さは3629.76オングストローム、k=0.3559、n=1.4508であった。第二の厚さは1377.37オングストローム、k=0.358、n=2.643であった。しかし、n値は、原料の成分及び反応剤の厚さ及び純度に応じて変化し得る。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、178グラムの2−プロパノール、89グラムのアセトン、86グラムのTEOS、25グラムのMTEOS、12.1グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、3.3グラムの0.1M硝酸、及び40グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、26グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&K Technology Model 1200 analyzer で測定した。厚さは1455.93オングストローム、k=0.339、n=1.5895であった。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、178グラムの2−プロパノール、89グラムのアセトン、21グラムのTEOS、101グラムのMTEOS、12グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、3.3グラムの0.1M硝酸、及び40グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、26グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&K Technology Model 1200 analyzer で測定した。厚さは345.31オングストローム、k=0.3264、n=1.4614であった。第二の厚さは1021.18オングストローム、k=0.3215、n=1.5059であった。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、178グラムの2−プロパノール、89グラムのアセトン、37グラムのTEOS、74グラムのMTEOS、36グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、3.3グラムの0.1M硝酸、及び40グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、26グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&K Technology Model 1200 analyzer で測定した。厚さは6000オングストローム、k=0.3701、n=1.4486であった。第二の厚さは2851.52オングストローム、k=0.3912、n=1.4786であった。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、178グラムの2−プロパノール、89グラムのアセトン、64グラムのTEOS、42グラムのMTEOS、36グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、3.3グラムの0.1M硝酸、及び40グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、26グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。この溶液を濾過した。この溶液を分配した後、20秒間の3000rpmの厚スピン、及び80℃及び180℃で各々1分間ベークした。光学的性質は、N&K Technology Model 1200 analyzer で測定した。厚さは5988オングストローム、k=0.36、n=1.445であった。第二の厚さは2888.27オングストローム、k=0.3835、n=1.4856であった。
実施例2
9−アントラセンメタノール、2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、及びロゾール酸を含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9−アントラセンメタノール、10グラムの2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、111グラムのブタノール、459グラムの2−プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。厚さは1436Å、n=1.479、k=0.1255であった。
9−アントラセンエタノール、2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、及びロゾール酸を含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9−アントラセンエタノール、10グラムの2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、111グラムのブタノール、459グラムの2−プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンメタノール、2−ヒドロキシ−4−(3−トリメトキシシリプロポキシ)−ジフェニルケトン、及びロゾール酸を含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9−アントラセンメタノール、10グラムの2−ヒドロキシ−4−(3−トリメトキシシリプロポキシ)−ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、111グラムのブタノール、459グラムの2−プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンエタノール、2−ヒドロキシ−4−(3−トリメトキシシリプロポキシ)−ジフェニルケトン、及びロゾール酸を含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9−アントラセンエタノール、10グラムの2−ヒドロキシ−4−(3−トリメトキシシリプロポキシ)−ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、111グラムのブタノール、459グラムの2−プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
実施例3
9−アントラセンメタノール、2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、及びロゾール酸を含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、93グラムのTEOS、77グラムのMTEOS、20グラムの9−アントラセンメタノール、60グラムの2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの0.1M硝酸、及び71.90グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムの
ブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
実施例4
9−アントラセンメタノール、2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、及びロゾール酸を含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、108グラムのTEOS、77グラムのMTEOS、10グラムの9−アントラセンメタノール、60グラムの2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。厚さ=4275Å、n=1.529、k=0.124。
実施例5
2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトンを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。厚さ=3592Å、n=1.563、k=0.067。
2−ヒドロキシ−4−(3−トリメトキシシリプロポキシ)−ジフェニルケトンを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2−ヒドロキシ−4−(3−トリメトキシシリプロポキシ)−ジフェニルケトン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
実施例6
9−アントラセンメタノールを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9−アントラセンメタノール、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンエタノールを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9−アントラセンエタノール、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンプロパノールを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9−アントラセンプロパノール、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
実施例7
9−アントラセンメタノール、2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、及びロゾール酸を含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、25グラムの9−アントラセンメタノール、5グラムのロゾール酸、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。厚さ=3503Å、n=1.475、k=0.193。
実施例8
9−アントラセンメタノール、2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、及びロゾール酸を含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、5グラムの2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、25グラムの9−アントラセンメタノール、5グラムのロゾール酸、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。厚さ=3119Å、n=1.454、k=0.175。
実施例9
9−アントラセンメタノール、2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、ロゾール酸、キニザリン、及びアリザリンを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、25グラムの9−アントラセンメタノール、5グラムのロゾール酸、2グラムのキニザリン、2グラムのアリザリン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.7グラムの10%FC430(3M、ミネアポリス、MN)を加えた。厚さ=3554Å、n=1.489、k=0.193。
実施例10
9−アントラセンメタノール、2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、ロゾール酸、及びアリザリンを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、51.5グラムのMTEOS、5グラムの2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、25グラムの9−アントラセンメタノール、5グラムのロゾール酸、2グラムのアリザリン、0.5599グラムの0.1M硝酸、及び71.90グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、56.68グラムのブタノール、87.99グラムの2−プロパノール、44.10グラムのアセトン、59.31グラムのエタノール、9.55グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。厚さ=3109Å、n=1.454、k=0.193。
実施例11
9−アントラセンカルボキシ−メチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.7グラムの10%FC430(3M、ミネアポリス、MN)を加えた。厚さ=3010Å、n=1.377、k=0.163。
9−アントラセンカルボキシ−エチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9−アントラセンカルボキシ−エチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.7グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.7グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンカルボキシ−ペンチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9−アントラセンカルボキシ−ペンチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.7グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンカルボキシ−メチルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9−アントラセンカルボキシ−メチルトリメトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.7グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンカルボキシ−エチルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9−アントラセンカルボキシ−エチルトリメトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.7グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンカルボキシ−プロピルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9−アントラセンカルボキシ−プロピルトリメトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.7グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
実施例12
9−アントラセンメタノールを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、及び10グラムの9−アントラセンメタノールを混合する。この溶液を6時間還流した。このフラスコに、0.6グラムの0.1M硝酸及び72グラムの脱イオン水の混合物を加えた。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンエタノールを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、及び10グラムの9−アントラセンエタノールを混合する。この溶液を6時間還流した。このフラスコに、0.6グラムの0.1M硝酸及び72グラムの脱イオン水の混合物を加えた。このフラスコを4時間還流した。この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10
%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンプロパノールを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、及び10グラムの9−アントラセンプロパノールを混合する。この溶液を6時間還流した。このフラスコに、0.6グラムの0.1M硝酸及び72グラムの脱イオン水の混合物を加えた。このフラスコを4時間還流した。
この溶液に、57グラムのブタノール、88グラムの2−プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、及び3.75グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
実施例13
9−アントラセンカルボキシ−メチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、115グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンカルボキシ−エチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9−アントラセンカルボキシ−エチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、115グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス
、MN)を加えた。
9−アントラセンカルボキシ−メチルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9−アントラセンカルボキシ−メチルトリメトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、115グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9−アントラセンカルボキシ−プロピルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、115グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンカルボキシ−メチルトリプロポキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9−アントラセンカルボキシ−メチルトリプロポキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、115グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
9−アントラセンカルボキシ−エチルトリブトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラムの2−プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9−アントラセンカルボキシ−エチルトリブトキシシラン、0.6グラムの0.1M硝酸、及び72グラムの脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、115グラムのブタノール、488グラムの2−プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、及び3.8グラムの10%FC430(3M、ミネアポリス、MN)を加えた。
実施例14
9−アントラセンカルボキシ−メチルトリエトキシシランの合成
2Lフラスコで、90.0gの9−アントラセンカルボン酸、86.0mlのクロロメチルトリエトキシシラン、66mlのトリエチルアミン、及び4Åのモレキュラーシーブで乾燥した1.25Lのメチルイソブチルケトン(MIBK)を攪拌し、還流するためにゆっくりと加熱し、8.5時間還流した。この溶液を2Lテフロン(登録商標)ボトルに移し、一晩置いた。固体の析出物が大量に生成した。このMIBK溶液をデカント(decanted)し、回転蒸留して(roto-evaporated)、約200gにした。等しい重量のヘキサンを加え、混合した。析出物が生成した。20%エチルアセテート/80%ヘキサンでスラリーにしたシリカゲルの1.75インチ直径×2インチ高さのカラムを調製した。MIBK/ヘキサン溶液を圧力下このカラムを通過させ、カラムを800mlの20%エチルアセテート/80%ヘキサンで洗浄した。この溶液を0.2μmに濾過し、回転蒸留した。溶媒が蒸発しなくなったら、温度を35℃、60分間に上げた。暗琥珀色の油状液体生成物が得られた(85g)。
9−アントラセンカルボキシ−エチルトリエトキシシランの合成
2Lフラスコで、90.0gの9−アントラセンカルボン酸、86.0mlのクロロエチルトリエトキシシラン、66mlのトリエチルアミン、及び4Åのモレキュラーシーブで乾燥した1.25Lのメチルイソブチルケトン(MIBK)を攪拌し、還流するためにゆっくりと加熱し、8.5時間還流した。この溶液を2Lテフロン(登録商標)ボトルに移し、一晩置いた。固体の析出物が大量に生成した。このMIBK溶液をデカント(decanted)し、回転蒸留して、約200gにした。等しい重量のヘキサンを加え、混合した。析出物が生成した。20%エチルアセテート/80%ヘキサンでスラリーにしたシリカゲルの1.75インチ直径×2インチ高さのカラムを調製した。MIBK/ヘキサン溶液を圧力下このカラムを通過させ、カラムを800mlの20%エチルアセテート/80%ヘキサンで洗浄した。この溶液を0.2μmに濾過し、回転蒸留した。溶媒が蒸発しなくなったら、温度を35℃、60分間に上げた。
9−アントラセンカルボキシ−プロピルトリエトキシシランの合成
2Lフラスコで、90.0gの9−アントラセンカルボン酸、86.0mlのクロロプロピルトリエトキシシラン、66mlのトリエチルアミン、及び4Åのモレキュラーシーブで乾燥した1.25Lのメチルイソブチルケトン(MIBK)を攪拌し、還流するためにゆっくりと加熱し、8.5時間還流した。この溶液を2Lテフロン(登録商標)ボトルに移し、一晩置いた。固体の析出物が大量に生成した。このMIBK溶液をデカント(decanted)し、回転蒸留して、約200gにした。等しい重量のヘキサンを加え、混合した。析出物が生成した。20%エチルアセテート/80%ヘキサンでスラリーにしたシリカゲルの1.75インチ直径×2インチ高さのカラムを調製した。MIBK/ヘキサン溶液を圧力下このカラムを通過させ、カラムを800mlの20%エチルアセテート/80%ヘキサンで洗浄した。この溶液を0.2μmに濾過し、回転蒸留した。溶媒が蒸発しなくなったら、温度を35℃、60分間に上げた。
9−アントラセンカルボキシ−メチルトリメトキシシランの合成
2Lフラスコで、90.0gの9−アントラセンカルボン酸、86.0mlのクロロメチルトリメトキシシラン、66mlのトリエチルアミン、及び4Åのモレキュラーシーブで乾燥した1.25Lのメチルイソブチルケトン(MIBK)を攪拌し、還流するためにゆっくりと加熱し、8.5時間還流した。この溶液を2Lテフロン(登録商標)ボトルに移し、一晩置いた。固体の析出物が大量に生成した。このMIBK溶液をデカント(decanted)し、回転蒸留して、約200gにした。等しい重量のヘキサンを加え、混合した。析出物が生成した。20%エチルアセテート/80%ヘキ・BR>Tンでスラリーにしたシリカゲルの1.75インチ直径×2インチ高さのカラムを調製した。MIBK/ヘキサン溶液を圧力下このカラムを通過させ、カラムを800mlの20%エチルアセテート/80%ヘキサンで洗浄した。この溶液を0.2μmに濾過し、回転蒸留した。溶媒が蒸発しなくなったら、温度を35℃、60分間に上げた。
実施例15
9−アントラセンカルボキシ−メチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、45グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、43グラム(0.590モル)のブタノール、及び1260グラム(8.344モル)のエチルラクテートを加えた。厚さ=1156Å、n=1.502、k=0.446。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、45グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、43グラム(0.590モル)のブタノール、及び1260グラム(8.344モル)のエチルラクテートを加えた。
9−アントラセンカルボキシ−エチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、45グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、43グラム(0.590モル)のブタノール、及び1260グラム(8.344モル)のエチルラクテートを加えた。
9−アントラセンカルボキシ−メチルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77
グラム(0.432モル)のMTEOS、45グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、43グラム(0.590モル)のブタノール、及び1260グラム(8.344モル)のエチルラクテートを加えた。
実施例16
9−アントラセンカルボキシ−メチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、30グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水、及び3.7グラムの10%FC430を加えた。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、30グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水、及び3.7グラムの10%FC430を加えた。
9−アントラセンカルボキシ−エチルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、30グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水、及び3.7グラムの10%FC430を加えた。
9−アントラセンカルボキシ−エチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、30グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水、及び3.7グラムの10%FC430を加えた。
9−アントラセンカルボキシ−ブチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、30グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水、及び3.7グラムの10%FC430を加えた。
実施例17
9−アントラセンカルボキシ−メチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、45グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、43グラム(0.590モル)のブタノール、及び981グラム(8.301モル)のプロパゾル−pを加えた。厚さ=1407Å、n=1.334、k=0.551。
9−アントラセンカルボキシ−エチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、45グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、43グラム(0.590モル)のブタノール、及び981グラム(8.301モル)のプロパゾル−pを加えた。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、45グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、43グラム(0.590モル)のブタノール、及び981グラム(8.301モル)のプロパゾル−pを加えた。
9−アントラセンカルボキシ−メチルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、45グラム(0.102モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、43グラム(0.590モル)のブタノール、及び981グラム(8.301モル)
のプロパゾル−pを加えた。
実施例18
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
窒素導入口、ドライアイスコンデンサー及びメカニカルスターラーを備えた6Lのジャケット付き反応器に、5000mLのヘキサン、720mLのエタノール、65mLの水及び120gの10重量%テトラブチルアンモニウムクロライドハイドレート水溶液を仕込んだ。この混合物を25℃で攪拌しながら0.5時間平衡化させた。トリクロロシラン(377.4g、2.78モル)、メチルトリクロロシラン(277.7g、1.86モル)、及び9−アントラセンカルボキシ−メチルトリエトキシシラン(203.8g、0.46モル)の混合物を、蠕動ポンプを使用して70分間にわたって反応器に加えた。シラン及び吸収性化合物の添加が完了したら、ヘキサンをラインを通じて10分間ポンプで汲み出した。反応器を2.3時間攪拌し、エタノール/水層を除去し、そして残りのヘキサン溶液を3ミクロン(μm)フィルター続いて1μmフィルターを通じて濾過した。この溶液にヘキサン(3957g、45.92モル)を加えた。
9−アントラセンカルボキシ−エチルトリメトキシシランを含む吸収性SOGの合成
窒素導入口、ドライアイスコンデンサー及びメカニカルスターラーを備えた6Lのジャケット付き反応器に、5000mLのヘキサン、720mLのエタノール、65mLの水及び120gの10重量%テトラブチルアンモニウムクロライドハイドレート水溶液を仕込んだ。この混合物を25℃で攪拌しながら0.5時間平衡化させた。トリクロロシラン(377.4g、2.78モル)、メチルトリクロロシラン(277.7g、1.86モル)、及び9−アントラセンカルボキシ−メチルトリエトキシシラン(203.8g、0.46モル)の混合物を、蠕動ポンプを使用して70分間にわたって反応器に加えた。シラン及び吸収性化合物の添加が完了したら、ヘキサンをラインを通じて10分間ポンプで汲み出した。反応器を2.3時間攪拌し、エタノール/水層を除去し、そして残りのヘキサン溶液を3ミクロン(μm)フィルター続いて1μmフィルターを通じて濾過した。この溶液にヘキサン(3957g、45.92モル)を加えた。
9−アントラセンカルボキシ−プロピルトリメトキシシランを含む吸収性SOGの合成
窒素導入口、ドライアイスコンデンサー及びメカニカルスターラーを備えた6Lのジャケット付き反応器に、5000mLのヘキサン、720mLのエタノール、65mLの水及び120gの10重量%テトラブチルアンモニウムクロライドハイドレート水溶液を仕込んだ。この混合物を25℃で攪拌しながら0.5時間平衡化させた。トリクロロシラン(377.4g、2.78モル)、メチルトリクロロシラン(277.7g、1.86モル)、及び9−アントラセンカルボキシ−メチルトリエトキシシラン(203.8g、0.46モル)の混合物を、蠕動ポンプを使用して70分間にわたって反応器に加えた。シラン及び吸収性化合物の添加が完了したら、ヘキサンをラインを通じて10分間ポンプで汲み出した。反応器を2.3時間攪拌し、エタノール/水層を除去し、そして残りのヘキサン溶液を3ミクロン(μm)フィルター続いて1μmフィルターを通じて濾過した。この溶液にヘキサン(3957g、45.92モル)を加えた。
9−アントラセンカルボキシ−ブチルトリプロポキシシランを含む吸収性SOGの合成
窒素導入口、ドライアイスコンデンサー及びメカニカルスターラーを備えた6Lのジャケット付き反応器に、5000mLのヘキサン、720mLのエタノール、65mLの水及び120gの10重量%テトラブチルアンモニウムクロライドハイドレート水溶液を仕込んだ。この混合物を25℃で攪拌しながら0.5時間平衡化させた。トリクロロシラン(377.4g、2.78モル)、メチルトリクロロシラン(277.7g、1.86モル)、及び9−アントラセンカルボキシ−メチルトリエトキシシラン(203.8g、0.46モル)の混合物を、蠕動ポンプを使用して70分間にわたって反応器に加えた。シラン及び吸収性化合物の添加が完了したら、ヘキサンをラインを通じて10分間ポンプで汲み出した。反応器を2.3時間攪拌し、エタノール/水層を除去し、そして残りのヘキサン溶液を3ミクロン(μm)フィルター続いて1μmフィルターを通じて濾過した。この溶液にヘキサン(3957g、45.92モル)を加えた。
実施例19
9−アントラセンカルボキシ−メチルトリエトキシシランを含む吸収性SOGの合成
5Lのフラスコに、508.8グラム(3.10モル)のトリエトキシシラン(HTEOS)、135.8g(0.31モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、及び508.8g(8.77モル)のアセトンを磁気的攪拌によって混合し、20℃以下に冷却した。508.8g(8.77モル)のアセトン、46.69g(2.59モルH2O、0.0009モルHNO3)の0.02N硝酸、及び37.03g(2.06モル)の脱イオン水を、滴下漏斗を通じて5Lフラスコ内の混合物に温度を20℃以下に維持しながら45分間かけてゆっくりと加えた。この溶液を8時間還流した。この溶液に4631g(30.67モル)のエチルラクテートを加えた。
9−アントラセンカルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
5Lのフラスコに、508.8グラム(3.10モル)のトリエトキシシラン(HTEOS)、135.8g(0.31モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、及び508.8g(8.77モル)のアセトンを磁気的攪拌によって混合し、20℃以下に冷却した。508.8g(8.77モル)のアセトン、46.69g(2.59モルH2O、0.0009モルHNO3)の0.02N硝酸、及び37.03g(2.0
6モル)の脱イオン水を、滴下漏斗を通じて5Lフラスコ内の混合物に温度を20℃以下に維持しながら45分間かけてゆっくりと加えた。この溶液を8時間還流した。この溶液に4631g(30.67モル)のエチルラクテートを加えた。
9−アントラセンカルボキシ−エチルトリメトキシシランを含む吸収性SOGの合成
5Lのフラスコに、508.8グラム(3.10モル)のトリエトキシシラン(HTEOS)、135.8g(0.31モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、及び508.8g(8.77モル)のアセトンを磁気的攪拌によって混合し、20℃以下に冷却した。508.8g(8.77モル)のアセトン、46.69g(2.59モルH2O、0.0009モルHNO3)の0.02N硝酸、及び37.03g(2.06モル)の脱イオン水を、滴下漏斗を通じて5Lフラスコ内の混合物に温度を20℃以下に維持しながら45分間かけてゆっくりと加えた。この溶液を8時間還流した。この溶液
に4631g(30.67モル)のエチルラクテートを加えた。
9−アントラセンカルボキシ−プロピルトリブトキシシランを含む吸収性SOGの合成
5Lのフラスコに、508.8グラム(3.10モル)のトリエトキシシラン(HTEOS)、135.8g(0.31モル)の9−アントラセンカルボキシ−メチルトリエトキシシラン、及び508.8g(8.77モル)のアセトンを磁気的攪拌によって混合し、20℃以下に冷却した。508.8g(8.77モル)のアセトン、46.69g(2.59モルH2O、0.0009モルHNO3)の0.02N硝酸、及び37.03g(2.06モル)の脱イオン水を、滴下漏斗を通じて5Lフラスコ内の混合物に温度を20℃以下に維持しながら45分間かけてゆっくりと加えた。この溶液を8時間還流した。この溶液に4631g(30.67モル)のエチルラクテートを加えた。
実施例20
フェニルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、104グラム(0.432モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加
えた。厚さ=1727Å、n=1.957、k=0.384であった。
フェニルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、104グラム(0.432モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
フェニルトリプロポキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、104グラム(0.432モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
フェニルトリブトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、10
4グラム(0.432モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
実施例21
フェニルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、93グラム(0.448モル)のTEOS、37グラム(0.209モル)のMTEOS、100グラム(0.418モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。厚さ=1325Å、n=1.923、k=
0.364であった。
フェニルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、93グラム(0.448モル)のTEOS、37グラム(0.209モル)のMTEOS、100グラム(0.418モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
フェニルトリプロポキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、93グラム(0.448モル)のTEOS、37グラム(0.209モル)のMTEOS、100グラム(0.418モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラ
ム(0.528モル)の脱イオン水を加えた。
実施例22
フェニルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、119グラム(0.573モル)のTEOS、27グラム(0.153モル)のMTEOS、74グラム(0.306モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラ
ム(0.528モル)の脱イオン水を加えた。厚さ=1286Å、n=1.889、k=0.286であった。
フェニルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、119グラム(0.573モル)のTEOS、27グラム(0.153モル)のMTEOS、74グラム(0.306モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラ
ム(0.528モル)の脱イオン水を加えた。
フェニルトリプロポキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、119グラム(0.573モル)のTEOS、27グラム(0.153モル)のMTEOS、74グラム(0.306モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
実施例23
フェニルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、73グラム(0.351モル)のTEOS、45グラム(0.251モル)のMTEOS、121グラム(0.503モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。厚さ=1047Å、n=1.993、k=0.378であった。
フェニルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、73グラム(0.351モル)のTEOS、45グラム(0.251モル)のMTEOS、121グラム(0.503モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラ
ム(0.528モル)の脱イオン水を加えた。
フェニルトリプロポキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、73グラム(0.351モル)のTEOS、45グラム(0.251モル)のMTEOS、121グラム(0.503モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
フェニルトリブトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、73グラム(0.351モル)のTEOS、45グラム(0.251モル)のMTEOS、121グラム(0.503モル)のフェニルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラ
ム(0.528モル)の脱イオン水を加えた。
実施例24
フェニルトリエトキシシラン及び2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトンを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、73グラム(0.351モル)のTEOS、45グラム(0.251モル)のMTEOS、103グラム(0.428モル)のフェニルトリエトキシシラン、12グラム(0.0298モル)の2−ヒドロキシ−4−(3−トリエトキシシリプロポキシ)−ジフェニルケトン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
実施例25
4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、44.5グラム(0.13モル)の4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。n=1.499、k=0.162(365nmにおける)。
4−エトキシフェニルアゾベンゼン−4−カルボキシ−エチルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、44.5グラム(0.13モル)の4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
4−メトキシフェニルアゾベンゼン−4−カルボキシ−プロピルトリエトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、44.5グラム(0.13モル)の4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
4−メトキシフェニルアゾベンゼン−4−カルボキシ−プロピルトリメトキシシランを含む吸収性SOGの合成
1リットルのフラスコで、297グラム(4.798モル)の2−プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、44.5グラム(0.13モル)の4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、0.6グラムの0.1M硝酸、及び72グラム(3.716モル)の脱イオン水を混合する。このフラスコを4時間還流した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2−プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
こうして、吸収性化合物を含むスピンオングラス材料を製造するための、組成物及び方法の特定の態様及び用途を開示した。しかし、既に記載されたものの他により多くの変更が本明細書における発明の概念から外れることなく可能であることが当業者に明らかである。それゆえに、本発明の実体的事項は添付の特許請求の範囲の精神以外には限定されない。さらに、明細書及び特許請求の範囲の両方を解釈する際に、全ての用語は、文脈に一致する最も広い可能な方法で解釈されるべきである。特に、「含む」という用語は、非−排他的方法で、要素、成分、又は工程に言及するものとして、即ち、参照される要素、成分、又は工程が、明確に参照されない要素、成分、又は工程と、存在してもよく、又は利用されてもよく、又は組合せてもよいことを示すものとして、解釈されるべきである。

Claims (30)

  1. ケイ素系化合物及び375nm未満の波長の光を吸収する導入可能な有機吸収性化合物を含む吸収性スピンオングラス組成物であって、ケイ素系化合物又は導入可能な有機吸収性化合物の少なくとも1つがアルキル基、アルコキシ基、ケトン基、又はアゾ基を含む組成物。
  2. 範囲が約260nm未満の波長である、請求項1の組成物。
  3. 有機吸収性化合物が、少なくとも1つのベンゼン環、並びに、ヒドロキシル基、アミン基、カルボン酸基、及び、アルコキシ基及びハロゲン原子からなる群から選ばれる少なくとも1つの置換基に結合されたケイ素を有する置換シリル基からなる群から選ばれる反応性基を含む、請求項1の組成物。
  4. 有機吸収性化合物が2以上のベンゼン環を含む、請求項3の組成物。
  5. 2以上のベンゼン環が縮合している、請求項4の組成物。
  6. 有機吸収性化合物が、ケイ素アルコキシ、ケイ素ジアルコキシ、及びケイ素トリアルコキシからなる群から選ばれる反応性基を含む、請求項3の組成物。
  7. ケイ素アルコキシ、ケイ素ジアルコキシ、及びケイ素トリアルコキシが、ケイ素エトキシ基、ケイ素ジエトキシ基、ケイ素トリエトキシ基、ケイ素メトキシ基、ケイ素ジメトキシ基、及びケイ素トリメトキシ基を含む、請求項6の組成物。
  8. 反応性基がベンゼン環に直接に結合されている、請求項3の組成物。
  9. 反応性基が炭化水素橋を介してベンゼン環に取付けられている、請求項3の組成物。
  10. 有機吸収性化合物が、アントラフラビックアシッド、9-アントラセンカルボン酸、9-アントラセンメタノール、アリザリン、キニザリン、プリムリン、2-ヒドロキシ-4(3-トリエトキシシリルプロポキシ)-ジフェニルケトン、ロゾール酸、トリエトキシシリルプロピル-1,8-ナフタルイミド、9-アントラセンカルボキシ-アルキルトリエトキシシラン、フェニルトリエトキシシラン・BR>A4-フェニルアゾフェノール、4-エトキシフェニルアゾベンゼン-4-カルボキシメチルトリエトキシシラン、4-メトキシフェニルアゾベンゼン-4-カルボキシ-メチルトリエトキシシラン、及びそれらの混合物からなる群から選ばれる吸収性化合
    物を含む、請求項3の組成物。
  11. 9-アントラセンカルボキシ-アルキルトリエトキシシランが、9-アントラセンカルボキシ-プロピルトリエトキシシランを含む、請求項10の組成物。
  12. 有機吸収性化合物がフェニルトリエトキシシランを含む、請求項10の組成物。
  13. ケイ素系化合物が、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、シラザンポリマー、シリケートポリマー、及びそれらの混合物からなる群から選ばれるポリマーを含む、請求項1の組成物。
  14. ケイ素系化合物は、ハイドロジェンシロキサン、ハイドロジェンシルセスキオキサン、有機ヒドリドシロキサン、及び有機ヒドリドシルセスキオキサンポリマー;及びハイドロジェンシルセスキオキサン及びアルコキシヒドリドシロキサン又はヒドロキシヒドリドシロキサンのコポリマーを含む群から選ばれるポリマーである、請求項1の組成物。
  15. ポリマーが、(H0-1.0SiO1.5-2.0)X、ここで、xは約8より大きい、及び(H0-1.0SiO1.5-
    2.0)n(R0-1.0SiO1.5-2.0)m、ここで、mは0より大きく、n及びmの合計は約8〜約5000であり、RはC1-C20アルキル基又はC6-C12アリール基である、を含む群から選ばれる一般式のものである、請求項14の組成物。
  16. 請求項1の吸収性スピンオングラス組成物及び溶媒又は溶媒混合物を含むコーティング溶液。
  17. 溶液が、約0.5重量%〜約20重量%の吸収性スピンオングラス組成物である、請求項16のコーティング溶液。
  18. 溶媒が、エチルラクテート及びプロピレングリコールプロピルエーテルを含む群から選ばれる、請求項17のコーティング溶液。
  19. アルコキシシラン及びハロシランを含む群から選ばれる少なくとも1つのシラン反応剤、少なくとも1つの導入可能な有機吸収性化合物、酸/水混合物、及び少なくとも1つの溶媒を混合して、反応混合物を形成し;そして
    反応混合物を還流して、吸収性スピンオングラス組成物を形成する、ここで、吸収性スピンオングラス組成物は、アルキル基、アルコキシ基、ケトン基又はアゾ基の少なくとも1つを含む;
    ことを含む、吸収性スピンオングラス組成物の製造方法。
  20. 少なくとも1つの有機吸収性化合物が、少なくとも1つのベンゼン環、並びに、ヒドロキシル基、アミン基、カルボン酸基、及び、アルコキシ基及びハロゲン原子を含む少なくとも1つの置換基に結合されたケイ素を有する置換シリル基を含む反応性基を含む、請求項19の方法。
  21. 1以上の有機吸収性化合物が、アントラフラビックアシッド、9-アントラセンカルボン酸、9-アントラセンメタノール、アリザリン、キニザリン、プリムリン、2-ヒドロキシ-4-(3-トリエトキシシリルプロポキシ)-ジフェニルケトン、ロゾール酸、トリエトキシシリルプロピル-1,8-ナフタルイミド、9-アントラセンカルボキシ-アルキルトリエトキシシラン、フェニルトリエトキシシラン、4-フェニルアゾフェノール、4-エトキシフェニルアゾベンゼン-4-カルボキシメチルトリエトキシシラン、4-メトキシフェニルアゾベンゼン-4-カルボキシ-メチルトリエトキシシラン、及びそれらの混合物からなる群から選ばれる吸収性化合物を含む、請求項19の方法。
  22. 9-アントラセンカルボキシ-アルキルトリエトキシシランが、9-アントラセンカルボキシ-プロピルトリエトキシシランを含む、請求項21の方法。
  23. 少なくとも1つのシラン反応剤が、トリエトキシシラン、テトラエトキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、テトラメトキシシラン、メチルトリメトキシシラン、トリメトキシシラン、ジメチルジメトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、ジフェニルジエトキシシラン、及びジフェニルジメトキシシラン、トリクロロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリクロロシラン、テトラクロロシラン、クロロトリエトキシシラン、クロロトリメトキシシラン、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシ
    ラン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロエチルトリメトキシシラン、及びクロロフェニルトリメトキシシランを含む、請求項19の方法。
  24. 少なくとも1つのシラン反応剤が、テトラエトキシシラン及びメチルトリエトキシシランを含む、請求項23の方法。
  25. 酸/水混合物が硝酸/水混合物である、請求項19の方法。
  26. アルコキシシラン又はハロシランの少なくとも1つ、導入可能な有機吸収性化合物の少なくとも1つ、酸/水混合物、及び少なくとも1つの溶媒を混合して、反応混合物を形成し;そして
    反応混合物を還流して、吸収性スピンオングラスポリマーを形成する、ここで、吸収性スピンオングラス組成物は、アルキル基、アルコキシ基、ケトン基又はアゾ基の少なくとも1つを含む;
    ことを含む、吸収性スピンオングラスポリマーを含むコーティング溶液の製造方法。
  27. 1以上の希釈用溶媒を吸収性スピンオングラス組成物に加えて、コーティング溶液を製造することを更に含む、請求項26の方法。
  28. コーティング溶液が、約0.5%〜約20%の吸収性スピンオングラスポリマーである、請求項26の方法。
  29. 9-アントラセンカルボン酸、クロロプロピルトリエトキシシラン、トリエチルアミン、及び溶媒を混合して反応混合物を形成し;
    反応混合物を還流し;
    還流した反応混合物を冷却して析出物及び残留溶液を形成し;そして
    残留溶液を濾過して液状9-アントラセンカルボキシ-プロピルトリエトキシシランを製造する
    ことを含む、9-アントラセンカルボキシ-プロピルトリエトキシシランの製造方法。
  30. 残留溶液を濾過することが、
    残留溶液を回転蒸留し;
    回転蒸留した溶液をシリカゲルカラムを通過させ;そして
    シリカゲルカラムを通過させた溶液を回転蒸留する
    ことを含む、請求項29の製造方法。
JP2009125324A 2001-11-15 2009-05-25 フォトリソグラフィ用のスピンオングラス反射防止コーティング Expired - Fee Related JP4703745B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/001,143 US6824879B2 (en) 1999-06-10 2001-11-15 Spin-on-glass anti-reflective coatings for photolithography
US10/001,143 2001-11-15

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003545713A Division JP2005509914A (ja) 2001-11-15 2002-10-31 フォトリソグラフィ用のスピンオングラス反射防止コーティング

Publications (2)

Publication Number Publication Date
JP2009282524A true JP2009282524A (ja) 2009-12-03
JP4703745B2 JP4703745B2 (ja) 2011-06-15

Family

ID=21694597

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2003545713A Pending JP2005509914A (ja) 2001-11-15 2002-10-31 フォトリソグラフィ用のスピンオングラス反射防止コーティング
JP2003545712A Pending JP2005509913A (ja) 2001-11-15 2002-11-12 フォトリソグラフィのための非反射性コーティングおよびそれの製造方法
JP2009125324A Expired - Fee Related JP4703745B2 (ja) 2001-11-15 2009-05-25 フォトリソグラフィ用のスピンオングラス反射防止コーティング

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2003545713A Pending JP2005509914A (ja) 2001-11-15 2002-10-31 フォトリソグラフィ用のスピンオングラス反射防止コーティング
JP2003545712A Pending JP2005509913A (ja) 2001-11-15 2002-11-12 フォトリソグラフィのための非反射性コーティングおよびそれの製造方法

Country Status (8)

Country Link
US (2) US6824879B2 (ja)
EP (1) EP1478683A4 (ja)
JP (3) JP2005509914A (ja)
KR (2) KR100897575B1 (ja)
CN (2) CN1615333A (ja)
AU (1) AU2002336709A1 (ja)
TW (2) TWI308585B (ja)
WO (1) WO2003044079A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008524374A (ja) * 2004-12-17 2008-07-10 ダウ・コーニング・コーポレイション シロキサン樹脂コーティング
WO2014098076A1 (ja) * 2012-12-19 2014-06-26 日産化学工業株式会社 環状ジエステル基を有するシリコン含有レジスト下層膜形成組成物

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
KR100804873B1 (ko) 1999-06-10 2008-02-20 얼라이드시그날 인코퍼레이티드 포토리소그래피용 sog 반사방지 코팅
WO2003044600A1 (en) * 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
DE10227807A1 (de) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silylalkylester von Anthracen- und Phenanthrencarbonsäuren
US7365023B2 (en) * 2003-04-17 2008-04-29 Nissan Chemical Industries, Ltd. Porous underlayer coating and underlayer coating forming composition for forming porous underlayer coating
US7368173B2 (en) * 2003-05-23 2008-05-06 Dow Corning Corporation Siloxane resin-based anti-reflective coating composition having high wet etch rate
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
US8101015B2 (en) * 2003-10-07 2012-01-24 Honeywell International Inc. Coatings and hard mask compositions for integrated circuit applications methods of production and uses thereof
US8053159B2 (en) * 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP5102428B2 (ja) * 2003-11-25 2012-12-19 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 導波路組成物およびこれから形成された導波路
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7507521B2 (en) * 2004-08-09 2009-03-24 Intel Corporation Silicon based optically degraded arc for lithographic patterning
US7687225B2 (en) * 2004-09-29 2010-03-30 Intel Corporation Optical coatings
US7833696B2 (en) 2004-12-17 2010-11-16 Dow Corning Corporation Method for forming anti-reflective coating
CN101073038B (zh) * 2004-12-17 2010-05-05 陶氏康宁公司 形成抗反射涂层的方法
JP4832955B2 (ja) * 2005-06-07 2011-12-07 信越化学工業株式会社 レジスト下層膜材料並びにそれを用いたパターン形成方法
US7862886B2 (en) * 2005-08-12 2011-01-04 Fujifilm Corporation Optical film, antireflection film, processes for producing the same, and polarizing plate and display employing the same
NO325797B1 (no) * 2005-10-14 2008-07-21 Nor X Ind As Lysbeskyttelsesmiddel basert på organisk/uorganisk hybridpolymer, fremgangsmåte til fremstilling og anvendelse av samme
US7642043B2 (en) * 2005-11-16 2010-01-05 Shin-Etsu Chemical Co., Ltd. Rework process for photoresist film
JP4881396B2 (ja) * 2006-02-13 2012-02-22 ダウ・コーニング・コーポレイション 反射防止膜材料
JP2008026500A (ja) * 2006-07-20 2008-02-07 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスおよびそれを用いたフォトマスクの製造方法
KR101296551B1 (ko) 2007-02-09 2013-08-13 가부시키가이샤 닛폰 쇼쿠바이 실란 화합물, 그 제조 방법 및 실란 화합물을 포함하는 수지 조성물
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
TWI439494B (zh) * 2007-02-27 2014-06-01 Braggone Oy 產生有機矽氧烷聚合物的方法
WO2008104881A1 (en) 2007-02-27 2008-09-04 Az Electronic Materials Usa Corp. Silicon-based antifrelective coating compositions
JP5470687B2 (ja) * 2007-08-10 2014-04-16 富士通株式会社 シリコン化合物、紫外線吸収体、多層配線装置の製造方法および多層配線装置
US20090111925A1 (en) * 2007-10-31 2009-04-30 Burnham Kikue S Thermal interface materials, methods of production and uses thereof
US8318258B2 (en) 2008-01-08 2012-11-27 Dow Corning Toray Co., Ltd. Silsesquioxane resins
KR20100114075A (ko) * 2008-01-15 2010-10-22 다우 코닝 코포레이션 실세스퀴옥산 수지
US8304161B2 (en) * 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
WO2009111121A2 (en) * 2008-03-05 2009-09-11 Dow Corning Corporation Silsesquioxane resins
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
US7955782B2 (en) * 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
JP5632387B2 (ja) * 2008-12-10 2014-11-26 ダウ コーニング コーポレーションDow Corning Corporation 湿式エッチング可能な反射防止膜
WO2010068336A1 (en) 2008-12-10 2010-06-17 Dow Corning Corporation Silsesquioxane resins
KR101266290B1 (ko) * 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
JP5700003B2 (ja) * 2012-08-31 2015-04-15 大日本印刷株式会社 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスを用いたフォトマスクの製造方法
US9327487B2 (en) * 2012-08-31 2016-05-03 Xerox Corporation Variable lithographic printing process
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US20150329415A1 (en) * 2012-12-13 2015-11-19 Robert Alan Bellman Glass and methods of making glass articles
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
JP5742903B2 (ja) * 2013-09-24 2015-07-01 大日本印刷株式会社 フォトマスクブランクス
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
WO2015112958A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
WO2015127459A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
JP6196194B2 (ja) 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
JP5979268B2 (ja) * 2015-03-06 2016-08-24 大日本印刷株式会社 フォトマスクブランクス
TWI593753B (zh) * 2015-03-11 2017-08-01 Taimide Tech Incoporation Polyimide film and method of forming a radial circuit board from the film
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
WO2016187186A1 (en) 2015-05-19 2016-11-24 Corning Incorporated Articles and methods for bonding sheets with carriers
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
RU2626105C1 (ru) * 2016-04-11 2017-07-21 Акционерное Общество "Саратовский институт стекла" Способ получения просветляющего золь-гель покрытия на основе диоксида кремния
CN109071817B (zh) * 2016-04-28 2021-11-09 住友化学株式会社 组合物
JP6909374B2 (ja) 2016-05-13 2021-07-28 東京エレクトロン株式会社 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御
CN109313395B (zh) 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
JP6252623B2 (ja) * 2016-05-20 2017-12-27 大日本印刷株式会社 フォトマスクブランクス
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TWI613167B (zh) * 2016-11-18 2018-02-01 宏益玻璃科技股份有限公司 一種抗眩光強化抗菌及抗指紋之玻璃面板製作方法
JP6895317B2 (ja) * 2017-05-24 2021-06-30 信越化学工業株式会社 多環式芳香族基片末端ポリオルガノシロキサンおよび組成物
US10748757B2 (en) * 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
WO2019118660A1 (en) 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
JP7277700B2 (ja) * 2018-01-15 2023-05-19 セントラル硝子株式会社 撥水性保護膜形成用薬液、及びウェハの表面処理方法
US11500290B2 (en) 2018-11-13 2022-11-15 International Business Machines Corporation Adhesion promoters
JP7307005B2 (ja) 2019-04-26 2023-07-11 信越化学工業株式会社 硬化触媒の拡散距離を測定する方法
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN111362587B (zh) * 2020-04-28 2022-05-24 东莞南玻太阳能玻璃有限公司 一种高硬度防潮增透太阳能玻璃及其制备方法
CN115404005B (zh) * 2022-09-01 2024-01-05 北京星驰恒动科技发展有限公司 一种耐高温空间消杂光涂料及其制备方法和应用

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02150430A (ja) * 1988-04-25 1990-06-08 General Electric Co <Ge> プラスチック基体用の可撓性シリコーンコーティングおよび熱成形可能な耐摩耗性の熱可塑性物品の製造方法
JPH06256718A (ja) * 1992-12-02 1994-09-13 General Electric Co <Ge> 下塗剤不要の熱硬化性シリコーン硬質被覆組成物及び熱可塑性複合体
JPH0950994A (ja) * 1995-08-07 1997-02-18 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液及び被膜形成方法
WO2000077575A1 (en) * 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
JP2001092122A (ja) * 1999-09-20 2001-04-06 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物及びレジストパターンの形成方法
JP2005509914A (ja) * 2001-11-15 2005-04-14 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィ用のスピンオングラス反射防止コーティング

Family Cites Families (471)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3547766A (en) 1966-11-25 1970-12-15 Du Pont Laminated article
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (ja) * 1972-07-11 1976-07-28
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US4107133A (en) 1974-01-14 1978-08-15 Dainippon Ink & Chemicals, Inc. Colored polyethylene molding compositions
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
DE2720559A1 (de) 1977-05-07 1978-11-09 Basf Ag Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
US4302503A (en) 1978-05-17 1981-11-24 Libbey-Owens-Ford Company Architectural spandrel
JPS5563335A (en) 1978-11-07 1980-05-13 Yoshiyuki Kitajima Cooling method
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
US4590117A (en) * 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
DE3561155D1 (ja) 1984-02-10 1988-01-21 Ciba-Geigy Ag
ATE37242T1 (de) 1984-02-10 1988-09-15 Ciba Geigy Ag Verfahren zur herstellung einer schutzschicht oder einer reliefabbildung.
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS61274497A (ja) 1985-05-29 1986-12-04 Furuno Electric Co Ltd 送受波器
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
EP0217137B1 (en) 1985-08-29 1992-04-08 E.I. Du Pont De Nemours And Company Photopolymerizable composition of acrylic copolymer containing dicyclopentenyl acrylate or methacrylate
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
CA1325354C (en) 1985-12-09 1993-12-21 Yasushi Umeda Photosensitive resin base printing material
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
JPS63137437A (ja) 1986-11-28 1988-06-09 Matsushita Electric Works Ltd 半導体チツプの樹脂封止法
JPS63149949A (ja) 1986-12-12 1988-06-22 Fujitsu Ltd アダプティブ半固定等化器
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
JPS6428032A (en) 1987-07-22 1989-01-30 Nissan Motor Select lever control device for vehicle automatic transmission
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
WO1989004004A1 (en) 1987-10-24 1989-05-05 Ito Optical Industrial Co., Ltd. Processing solution for preventing reflection of optical parts and process for preventing reflection using the solution
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
JP2603291B2 (ja) 1988-04-19 1997-04-23 東芝シリコーン株式会社 第4級アンモニウム基含有シリコーン樹脂微粉末
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
JPH02145511A (ja) 1988-08-22 1990-06-05 A Baron Nevley 紫外線吸収眼用軟化剤
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
WO1990003598A1 (en) 1988-09-28 1990-04-05 Brewer Science, Inc. Multifunctional photolithographic compositions
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
EP0388503B1 (en) 1989-02-03 1993-09-01 Mitsubishi Materials Corporation Method for pulling single crystals
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
DE69027799T2 (de) 1989-03-14 1997-01-23 Ibm Chemisch amplifizierter Photolack
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5306736A (en) 1989-05-16 1994-04-26 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
US5190804A (en) * 1989-11-27 1993-03-02 Toshiba Silicone Co., Ltd. Coated inorganic hardened product
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
DE69101338T2 (de) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind.
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
JP2517785B2 (ja) 1990-08-02 1996-07-24 信越化学工業株式会社 含浸性防水剤組成物
US5082758A (en) 1990-08-31 1992-01-21 Xerox Corporation Toner and developer compositions with charge enhancing additives
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
EP0482821B1 (en) 1990-10-16 1998-09-30 Mitsui Chemicals, Inc. Use of a highly light-transmitting dust protective film, process for preparation thereof and dust protective member
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (ja) * 1990-11-15 1998-02-16 信越化学工業株式会社 ポリオルガノシロキサン樹脂の製造方法
EP0490819B1 (de) 1990-12-13 1995-09-13 Ciba-Geigy Ag Wässrige Dispersion schwerlöslicher UV-Absorber
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
JPH0597478A (ja) * 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd 撥水性ガラス物品およびその製造方法
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
EP0580857B1 (en) 1992-02-05 1998-05-20 Toray Industries, Inc. Multilayer coated article and use therein of a primer coating
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (ja) 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
WO1994006870A1 (en) 1992-09-24 1994-03-31 Kansai Paint Co., Ltd. Finish coating composition and method of forming its coating film
CA2107715A1 (en) 1992-10-06 1994-04-07 Hiroyuki Naito Solvent-free organosiloxane composition and its use
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
JP3064753B2 (ja) 1993-08-10 2000-07-12 東亞合成株式会社 抗菌性熱可塑性樹脂成形体の製造方法
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5460911A (en) 1994-03-14 1995-10-24 Xerox Corporation Electrophotographic imaging member free of reflection interference
EP0675410B1 (en) 1994-03-28 1999-08-04 Wako Pure Chemical Industries Ltd Resist composition for deep ultraviolet light
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
US5705116A (en) 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
CN1069675C (zh) 1994-06-30 2001-08-15 日立化成工业株式会社 二氧化硅基隔离膜及其制作材料和该材料的生产工艺
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US6063714A (en) * 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
EP0880715A1 (en) 1996-02-13 1998-12-02 Sola International Inc. Color-neutral uv blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3694703B2 (ja) * 1996-04-25 2005-09-14 Azエレクトロニックマテリアルズ株式会社 反射防止コーティング用組成物
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
AU4991097A (en) 1996-10-25 1998-05-22 Blue River International, L.L.C. Silicon coating compositions and uses thereof
EP0844283B1 (en) * 1996-11-20 2002-10-09 JSR Corporation Curable resin composition and cured products
JPH10161315A (ja) 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
KR100276803B1 (ko) 1996-12-13 2001-01-15 이마이 기요스케 실리콘 에멀젼 코팅재 조성물과 그것의 제조방법
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
US6777092B1 (en) 1997-05-13 2004-08-17 Kirin Beer Kabushiki Kaisha Coating and material for forming vitreous coating film, method of coating with the same, and coater
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
EP1049442B2 (de) 1998-01-22 2007-03-07 KETTENBACH GmbH &amp; CO. KG Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
CA2318881A1 (en) 1998-02-04 1999-08-12 Tina Garyantes Virtual wells for use in high throughput screening assays
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
JP3367953B2 (ja) * 1998-04-10 2003-01-20 松下電工株式会社 親水性無機塗膜形成方法及び無機塗料組成物
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
CA2330689A1 (en) 1998-04-29 1999-11-04 Brewer Science, Inc. Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
KR20010023776A (ko) 1998-07-10 2001-03-26 잔디해머,한스루돌프하우스 저면 반사 방지막용 조성물 및 이에 사용하기 위한 신규중합체 염료
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6210856B1 (en) 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
EP1031579B1 (en) 1999-02-26 2005-07-27 Showa Denko Kabushiki Kaisha Photopolymerization initiator for color filter, photosensitive coloring composition, and color filter
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
DE60022765T2 (de) 1999-07-30 2006-06-29 Ppg Industries Ohio, Inc. Beschichtungszusammensetzungen mit verbesserter kratzfestigkeit und beschichtete oberflächen
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6649083B1 (en) * 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
EP1757565A3 (en) 1999-09-13 2009-01-07 Koninklijke Philips Electronics N.V. Light-absorbing coating and electric lamp therewith
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
IL146385A (en) 2000-02-08 2007-03-08 Adsil Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
DE60123389T2 (de) 2000-02-14 2007-08-02 The Procter & Gamble Company, Cincinnati Stabile, wässerige zusammensetzungen zur behandlung von oberflächen , insbesondere geweben
JP3759456B2 (ja) 2000-02-22 2006-03-22 ブルーワー サイエンス アイ エヌ シー. 化学蒸着により蒸着される反射防止有機ポリマーコーティング
US6413647B1 (en) * 2000-02-28 2002-07-02 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
US6432191B2 (en) * 2000-02-28 2002-08-13 John B. Schutt Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
EP1278799A1 (en) 2000-03-30 2003-01-29 General Electric Company Transparent, flame retardant poly(arylene ether) blends
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
ATE321105T1 (de) 2000-04-13 2006-04-15 Jsr Corp Überzugsmittel, verfahren zur herstellung, gehärtetes produkt und beschichtungsfilm
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
AU2001288306A1 (en) 2000-08-18 2002-03-04 The Procter And Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
US7115531B2 (en) 2000-08-21 2006-10-03 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
JP3772077B2 (ja) 2000-09-27 2006-05-10 株式会社東芝 パターン形成方法
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
TW538319B (en) * 2000-10-10 2003-06-21 Shipley Co Llc Antireflective composition, method for forming antireflective coating layer, and method for manufacturing electronic device
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
WO2002048264A1 (fr) * 2000-12-11 2002-06-20 Jsr Corporation Composition sensible aux rayonnements, a indice de refraction variable et procede pour modifier son indice de refraction
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
NZ526871A (en) 2001-01-25 2006-01-27 Bristol Myers Squibb Co Pharmaceutical dosage forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6713643B2 (en) * 2001-05-24 2004-03-30 Board Of Trustees Of Michigan State University Ultrastable organofunctional microporous to mesoporous silica compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US7177261B2 (en) 2001-06-05 2007-02-13 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
JP2003025510A (ja) 2001-07-16 2003-01-29 Shin Etsu Chem Co Ltd 反射防止性及び耐擦傷性を有する多層積層体
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
DE10151264A1 (de) * 2001-10-17 2003-04-30 Degussa Aminoalkylalkoxysiloxanhaltige Gemische, deren Herstellung und deren Verwendung
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
WO2003044600A1 (en) 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
KR100508296B1 (ko) 2002-02-01 2005-08-17 세이코 엡슨 가부시키가이샤 회로 기판, 전기 광학 장치 및 전자 기기
CA2474913A1 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
KR100994818B1 (ko) 2002-03-04 2010-11-16 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 단파장 이미지화용 네거티브 포토레지스트
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
WO2004037866A2 (en) 2002-10-21 2004-05-06 Shipley Company L.L.C. Photoresists containing sulfonamide component
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
KR100857967B1 (ko) 2003-06-03 2008-09-10 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴형성 방법
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02150430A (ja) * 1988-04-25 1990-06-08 General Electric Co <Ge> プラスチック基体用の可撓性シリコーンコーティングおよび熱成形可能な耐摩耗性の熱可塑性物品の製造方法
JPH06256718A (ja) * 1992-12-02 1994-09-13 General Electric Co <Ge> 下塗剤不要の熱硬化性シリコーン硬質被覆組成物及び熱可塑性複合体
JPH0950994A (ja) * 1995-08-07 1997-02-18 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液及び被膜形成方法
WO2000077575A1 (en) * 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
JP2003502449A (ja) * 1999-06-10 2003-01-21 ハネウエル・インターナシヨナル・インコーポレーテツド フォトリソグラフィ用スピンオンガラス反射防止コーティング
JP2001092122A (ja) * 1999-09-20 2001-04-06 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物及びレジストパターンの形成方法
JP2005509914A (ja) * 2001-11-15 2005-04-14 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィ用のスピンオングラス反射防止コーティング

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008524374A (ja) * 2004-12-17 2008-07-10 ダウ・コーニング・コーポレイション シロキサン樹脂コーティング
WO2014098076A1 (ja) * 2012-12-19 2014-06-26 日産化学工業株式会社 環状ジエステル基を有するシリコン含有レジスト下層膜形成組成物
US9290623B2 (en) 2012-12-19 2016-03-22 Nissan Chemical Industries, Ltd. Composition for forming silicon-containing resist underlayer film having cyclic diester group
JPWO2014098076A1 (ja) * 2012-12-19 2017-01-12 日産化学工業株式会社 環状ジエステル基を有するシリコン含有レジスト下層膜形成組成物

Also Published As

Publication number Publication date
JP2005509913A (ja) 2005-04-14
JP4703745B2 (ja) 2011-06-15
KR20050042068A (ko) 2005-05-04
US6824879B2 (en) 2004-11-30
KR20050042069A (ko) 2005-05-04
CN1615333A (zh) 2005-05-11
AU2002336709A1 (en) 2003-06-10
EP1478683A1 (en) 2004-11-24
CN1615332A (zh) 2005-05-11
US20020095018A1 (en) 2002-07-18
JP2005509914A (ja) 2005-04-14
TW200306342A (en) 2003-11-16
EP1478683A4 (en) 2005-06-15
US9069133B2 (en) 2015-06-30
TWI308585B (en) 2009-04-11
TW200302259A (en) 2003-08-01
WO2003044079A1 (en) 2003-05-30
KR100897575B1 (ko) 2009-05-15
TWI324626B (en) 2010-05-11
US20140227538A1 (en) 2014-08-14

Similar Documents

Publication Publication Date Title
JP4703745B2 (ja) フォトリソグラフィ用のスピンオングラス反射防止コーティング
US6506497B1 (en) Spin-on-glass anti-reflective coatings for photolithography
KR100804873B1 (ko) 포토리소그래피용 sog 반사방지 코팅
JP4381143B2 (ja) フォトリソグラフィー用スピンオン反射防止膜
US6605362B2 (en) Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
KR100818678B1 (ko) 포토리소그라피용 스핀온 유리 반사 방지 피막
JP2005512309A6 (ja) フォトリソグラフィー用スピンオン反射防止膜
JP2011221549A (ja) フォトリソグラフィー用スピンオン反射防止膜
KR100917241B1 (ko) 포토리소그래피용 스핀-온 무반사 코팅
JP2009175747A (ja) フォトリソグラフィー用スピンオン反射防止膜
JP2009280822A (ja) フォトリソグラフィ用のスピンオングラス反射防止性コーティング

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100709

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101008

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110308

LAPS Cancellation because of no payment of annual fees