KR20210000753A - 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법 - Google Patents

본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법 Download PDF

Info

Publication number
KR20210000753A
KR20210000753A KR1020207037401A KR20207037401A KR20210000753A KR 20210000753 A KR20210000753 A KR 20210000753A KR 1020207037401 A KR1020207037401 A KR 1020207037401A KR 20207037401 A KR20207037401 A KR 20207037401A KR 20210000753 A KR20210000753 A KR 20210000753A
Authority
KR
South Korea
Prior art keywords
die
layer
memory
semiconductor
dielectric
Prior art date
Application number
KR1020207037401A
Other languages
English (en)
Other versions
KR102377774B1 (ko
Inventor
아키오 니시다
Original Assignee
샌디스크 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 샌디스크 테크놀로지스 엘엘씨 filed Critical 샌디스크 테크놀로지스 엘엘씨
Priority to KR1020227009109A priority Critical patent/KR20220039845A/ko
Publication of KR20210000753A publication Critical patent/KR20210000753A/ko
Application granted granted Critical
Publication of KR102377774B1 publication Critical patent/KR102377774B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • H01L27/11551
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/08Address circuits; Decoders; Word-line control circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • H01L27/11524
    • H01L27/11529
    • H01L27/11573
    • H01L27/11578
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0912Layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

제1 다이는 3차원 메모리 디바이스 및 제1 구리 패드들을 포함한다. 제2 다이는 반도체 기판 상에 위치된 CMOS 디바이스들을 포함하는 주변 로직 회로부 및 제2 구리 패드들을 포함한다. 제1 다이와 제2 다이 사이의 계면에 각자의 제1 구리 패드와 각자의 제2 구리 패드의 다수의 본딩된 쌍들을 제공하기 위해 구리 상호확산을 통해 제1 구리 패드들을 제2 구리 패드들과 본딩시키는 것에 의해 본딩된 조립체가 형성된다.

Description

본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법{THREE-DIMENSIONAL MEMORY DEVICE CONTAINING BONDED MEMORY DIE AND PERIPHERAL LOGIC DIE AND METHOD OF MAKING THEREOF}
관련 출원들
본 출원은 2018년 1월 17일자로 출원된 미국 정규 출원 제15/873,101호에 대한 우선권의 이익을 주장하며, 이 미국 정규 출원의 전체 내용은 참고로 본 명세서에 포함된다.
기술 분야
본 개시내용은 일반적으로 반도체 디바이스들의 분야에 관한 것으로서, 구체적으로는, 주변 로직 다이에 본딩되는 메모리 다이를 포함하는 3차원 메모리 디바이스, 및 그 제조 방법들에 관한 것이다.
최근에, 3차원(3D) 메모리 스택 구조체들을 이용하는 초고밀도 저장 디바이스들이 제안되었다. 예를 들어, 3D NAND 적층형 메모리 디바이스는 전기 전도성 층들로서 형성되거나 주변 디바이스들(예컨대, 드라이버/로직 회로들)을 포함하는 기판 위의 전기 전도성 층들로 대체되는 절연성 재료들 및 스페이서 재료 층들의 교호 스택의 어레이로부터 형성될 수 있다. 메모리 개구부들이 교호 스택을 관통하여 형성되고, 메모리 스택 구조체들로 채워지며, 메모리 스택 구조체들 각각은 메모리 요소들의 수직 스택 및 수직 반도체 채널을 포함한다.
본 개시내용의 양태에 따르면, 반도체 구조체가 제공되고, 이 반도체 구조체는: 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스, 메모리 요소들의 3차원 어레이 위에 놓인 또는 아래에 놓인 제1 유전체 재료 층, 및 제1 유전체 재료 층에 매립되고 3차원 메모리 디바이스 내의 각자의 노드에 전기적으로 단락되는 제1 구리 패드들을 포함하는 제1 다이; 및 반도체 기판, 반도체 기판 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부, CMOS 디바이스들 위에 놓인 또는 아래에 놓인 제2 유전체 재료 층, 및 제2 유전체 재료 층에 매립되고 CMOS 디바이스들 내의 각자의 노드에 전기적으로 단락되는 제2 구리 패드들을 포함하는 제2 다이를 포함하며, 여기서 제1 다이와 제2 다이 사이의 계면에 각자의 제1 구리 패드와 각자의 제2 구리 패드의 다수의 본딩된 쌍들을 제공하기 위해, 구리 상호확산을 통해 제1 구리 패드들이 제2 구리 패드들과 본딩된다.
본 개시내용의 다른 양태에 따르면, 반도체 구조체는 NAND 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스를 포함하는 제1 다이, 및 반도체 기판, 반도체 기판 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부를 포함하는 제2 다이를 포함한다. 제1 다이는 제2 다이에 본딩된다. 제2 다이의 CMOS 디바이스들의 게이트 구조체들은 제1 다이의 NAND 메모리 요소들의 3차원 어레이와 CMOS 디바이스들의 채널에 의해 분리되는 활성 영역들을 포함하는 제2 다이의 반도체 기판 사이에 위치된다.
본 개시내용의 다른 양태에 따르면, 반도체 구조체를 형성하는 방법은 NAND 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스를 포함하는 제1 다이를 제공하는 단계, 반도체 기판 및 반도체 기판 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부를 포함하는 제2 다이를 제공하는 단계, 및 제1 다이를 제2 다이에 본딩함으로써 본딩된 조립체를 형성하는 단계를 포함한다.
도 1은 본 개시내용의 제1 실시예에 따른, 반도체 기판 상에 반도체 디바이스들, 실리콘 질화물 층을 포함하는 하부 레벨 유전체 층들(lower level dielectric layers), 하부 금속 인터커넥트 구조체들(lower metal interconnect structures), 및 평면 반도체 재료 층을 형성한 후의 제1 예시적인 구조체의 수직 단면도이다.
도 2는 본 개시내용의 실시예에 따른, 제1 절연성 층들과 제1 스페이서 재료 층들의 제1-티어 교호 스택(first-tier alternating stack)을 형성한 후의 제1 예시적인 구조체의 수직 단면도이다.
도 3은 본 개시내용의 실시예에 따른, 제1-티어 교호 스택 상에 제1-티어 계단 영역들(first-tier staircase regions)을 패터닝하고 제1-티어 역계단형 유전체 재료 부분(first-tier retro-stepped dielectric material portion)을 형성한 후의 제1 예시적인 구조체의 수직 단면도이다.
도 4a는 본 개시내용의 실시예에 따른, 제1-티어 메모리 개구부들 및 제1-티어 지지 개구부들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 4b는 도 4a에서의 수평 평면(B-B')을 따른 제1 예시적인 구조체의 수평 단면도이다. 지그재그 수직 평면(A-A')은 도 4a의 수직 단면도의 평면에 대응한다.
도 5는 본 개시내용의 실시예에 따른, 희생 메모리 개구부 충전 부분들 및 희생 지지 개구부 충전 부분들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 6은 본 개시내용의 실시예에 따른, 제2 절연성 층들과 제2 스페이서 재료 층들의 제2-티어 교호 스택(second-tier alternating stack), 제2-티어 역계단형 유전체 재료 부분, 및 제2 절연성 캡 층의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 7a는 본 개시내용의 실시예에 따른, 티어간(inter-tier) 메모리 개구부들 및 티어간 지지 개구부들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 7b는 도 7a에서의 수평 평면(B-B')을 따른 제1 예시적인 구조체의 수평 단면도이다. 지그재그 수직 평면(A-A')은 도 7a의 수직 단면도의 평면에 대응한다.
도 8은 본 개시내용의 실시예에 따른, 메모리 스택 구조체들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 9a 내지 도 9h는 본 개시내용의 실시예에 따른 필라 채널 부분, 메모리 스택 구조체, 유전체 코어, 및 드레인 영역의 형성 동안 티어간 메모리 개구부의 순차적인 수직 단면도들이다.
도 10a는 본 개시내용의 실시예에 따른, 제1 스택-관통 비아 캐비티들(first through-stack via cavities)의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 10b는 도 10a에서의 수평 평면(B-B')을 따른 제1 예시적인 구조체의 수평 단면도이다. 지그재그 수직 평면(A-A')은 도 10a의 수직 단면도의 평면에 대응한다.
도 11은 본 개시내용의 실시예에 따른, 스택-관통 절연성 재료 부분의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 12a는 본 개시내용의 실시예에 따른, 후면 콘택트 트렌치들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 12b는 도 12a에서의 수평 평면(B-B')을 따른 제1 예시적인 구조체의 수평 단면도이다. 지그재그 수직 평면(A-A')은 도 12a의 수직 단면도의 평면에 대응한다.
도 13a는 본 개시내용의 실시예에 따른, 희생 재료 층들을 전기 전도성 층들로 대체하는 것 및 절연성 스페이서들 및 후면 콘택트 비아 구조체들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 13b는 도 13a에서의 수평 평면(B-B')을 따른 제1 예시적인 구조체의 수평 단면도이다. 지그재그 수직 평면(A-A')은 도 13a의 수직 단면도의 평면에 대응한다.
도 14a는 본 개시내용의 실시예에 따른, 드레인 콘택트 비아 구조체들 및 워드 라인 콘택트 비아 구조체들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 14b는 도 14a에서의 수평 평면(B-B')을 따른 제1 예시적인 구조체의 수평 단면도이다. 지그재그 수직 평면(A-A')은 도 14a의 수직 단면도의 평면에 대응한다.
도 15는 본 개시내용의 실시예에 따른, 제2 스택-관통 비아 캐비티들 및 유전체-관통 비아 캐비티들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 16a는 본 개시내용의 실시예에 따른, 스택-관통 콘택트 비아 구조체들 및 유전체-관통 콘택트 비아 구조체들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 16b는 도 16a에서의 수평 평면(B-B')을 따른 제1 예시적인 구조체의 수평 단면도이다. 지그재그 수직 평면(A-A')은 도 16a의 수직 단면도의 평면에 대응한다.
도 17은 본 개시내용의 실시예에 따른, 제1 다이의 제조 동안 상부 금속 라인 구조체들의 형성 이후의 제1 예시적인 구조체의 수직 단면도이다.
도 18은 본 개시내용의 실시예에 따른, 제1 다이의 제조 동안 제1 예시적인 구조체의 대안적인 실시예의 수직 단면도이다.
도 19는 본 개시내용의 실시예에 따른, 제2 다이를 제공하는 데 이용될 수 있는 제2 예시적인 구조체의 수직 단면도이다.
도 20은 본 개시내용의 실시예에 따른, 반도체 기판의 후면을 시닝한 후의 제2 예시적인 구조체의 수직 단면도이다.
도 21은 본 개시내용의 실시예에 따른, 제1 구리 패드들의 형성 이후의 제1 예시적인 구조체의 대안적인 실시예의 수직 단면도이다.
도 22는 본 개시내용의 실시예에 따른, 도 21의 제1 예시적인 구조체 및 도 20의 제2 예시적인 구조체의 대안적인 실시예를 본딩함으로써 형성되는 제1 예시적인 본딩된 조립체의 수직 단면도이다.
도 23은 본 개시내용의 실시예에 따른, 기판-관통 비아 구조체 및 본딩 패드의 형성 이후의 제1 예시적인 본딩된 조립체의 수직 단면도이다.
도 24는 본 개시내용의 실시예에 따른, 제1 구리 패드들 및 도 20의 제2 예시적인 구조체의 형성에 의해 수정된 바와 같은 도 17의 제1 예시적인 구조체를 본딩함으로써 형성되는 제1 예시적인 본딩된 조립체의 대안적인 실시예의 수직 단면도이다.
도 25는 본 개시내용의 실시예에 따른, 기판-관통 비아 구조체 및 본딩 패드의 형성 이후의 제1 예시적인 본딩된 조립체의 대안적인 실시예의 수직 단면도이다.
도 26은 본 개시내용의 실시예에 따른, 본딩 이전의 제1 다이 및 제2 다이의 수직 단면도이다.
도 27은 본 개시내용의 실시예에 따른, 제2 예시적인 본딩된 조립체의 수직 단면도이다.
도 28은 본 개시내용의 실시예에 따른, 제2 예시적인 본딩된 조립체의 대안적인 실시예의 수직 단면도이다.
도 29는 본 개시내용의 실시예에 따른, 제1 다이, 제2 다이, 및 제3 다이의 제3 예시적인 본딩된 조립체의 수직 단면도이다.
도 30a 내지 도 30f는 본 개시내용의 실시예에 따른, 2개의 다이의 본딩 동안 예시적인 구조체의 순차적인 수직 단면도들이다.
도 31a 내지 도 31d는 본 개시내용의 다양한 실시예들에 따른, 제1 다이 및 제2 다이의 본딩된 조립체에서의 면적 오버랩(areal overlap)의 다양한 구성들을 예시한다.
3차원 메모리 디바이스들이 더 작은 디바이스 치수들로 스케일링됨에 따라, 주변 디바이스들(드라이버 디바이스들, 드라이버 회로들, 로직 회로부, 로직 디바이스들, 주변 회로들 등이라고 상호교환가능하게 지칭될 수 있음)을 위한 디바이스 구역(device area)은 전체 칩 구역(total chip area)의 상당 부분을 차지할 수 있다. 주변 로직 회로부는 메모리 디바이스들의 다양한 노드들의 제어를 제공한다. 3차원 메모리 어레이의 제조는 전형적으로, 활성화 어닐링들 및 고온 층 퇴적 단계들과 같은, 많은 고온 프로세싱 단계들을 수반한다. 그러한 고온 프로세싱 단계들은 3차원 메모리 어레이와 동일한 기판 상에 형성되는 로직 디바이스들에 악영향을 미친다. 그렇지만, 3차원 메모리 디바이스에 고성능을 제공하기 위해서는 고성능 주변 디바이스들이 필요하다. 본 개시내용의 실시예들은 3차원 메모리 디바이스를 포함하는 메모리 다이에 본딩되는, CMOS 디바이스들과 같은, 로직 디바이스들을 포함하는 주변 회로 다이를 제공한다. 이 구성은 로직 디바이스들에 대한 고온 프로세싱 단계들의 부정적인 영향을 피하거나 감소시킨다.
본 개시내용의 실시예들은 복수의 NAND 메모리 스트링들을 포함하는 3차원 모놀리식 메모리 어레이 디바이스들과 같은 다양한 반도체 디바이스들을 형성하는 데 이용될 수 있다. 도면들이 축척대로 그려져 있지 않다. 요소의 다수의 인스턴스들이 중복될 수 있고, 여기서 요소들의 중복이 없음이 명시적으로 설명되지 않거나 다른 방식으로 명확히 언급되지 않는 한, 요소의 단일 인스턴스가 예시된다.
"제1", "제2", 및 "제3"과 같은 서수들은 단지 유사한 요소들을 식별하는 데 이용되고, 본 개시내용의 명세서 및 청구범위에 걸쳐 상이한 서수들이 이용될 수 있다. 본 명세서에서 사용되는 바와 같이, 제2 요소 "상에" 위치된 제1 요소는 제2 요소의 표면의 외부 측면 상에 또는 제2 요소의 내부 측면 상에 위치될 수 있다. 본 명세서에서 사용되는 바와 같이, 제1 요소의 표면과 제2 요소의 표면 사이에 물리적 접촉이 존재하는 경우, 제1 요소는 제2 요소 "바로 위에" 위치된다. 본 명세서에서 사용되는 바와 같이, "프로세스중(in-process)" 구조체 또는 "과도적(transient)" 구조체는 후속하여 수정되는 구조체를 지칭한다.
본 명세서에서 사용되는 바와 같이, "층(layer)"은 두께를 갖는 영역을 포함하는 재료 부분을 지칭한다. 층은 아래에 놓인 또는 위에 놓인 구조체 전체에 걸쳐 연장될 수 있거나, 또는 아래에 놓인 또는 위에 놓인 구조체의 크기(extent)보다 작은 크기를 가질 수 있다. 게다가, 층은 연속 구조체의 두께보다 작은 두께를 갖는 균질성(homogeneous) 또는 불균질성(inhomogeneous) 연속 구조체의 영역일 수 있다. 예를 들어, 층은 연속 구조체의 상단 표면과 하단 표면 사이에 있는 또는 상단 표면 및 하단 표면에 있는 임의의 수평 평면 쌍 사이에 위치될 수 있다. 층은 수평으로, 수직으로, 그리고/또는 테이퍼진 표면을 따라 연장될 수 있다. 기판은 층일 수 있고, 기판 내에 하나 이상의 층을 포함할 수 있으며, 그리고/또는 기판 상에, 기판 위쪽에, 그리고/또는 기판 아래에 하나 이상의 층을 가질 수 있다.
본 명세서에서 사용되는 바와 같이, "메모리 레벨" 또는 "메모리 어레이 레벨"은 메모리 요소들의 어레이의 최상단 표면들을 포함하는 제1 수평 평면(즉, 기판의 상단 표면에 평행한 평면)과 메모리 요소들의 어레이의 최하단 표면들을 포함하는 제2 수평 평면 사이의 일반 영역(general region)에 대응하는 레벨을 지칭한다. 본 명세서에서 사용되는 바와 같이, "스택-관통" 요소는 메모리 레벨을 관통하여 수직으로 연장되는 요소를 지칭한다.
본 명세서에서 사용되는 바와 같이, "반전도성 재료(semiconducting material)"는 1.0 x 10-6 S/cm 내지 1.0 x 105 S/cm의 범위에 있는 전기 전도율(electrical conductivity)을 갖는 재료를 지칭한다. 본 명세서에서 사용되는 바와 같이, "반도체 재료"는 그 내에 전기 도펀트들의 부재 시에 1.0 x 10-6 S/cm 내지 1.0 x 105 S/cm의 범위에 있는 전기 전도율을 갖는 재료를 지칭하고, 전기 도펀트를 사용한 적합한 도핑 시에 1.0 S/cm 내지 1.0 x 105 S/cm의 범위에 있는 전기 전도율을 갖는 도핑된 재료를 생성할 수 있다. 본 명세서에서 사용되는 바와 같이, "전기 도펀트"는 밴드 구조(band structure) 내의 가전자 밴드(valence band)에 정공(hole)을 추가하는 p-형 도펀트, 또는 밴드 구조 내의 전도 밴드(conduction band)에 전자를 추가하는 n-형 도펀트를 지칭한다. 본 명세서에서 사용되는 바와 같이, "전도성 재료"는 1.0 x 105 S/cm 초과의 전기 전도율을 갖는 재료를 지칭한다. 본 명세서에서 사용되는 바와 같이, "절연성 재료" 또는 "유전체 재료"는 1.0 x 10-6 S/cm 미만의 전기 전도율을 갖는 재료를 지칭한다. 본 명세서에서 사용되는 바와 같이, "고농도로 도핑된 반도체 재료"는 전도성 재료가 되도록, 즉 1.0 x 105 S/cm 초과의 전기 전도율을 갖도록 충분히 높은 원자 농도로 전기 도펀트로 도핑되는 반도체 재료를 지칭한다. "도핑된 반도체 재료"는 고농도로 도핑된 반도체 재료일 수 있거나, 또는 1.0 x 10-6 S/cm 내지 1.0 x 105 S/cm의 범위에 있는 전기 전도율을 제공하는 농도로 전기 도펀트들(즉, p-형 도펀트들 및/또는 n-형 도펀트들)을 포함하는 반도체 재료일 수 있다. "진성 반도체 재료(intrinsic semiconductor material)"는 전기 도펀트들로 도핑되지 않은 반도체 재료를 지칭한다. 따라서, 반도체 재료는 반전도성 또는 전도성일 수 있고, 진성 반도체 재료 또는 도핑된 반도체 재료일 수 있다. 도핑된 반도체 재료는 그 내의 전기 도펀트들의 원자 농도에 따라 반전도성 또는 전도성일 수 있다. 본 명세서에서 사용되는 바와 같이, "금속 재료"는 그 내에 적어도 하나의 금속 원소를 포함하는 전도성 재료를 지칭한다. 전기 전도율들에 대한 모든 측정들은 표준 조건에서 행해진다.
모놀리식 3차원 메모리 어레이는 다수의 메모리 레벨들이 어떠한 개재 기판들도 없이, 반도체 웨이퍼와 같은, 단일 기판 위쪽에 형성되는 메모리 어레이이다. 용어 "모놀리식"은 어레이의 각각의 레벨의 층들이 어레이의 각각의 아래에 놓인 레벨의 층들 바로 위에 퇴적된다는 것을 의미한다. 이와 달리, 2차원 어레이들이 개별적으로 형성될 수 있고 이어서 비-모놀리식 메모리 디바이스를 형성하기 위해 함께 패키징될 수 있다. 예를 들어, 발명의 명칭이 "Three-dimensional Structure Memory"인 미국 특허 제5,915,167호에 설명된 바와 같이, 메모리 레벨들을 개별적인 기판들 상에 형성하고 메모리 레벨들을 수직으로 적층시키는 것에 의해 비-모놀리식 적층형 메모리들이 구성되었다. 기판들이 본딩 이전에 시닝되거나 메모리 레벨들로부터 제거될 수 있지만, 메모리 레벨들이 처음에 개별적인 기판들 위에 형성되기 때문에, 그러한 메모리들은 진정한 모놀리식 3차원 메모리 어레이들이 아니다. 기판은, 메모리 디바이스에 대한 드라이버 회로들과 같은, 그 상에 제조되는 집적 회로들을 포함할 수 있다.
본 개시내용의 다양한 3차원 메모리 디바이스들은 모놀리식 3차원 NAND 스트링 메모리 디바이스를 포함하고, 본 명세서에서 설명되는 다양한 실시예들을 이용하여 제조될 수 있다. 모놀리식 3차원 NAND 스트링은 기판 위에 위치된 NAND 스트링들의 모놀리식 3차원 어레이에 위치된다. NAND 스트링들의 3차원 어레이의 제1 디바이스 레벨에 있는 적어도 하나의 메모리 셀은 NAND 스트링들의 3차원 어레이의 제2 디바이스 레벨에 있는 다른 메모리 셀 위에 위치된다.
도 1을 참조하면, 본 개시내용의 실시예에 따른 제1 예시적인 구조체가 예시되어 있다. 제1 예시적인 구조체는 반도체 기판(8), 및 그 위에 형성되는 반도체 디바이스들(710)을 포함한다. 반도체 기판(8)은 적어도 그의 상부 부분에 기판 반도체 층(9)을 포함한다. 얕은 트렌치 격리 구조체들(720)은 반도체 디바이스들 간의 전기적 격리를 제공하기 위해 기판 반도체 층(9)의 상부 부분에 형성될 수 있다. 반도체 디바이스들(710)은, 예를 들어, 각자의 트랜지스터 활성 영역들(742)(즉, 소스 영역들 및 드레인 영역들), 채널 영역들(746) 및 게이트 구조체들(750)을 포함하는 전계 효과 트랜지스터들을 포함할 수 있다. 전계 효과 트랜지스터들은 CMOS 구성으로 배열될 수 있다. 각각의 게이트 구조체(750)는, 예를 들어, 게이트 유전체(752), 게이트 전극(754), 유전체 게이트 스페이서(756) 및 게이트 캡 유전체(758)를 포함할 수 있다. 반도체 디바이스들은 주변 회로부라고도 알려져 있는, 전형적으로 드라이버 회로부라고 지칭되는, 후속하여 형성될 메모리 구조체의 동작을 지원하기 위한 임의의 반도체 회로부를 포함할 수 있다. 본 명세서에서 사용되는 바와 같이, 주변 회로부는 워드 라인 디코더 회로부, 워드 라인 스위칭 회로부, 비트 라인 디코더 회로부, 비트 라인 감지 및/또는 스위칭 회로부, 전력 공급/분배 회로부, 데이터 버퍼 및/또는 래치, 또는 메모리 디바이스를 위한 메모리 어레이 구조체 외부에 구현될 수 있는 임의의 다른 반도체 회로부 중 임의의 것, 그 각각, 또는 그 전부를 지칭한다. 예를 들어, 반도체 디바이스들은 후속하여 형성될 3차원 메모리 구조체들의 워드 라인들을 전기적으로 바이어스시키기 위한 워드 라인 스위칭 디바이스들을 포함할 수 있다.
본 명세서에서 하부 레벨 유전체 층들(760)이라고 지칭되는, 유전체 재료 층들이 반도체 디바이스들 위에 형성된다. 하부 레벨 유전체 층들(760)은 각각의 하부 레벨 유전체 층(760)이 다른 하부 레벨 유전체 층들(760) 위에 놓이거나 아래에 놓이는 유전체 층 스택을 구성한다. 하부 레벨 유전체 층들(760)은, 예를 들어, 이동 이온들(mobile ions)의 확산을 차단하고 그리고/또는 아래에 놓인 구조체들에 적절한 응력을 가하는 실리콘 질화물 라이너와 같은 유전체 라이너(762), 유전체 라이너(762) 위에 놓이는 적어도 하나의 제1 유전체 재료 층(764), 유전체 재료 층(764) 위에 놓이는 실리콘 질화물 층(예컨대, 수소 확산 배리어)(766), 및 적어도 하나의 제2 유전체 층(768)을 포함할 수 있다.
하부 레벨 유전체 층들(760)을 포함하는 유전체 층 스택은 반도체 디바이스들의 다양한 노드들 사이의 전기 배선(electrical wiring) 및 후속하여 형성될 스택-관통 콘택트 비아 구조체들을 위한 랜딩 패드들을 제공하는 하부 금속 인터커넥트 구조체들(780)을 위한 매트릭스로서 기능한다. 하부 금속 인터커넥트 구조체들(780)은 하부 레벨 유전체 층들(760)의 유전체 층 스택 내에 매립되고, 실리콘 질화물 층(766)의 하단 표면 아래에 위치되고 실리콘 질화물 층(766)의 하단 표면과 임의로 접촉하는 하부 금속 라인 구조체를 포함한다.
예를 들어, 하부 금속 인터커넥트 구조체들(780)은 적어도 하나의 제1 유전체 재료 층(764) 내에 매립될 수 있다. 적어도 하나의 제1 유전체 재료 층(764)은 하부 금속 인터커넥트 구조체들(780)의 다양한 요소들이 순차적으로 매립되는 복수의 유전체 재료 층들일 수 있다. 적어도 하나의 제1 유전체 재료 층(764) 중 각각의 유전체 재료 층은 도핑된 실리케이트 유리, 도핑되지 않은 실리케이트 유리, 유기 실리케이트 유리, 실리콘 질화물, 실리콘 산질화물, 및 (알루미늄 산화물과 같은) 유전체 금속 산화물들 중 임의의 것을 포함할 수 있다. 일 실시예에서, 적어도 하나의 제1 유전체 재료 층(764)은 3.9의 도핑되지 않은 실리케이트 유리(실리콘 산화물)의 유전 상수를 초과하지 않는 유전 상수들을 갖는 유전체 재료 층들을 포함할 수 있거나, 이들로 본질적으로 이루어져 있을 수 있다.
하부 금속 인터커넥트 구조체들(780)은 다양한 디바이스 콘택트 비아 구조체들(782)(예컨대, 디바이스의 각자의 소스 및 드레인 노드들과 접촉하는 소스 및 드레인 전극들 또는 게이트 전극 콘택트들), 중간 하부 금속 라인 구조체들(784), 하부 금속 비아 구조체들(786), 및 후속하여 형성될 스택-관통 콘택트 비아 구조체들을 위한 랜딩 패드들로서 기능하도록 구성된 최상단 하부 금속 라인 구조체들(788)을 포함할 수 있다. 이 경우에, 적어도 하나의 제1 유전체 재료 층(764)은 각각의 각자의 레벨 내의 하부 금속 인터커넥트 구조체들(780)의 컴포넌트들을 포함하면서 레벨별로(level by level) 형성되는 복수의 유전체 재료 층들일 수 있다. 예를 들어, 싱글 다마신 프로세스들이 하부 금속 인터커넥트 구조체들(780)을 형성하는 데 이용될 수 있고, 하부 금속 비아 구조체들(786)의 각각의 레벨은 각자의 비아 레벨 유전체 재료 층 내에 매립될 수 있고, 하부 레벨 금속 라인 구조체들(784, 788)의 각각의 레벨은 각자의 라인 레벨 유전체 재료 층 내에 매립될 수 있다. 대안적으로, 듀얼 다마신 프로세스가 통합된 라인 및 비아 구조체들을 형성하는 데 이용될 수 있으며, 이들 각각은 하부 금속 라인 구조체 및 적어도 하나의 하부 금속 비아 구조체를 포함한다.
최상단 하부 금속 라인 구조체들(788)은 (복수의 유전체 재료 층들일 수 있는) 적어도 하나의 제1 유전체 재료 층(764)의 최상단 유전체 재료 층 내에 형성될 수 있다. 하부 금속 인터커넥트 구조체들(780) 각각은 금속 질화물 라이너(78A) 및 금속 충전 부분(78B)을 포함할 수 있다. 각각의 금속 질화물 라이너(78A)는 TiN, TaN, 및/또는 WN과 같은 전도성 금속 질화물 재료를 포함할 수 있다. 각각의 금속 충전 부분(78B)은 (Cu, W, Al, Co, Ru와 같은) 원소 금속 또는 적어도 2개의 금속의 금속간 합금(intermetallic alloy)을 포함할 수 있다. 최상단 하부 금속 라인 구조체들(788)의 상단 표면들 및 적어도 하나의 제1 유전체 재료 층(764)의 최상단 표면은, 화학적 기계적 평탄화와 같은, 평탄화 프로세스에 의해 평탄화될 수 있다. 이 경우에, 최상단 하부 금속 라인 구조체들(788)의 상단 표면들 및 적어도 하나의 제1 유전체 재료 층(764)의 최상단 표면은 기판(8)의 상단 표면에 평행한 수평 평면 내에 있을 수 있다.
실리콘 질화물 층(766)은 최상단 하부 금속 라인 구조체들(788)의 상단 표면들 및 적어도 하나의 제1 유전체 재료 층(764)의 최상단 표면 바로 위에 형성될 수 있다. 대안적으로, 제1 유전체 재료 층(764)의 일 부분은 실리콘 질화물 층(766) 아래의 최상단 하부 금속 라인 구조체들(788)의 상단 표면들 상에 위치될 수 있다. 일 실시예에서, 실리콘 질화물 층(766)은 Si3N4의 조성을 갖는 실질적으로 화학량론적 실리콘 질화물 층(substantially stoichiometric silicon nitride layer)이다. 실리콘 질화물 전구체의 열 분해에 의해 형성되는 실리콘 질화물 재료는 수소 확산을 차단하는 목적을 위해 바람직하다. 일 실시예에서, 실리콘 질화물 층(766)은 디클로로실란(SiH2Cl2) 및 암모니아(NH3)를 전구체 가스들로서 이용하는 저압 화학적 기상 퇴적(LPCVD)에 의해 퇴적될 수 있다. LPCVD 프로세스의 온도는 750 ℃ 내지 825 ℃의 범위에 있을 수 있지만, 더 작은 퇴적 온도들 및 더 큰 퇴적 온도들이 또한 이용될 수 있다. 디클로로실란과 암모니아의 분압들의 합은 50 mTorr 내지 500 mTorr의 범위에 있을 수 있지만, 더 작은 압력들 및 더 큰 압력들이 또한 이용될 수 있다. 실리콘 질화물 층(766)이 후속 열 프로세스들에 대한 충분히 강건한 수소 확산 배리어로서 기능하도록 실리콘 질화물 층(766)의 두께가 선택된다. 예를 들어, 실리콘 질화물 층(766)의 두께는 6 nm 내지 100 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다.
적어도 하나의 제2 유전체 재료 층(768)은 단일 유전체 재료 층 또는 복수의 유전체 재료 층들을 포함할 수 있다. 적어도 하나의 제2 유전체 재료 층(768) 중 각각의 유전체 재료 층은 도핑된 실리케이트 유리, 도핑되지 않은 실리케이트 유리, 및 유기 실리케이트 유리 중 임의의 것을 포함할 수 있다. 일 실시예에서, 적어도 하나의 제1 제2 재료 층(768)은 3.9의 도핑되지 않은 실리케이트 유리(실리콘 산화물)의 유전 상수를 초과하지 않는 유전 상수들을 갖는 유전체 재료 층들을 포함할 수 있거나, 이들로 본질적으로 이루어져 있을 수 있다.
금속 재료의 임의적인 층 및 반도체 재료의 층이 적어도 하나의 제2 유전체 재료 층(768) 위에 또는 적어도 하나의 제2 유전체 재료 층(768)의 패터닝된 리세스들 내에 퇴적될 수 있고, 임의적인 평면 전도성 재료 층(6) 및 평면 반도체 재료 층(10)을 제공하기 위해 리소그래피적으로 패터닝된다. 임의적인 평면 전도성 재료 층(6)은, 존재한다면, 평면 반도체 재료 층(10) 내로 또는 평면 반도체 재료 층(10) 밖으로 흐르는 전류를 위한 고 전도성 전도 경로를 제공한다. 임의적인 평면 전도성 재료 층(6)은 금속 또는 고농도로 도핑된 반도체 재료와 같은 전도성 재료를 포함한다. 임의적인 평면 전도성 재료 층(6)은, 예를 들어, 3 nm 내지 100 nm의 범위에 있는 두께를 갖는 텅스텐 층을 포함할 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 금속 질화물 층(도시되지 않음)은 평면 전도성 재료 층(6)의 상부에 확산 배리어 층으로서 제공될 수 있다. 층(6)은 완성된 디바이스에서 특별한 소스 라인으로서 기능할 수 있다. 대안적으로, 층(6)은 에칭 정지 층을 포함할 수 있고 임의의 적합한 전도성, 반도체 또는 절연성 층을 포함할 수 있다.
평면 반도체 재료 층(10)은 후속하여 형성될 메모리 디바이스들의 3차원 어레이를 위한 수평 반도체 채널들 및/또는 소스 영역들을 포함할 수 있다. 임의적인 평면 전도성 재료 층(6)은 전도성 금속 질화물(예컨대, TiN)과 같은 금속 화합물 재료 및/또는 금속(예컨대, W)을 포함할 수 있다. 임의적인 평면 전도성 재료 층(6)의 두께는 5 nm 내지 100 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 평면 반도체 재료 층(10)은 폴리실리콘과 같은 다결정 반도체 재료 또는 다결정 실리콘-게르마늄 합금을 포함한다. 평면 반도체 재료 층(10)의 두께는 30 nm 내지 300 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다.
평면 반도체 재료 층(10)은, 적어도 하나의 원소 반도체 재료, 적어도 하나의 III-V족 화합물 반도체 재료, 적어도 하나의 II-VI족 화합물 반도체 재료, 적어도 하나의 유기 반도체 재료, 및/또는 본 기술분야에 알려진 다른 반도체 재료들을 포함할 수 있는, 반도체 재료를 포함한다. 일 실시예에서, 평면 반도체 재료 층(10)은 (폴리실리콘과 같은) 다결정 반도체 재료, 또는 (어닐링 단계와 같은) 후속 프로세싱 단계에서 다결정 반도체 재료로 전환되는 (비정질 실리콘과 같은) 비정질 반도체 재료를 포함할 수 있다. 평면 반도체 재료 층(10)은 반도체 기판(8)(예컨대, 실리콘 웨이퍼) 상의 반도체 디바이스들의 서브세트 바로 위에 형성될 수 있다. 본 명세서에서 사용되는 바와 같이, 제1 요소가 제2 요소의 최상단 표면을 포함한 수평 평면 위쪽에 위치되고 제1 요소의 구역과 제2 요소의 구역이 평면도에서 면적 오버랩을 갖는 경우(즉, 기판(8)의 상단 표면에 수직인 방향 또는 수직 평면을 따라) 제1 요소는 제2 요소 "바로 위쪽에" 위치된다. 일 실시예에서, 평면 반도체 재료 층(10) 또는 그의 부분들은, p-형 도펀트들 또는 n-형 도펀트들일 수 있는, 전기 도펀트들로 도핑될 수 있다. 평면 반도체 재료 층(10)에서의 도펀트들의 전도성 유형은 본 명세서에서 제1 전도성 유형이라고 지칭된다.
스택-관통 콘택트 비아 구조체들 및 유전체-관통 콘택트 비아 구조체들이 후속하여 형성되어야 하는 구역들에 개구부들을 제공하기 위해 임의적인 평면 전도성 재료 층(6) 및 평면 반도체 재료 층(10)이 패터닝될 수 있다. 일 실시예에서, 임의적인 평면 전도성 재료 층(6) 및 평면 반도체 재료 층(10)에서의 개구부들은, 메모리 스택 구조체들을 포함하는 3차원 메모리 어레이가 후속하여 형성되어야 하는, 메모리 어레이 영역(100)의 구역 내에 형성될 수 있다. 게다가, 임의적인 평면 전도성 재료 층(6) 및 평면 반도체 재료 층(10)에서의 부가의 개구부들은 워드 라인 전기 전도성 층들과 접촉하는 콘택트 비아 구조체들이 후속하여 형성되어야 하는 콘택트 영역(200)의 구역 내에 형성될 수 있다.
하부 레벨 유전체 층들(760)과 하부 금속 인터커넥트 구조체들(780)의 조합 및 반도체 디바이스들(710)의 영역은, 후속하여 형성될 메모리-레벨 조립체 아래에 위치되고 메모리-레벨 조립체를 위한 주변 디바이스들을 포함하는, 아래에 놓인 주변 디바이스 영역(700)이라고 본 명세서에서 지칭된다. 하부 금속 인터커넥트 구조체들(780)은 하부 레벨 유전체 층들(760)에 매립된다.
하부 금속 인터커넥트 구조체들(780)은 반도체 디바이스들(710)(예컨대, CMOS 디바이스들)의 활성 노드들(예컨대, 트랜지스터 활성 영역들(742) 또는 게이트 전극들(754))에 전기적으로 단락될 수 있고, 하부 레벨 유전체 층들(760)의 레벨에 위치된다. 명확성을 위해 활성 노드들의 서브세트만이 도 1에 예시되어 있다. 스택-관통 콘택트 비아 구조체들(도 1에 도시되지 않음)은 후속하여 형성될 메모리 디바이스들에 대한 전기적 접속을 제공하기 위해 하부 금속 인터커넥트 구조체들(780) 바로 위에 후속하여 형성될 수 있다. 일 실시예에서, (하부 금속 인터커넥트 구조체들(780)의 최상단 부분에 위치된 하부 금속 인터커넥트 구조체들(780)의 서브세트인) 최상단 하부 금속 라인 구조체들(788)이 후속하여 형성될 스택-관통 콘택트 비아 구조체들을 위한 랜딩 패드 구조체들을 제공할 수 있도록, 하부 금속 인터커넥트 구조체들(780)의 패턴이 선택될 수 있다.
도 2를 참조하면, 제1 재료 층들과 제2 재료 층들의 교호 스택이 후속하여 형성된다. 각각의 제1 재료 층은 제1 재료를 포함할 수 있고, 각각의 제2 재료 층은 제1 재료와 상이한 제2 재료를 포함할 수 있다. 재료 층들의 적어도 다른 교호 스택이 제1 재료 층들과 제2 재료 층들의 교호 스택 위에 후속하여 형성되는 경우에, 그 교호 스택은 본 명세서에서 제1-티어 교호 스택이라고 지칭된다. 제1-티어 교호 스택의 레벨은 본 명세서에서 제1-티어 레벨이라고 지칭되고, 제1-티어 레벨 바로 위에 후속하여 형성될 교호 스택의 레벨은 본 명세서에서 제2-티어 레벨이라고 지칭되며, 이하 마찬가지이다.
제1-티어 교호 스택은 제1 절연성 층들(132)을 제1 재료 층들로서, 그리고 제1 스페이서 재료 층들을 제2 재료 층들로서 포함할 수 있다. 일 실시예에서, 제1 스페이서 재료 층들은 전기 전도성 층들로 후속하여 대체되는 희생 재료 층들일 수 있다. 다른 실시예에서, 제1 스페이서 재료 층들은 다른 층들로 후속하여 대체되지 않는 전기 전도성 층들일 수 있다. 본 개시내용이 희생 재료 층들이 전기 전도성 층들로 대체되는 실시예들을 이용하여 설명되지만, 스페이서 재료 층들이 전기 전도성 층들로서 형성되는(따라서 대체 프로세스들을 수행할 필요성을 제거하는) 실시예들이 본 명세서에서 명백히 고려된다.
일 실시예에서, 제1 재료 층들 및 제2 재료 층들은, 제각기, 제1 절연성 층들(132) 및 제1 희생 재료 층들(142)일 수 있다. 일 실시예에서, 각각의 제1 절연성 층(132)은 제1 절연성 재료를 포함할 수 있고, 각각의 제1 희생 재료 층(142)은 제1 희생 재료를 포함할 수 있다. 교호하는 복수의 제1 절연성 층들(132)과 제1 희생 재료 층들(142)이 평면 반도체 재료 층(10) 위에 형성된다. 본 명세서에서 사용되는 바와 같이, "희생 재료"는 후속 프로세싱 단계 동안 제거되는 재료를 지칭한다. 본 명세서에서 사용되는 바와 같이, 제1 요소들과 제2 요소들의 교호 스택은 제1 요소들의 인스턴스들과 제2 요소들의 인스턴스들이 교호하는 구조체를 지칭한다. 교호하는 복수의 요소들 중 말단 요소가 아닌 제1 요소들의 각각의 인스턴스는 양측에 있는 제2 요소들의 2개의 인스턴스와 인접해 있고, 교호하는 복수의 요소들 중 말단 요소가 아닌 제2 요소들의 각각의 인스턴스는 양쪽 끝에 있는 제1 요소들의 2개의 인스턴스와 인접해 있다. 제1 요소들은 그들 간에 동일한 두께를 가질 수 있거나, 또는 상이한 두께들을 가질 수 있다. 제2 요소들은 그들 간에 동일한 두께를 가질 수 있거나, 또는 상이한 두께들을 가질 수 있다. 교호하는 복수의 제1 재료 층들과 제2 재료 층들은 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 시작할 수 있고, 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 끝날 수 있다. 일 실시예에서, 제1 요소들의 인스턴스 및 제2 요소들의 인스턴스는 교호하는 복수의 요소들 내에서 주기적으로(with periodicity) 반복되는 유닛을 형성할 수 있다.
제1-티어 교호 스택(132, 142)은 제1 재료로 구성된 제1 절연성 층들(132), 및, 제1 재료와 상이한, 제2 재료로 구성된 제1 희생 재료 층들(142)을 포함할 수 있다. 제1 절연성 층들(132)의 제1 재료는 적어도 하나의 절연성 재료일 수 있다. 제1 절연성 층들(132)에 대해 이용될 수 있는 절연성 재료들은 실리콘 산화물(도핑된 또는 도핑되지 않은 실리케이트 유리를 포함함), 실리콘 질화물, 실리콘 산질화물, 유기 실리케이트 유리(OSG), 스핀-온 유전체 재료들, 고 유전 상수(하이-k) 유전체 산화물들(예컨대, 알루미늄 산화물, 하프늄 산화물 등)이라고 흔히 알려져 있는 유전체 금속 산화물들 및 그의 실리케이트들, 유전체 금속 산질화물들 및 그의 실리케이트들, 그리고 유기 절연성 재료들을 포함하지만, 이들로 제한되지 않는다. 일 실시예에서, 제1 절연성 층들(132)의 제1 재료는 실리콘 산화물일 수 있다.
제1 희생 재료 층들(142)의 제2 재료는 제1 절연성 층들(132)의 제1 재료에 대해 선택적으로 제거될 수 있는 희생 재료이다. 본 명세서에서 사용되는 바와 같이, 제거 프로세스가 제2 재료의 제거 속도의 적어도 2배인 속도로 제1 재료를 제거하는 경우, 제1 재료의 제거는 제2 재료에 대해 "선택적"이다. 제2 재료의 제거 속도에 대한 제1 재료의 제거 속도의 비는 본 명세서에서 제2 재료에 대한 제1 재료의 제거 프로세스의 "선택도(selectivity)"라고 지칭된다.
제1 희생 재료 층들(142)은 절연성 재료, 반도체 재료, 또는 전도성 재료를 포함할 수 있다. 제1 희생 재료 층들(142)의 제2 재료는, 예를 들어, 수직 NAND 디바이스의 제어 게이트 전극들로서 기능할 수 있는 전기 전도성 전극들로 후속하여 대체될 수 있다. 일 실시예에서, 제1 희생 재료 층들(142)은 실리콘 질화물을 포함하는 재료 층들일 수 있다.
일 실시예에서, 제1 절연성 층들(132)은 실리콘 산화물을 포함할 수 있고, 희생 재료 층들은 실리콘 질화물 희생 재료 층들을 포함할 수 있다. 제1 절연성 층들(132)의 제1 재료는, 예를 들어, 화학적 기상 퇴적(CVD)에 의해 퇴적될 수 있다. 예를 들어, 제1 절연성 층들(132)에 대해 실리콘 산화물이 이용되는 경우, 테트라에틸오소실리케이트(TEOS)가 CVD 프로세스에 대한 전구체 재료로서 이용될 수 있다. 제1 희생 재료 층들(142)의 제2 재료는, 예를 들어, CVD 또는 원자 층 퇴적(ALD)에 의해 형성될 수 있다.
제1 절연성 층들(132) 및 제1 희생 재료 층들(142)의 두께들은 20 nm 내지 50 nm의 범위에 있을 수 있지만, 각각의 제1 절연성 층(132)에 대해 그리고 각각의 제1 희생 재료 층(142)에 대해 더 작은 두께들 및 더 큰 두께들이 이용될 수 있다. 제1 절연성 층(132)과 제1 희생 재료 층(142)의 쌍들의 반복 횟수가 2 내지 1,024, 그리고 전형적으로 8 내지 256의 범위에 있을 수 있지만, 더 큰 반복 횟수가 또한 이용될 수 있다. 일 실시예에서, 제1-티어 교호 스택(132, 142) 내의 각각의 제1 희생 재료 층(142)은 각각의 각자의 제1 희생 재료 층(142) 내에서 실질적으로 불변인 균일한 두께를 가질 수 있다.
제1 절연성 캡 층(170)이 스택(132, 142) 위에 후속하여 형성된다. 제1 절연성 캡 층(170)은, 제1 절연성 층들(132)에 이용될 수 있는 임의의 유전체 재료일 수 있는, 유전체 재료를 포함한다. 일 실시예에서, 제1 절연성 캡 층(170)은 제1 절연성 층들(132)과 동일한 유전체 재료를 포함한다. 절연성 캡 층(170)의 두께는 20 nm 내지 300 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다.
도 3을 참조하면, 제1 절연성 캡 층(170) 및 제1-티어 교호 스택(132, 142)은 워드 라인 콘택트 비아 영역(200)에 제1 계단형 표면들(first stepped surfaces)을 형성하도록 패터닝될 수 있다. 워드 라인 콘택트 비아 영역(200)은 제1 계단형 표면들이 형성되는 각자의 제1 계단형 구역(stepped area), 및 부가의 계단형 표면들이 (제1-티어 구조체 위에 후속하여 형성될) 제2-티어 구조체 및/또는 부가의 티어 구조체들에 후속하여 형성되어야 하는 제2 계단형 구역을 포함할 수 있다. 제1 계단형 표면들은, 예를 들어, 개구부가 있는 마스크 층을 형성하는 것, 제1 절연성 캡 층(170)의 레벨들 내에 캐비티를 에칭하는 것, 및 에칭된 구역 내의 에칭된 캐비티의 하단 표면 바로 아래에 위치된 제1 절연성 층(132) 및 제1 희생 재료 층(142)의 각각의 쌍을 에칭함으로써 반복하여 에칭된 구역을 확장시키고 캐비티를 수직으로 리세싱하는 것에 의해 형성될 수 있다. 제1-티어 역계단형 유전체 재료 부분(165)을 형성하도록 제1 계단형 캐비티를 충전하기 위해, 유전체 재료가 퇴적될 수 있다. 본 명세서에서 사용되는 바와 같이,"역계단형" 요소는 계단형 표면들을 갖고 요소가 존재하는 기판의 상단 표면으로부터의 수직 거리의 함수로서 단조적으로 증가하는 수평 단면적을 갖는 요소를 지칭한다. 제1-티어 교호 스택(132, 142) 및 제1-티어 역계단형 유전체 재료 부분(165)은 집합적으로 제1-티어 구조체를 구성하며, 제1-티어 구조체는 후속하여 수정되는 프로세스중 구조체이다.
도 4a 및 도 4b를 참조하면, 티어간 유전체 층(180)은 제1-티어 구조체(132, 142, 165, 170) 위에 임의로 퇴적될 수 있다. 티어간 유전체 층(180)은 실리콘 산화물과 같은 유전체 재료를 포함한다. 티어간 유전체 층(180)의 두께는 30 nm 내지 300 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 제1-티어 교호 스택(132, 142)에서의 계단들(S)의 위치들은 점선들로 예시되어 있다.
제1-티어 메모리 개구부들(149) 및 제1-티어 지지 개구부들(119)이 형성될 수 있다. 제1-티어 메모리 개구부들(149) 및 제1-티어 지지 개구부들(119)은 제1-티어 교호 스택(132, 142)을 관통하여 적어도 평면 반도체 재료 층(10)의 상단 표면까지 연장된다. 제1-티어 메모리 개구부들(149)은 메모리 어레이 영역(100)에서 메모리 요소들의 수직 스택들을 포함하는 메모리 스택 구조체들이 후속하여 형성되어야 하는 위치들에 형성될 수 있다. 제1-티어 지지 개구부들(119)은 워드 라인 콘택트 비아 영역(200)에 형성될 수 있다. 예를 들어, 적어도 포토레지스트 층을 포함하는 리소그래피 재료 스택(도시되지 않음)이 제1 절연성 캡 층(170)(및, 존재하는 경우, 임의적인 티어간 유전체 층(180)) 위에 형성될 수 있고, 리소그래피 재료 스택 내에 개구부들을 형성하기 위해 리소그래피적으로 패터닝될 수 있다. 리소그래피 재료 스택에서의 패턴은, 패터닝된 리소그래피 재료 스택을 에칭 마스크로서 이용하는 적어도 하나의 이방성 에칭에 의해, 제1 절연성 캡 층(170)(및 임의적인 티어간 유전체 층(180))을 통해 그리고 제1-티어 교호 스택(132, 142) 전체를 통해 전사될 수 있다. 제1-티어 메모리 개구부들(149) 및 제1-티어 지지 개구부들(119)을 형성하기 위해, 패터닝된 리소그래피 재료 스택에서의 개구부들 아래에 놓인 제1 절연성 캡 층(170)(및 임의적인 티어간 유전체 층(180)) 및 제1-티어 교호 스택(132, 142)의 부분들이 에칭된다. 환언하면, 제1 절연성 캡 층(170) 및 제1-티어 교호 스택(132, 142)을 통한 패터닝된 리소그래피 재료 스택에서의 패턴의 전사는 제1-티어 메모리 개구부들(149) 및 제1-티어 지지 개구부들(119)을 형성한다.
일 실시예에서, 제1-티어 교호 스택(132, 142)에서의 제1 및 제2 재료들의 에칭을 최적화하기 위해 제1-티어 교호 스택(132, 142)의 재료들을 관통하게 에칭하는 데 이용되는 이방성 에칭 프로세스의 화학작용(chemistry)이 교대로 일어날 수 있다. 이방성 에칭은, 예를 들어, 일련의 반응성 이온 에칭들 또는 단일 에칭(예컨대, CF4/O2/Ar 에칭)일 수 있다. 제1-티어 메모리 개구부들(149) 및 지지 개구부들(119)의 측벽들은 실질적으로 수직일 수 있거나, 또는 테이퍼질 수 있다. 후속하여, 패터닝된 리소그래피 재료 스택은, 예를 들어, 애싱에 의해 후속하여 제거될 수 있다.
임의로, 티어간 유전체 층(180)의 레벨에 있는 제1-티어 메모리 개구부들(149) 및 제1-티어 지지 개구부들(119)의 부분들은 등방성 에칭에 의해 측방으로 확장될 수 있다. 예를 들어, 티어간 유전체 층(180)이 (도핑되지 않은 실리케이트 유리를 포함할 수 있는) 제1 절연성 층들(132)보다 큰 에칭 속도를 갖는 (보로실리케이트 유리와 같은) 유전체 재료를 포함하는 경우, 티어간 유전체 층(180)의 레벨에 있는 제1-티어 메모리 개구부들의 측방 치수들을 확장시키는 데 (HF를 이용하는 습식 에칭과 같은) 등방성 에칭이 이용될 수 있다. 티어간 유전체 층(180)의 레벨에 위치된 제1-티어 메모리 개구부들(149)(및 제1-티어 지지 개구부들(119))의 부분들은 제2-티어 교호 스택을 통해 후속하여 형성될(제2-티어 메모리 개구부들의 형성 이전에 후속하여 형성될) 제2-티어 메모리 개구부들을 위한 더 큰 랜딩 패드를 제공하기 위해 임의로 확대될 수 있다.
도 5를 참조하면, 희생 메모리 개구부 충전 부분들(148)은 제1-티어 메모리 개구부들(149)에 형성될 수 있고, 희생 지지 개구부 충전 부분들(118)은 제1-티어 지지 개구부들(119)에 형성될 수 있다. 예를 들어, 희생 충전 재료 층이 제1-티어 메모리 개구부들(149) 및 제1-티어 지지 개구부들(119) 내에 퇴적된다. 희생 충전 재료 층은 제1 절연체 층들(132) 및 제1 희생 재료 층들(142)의 재료들에 대해 선택적으로 후속하여 제거될 수 있는 희생 재료를 포함한다. 일 실시예에서, 희생 충전 재료 층은 실리콘(예컨대, a-Si 또는 폴리실리콘), 실리콘-게르마늄 합금, 게르마늄, III-V족 화합물 반도체 재료, 또는 이들의 조합과 같은 반도체 재료를 포함할 수 있다. 임의로, 희생 충전 재료 층을 퇴적시키기 전에 (1 nm 내지 3 nm의 범위에 있는 두께를 갖는 실리콘 산화물 층과 같은) 얇은 에칭 정지 층이 이용될 수 있다. 희생 충전 재료 층은 비-컨포멀 퇴적 또는 컨포멀 퇴적 방법에 의해 형성될 수 있다. 다른 실시예에서, 희생 충전 재료 층은 애싱에 의해 후속하여 제거될 수 있는 비정질 실리콘 또는 (비정질 탄소 또는 다이아몬드-유사 탄소와 같은) 탄소 함유 재료를 포함할 수 있다.
퇴적된 희생 재료의 부분들은 제1 절연성 캡 층(170)(및, 존재하는 경우, 임의적인 티어간 유전체 층(180)) 위쪽으로부터 제거될 수 있다. 예를 들어, 희생 충전 재료 층은 평탄화 프로세스를 이용하여 제1 절연성 캡 층(170)(및 임의적인 티어간 유전체 층(180))의 상단 표면까지 리세싱될 수 있다. 평탄화 프로세스는 리세스 에칭, 화학적 기계적 평탄화(CMP), 또는 이들의 조합을 포함할 수 있다. 제1 절연성 층(170)(및 임의로, 존재하는 경우, 층(180))의 상단 표면은 에칭 정지 층 또는 평탄화 정지 층으로서 이용될 수 있다. 제1-티어 메모리 개구부(149) 내의 희생 재료의 각각의 남아 있는 부분은 희생 메모리 개구부 충전 부분(148)을 구성한다. 제1-티어 지지 개구부(119) 내의 희생 재료의 각각의 남아 있는 부분은 희생 지지 개구부 충전 부분(118)을 구성한다. 희생 메모리 개구부 충전 부분들(148) 및 희생 지지 개구부 충전 부분들(118)의 상단 표면들은 티어간 유전체 층(180)(또는 티어간 유전체 층(180)이 존재하지 않는 경우, 제1 절연성 캡 층(170))의 상단 표면과 동일 평면에 있을 수 있다. 희생 메모리 개구부 충전 부분(148) 및 희생 지지 개구부 충전 부분들(118)은 내부에 캐비티들을 포함할 수 있거나 포함하지 않을 수 있다.
도 6을 참조하면, 제2-티어 구조체가 제1-티어 구조체(132, 142, 170, 148, 118) 위에 형성될 수 있다. 제2-티어 구조체는, 희생 재료 층들일 수 있는, 절연성 층들과 스페이서 재료 층들의 부가의 교호 스택을 포함할 수 있다. 예를 들어, 재료 층들의 제2 교호 스택(232, 242)이 제1 교호 스택(132, 142)의 상단 표면 상에 후속하여 형성될 수 있다. 제2 스택(232, 242)은 교호하는 복수의 제3 재료 층들과 제4 재료 층들을 포함한다. 각각의 제3 재료 층은 제3 재료를 포함할 수 있고, 각각의 제4 재료 층은 제3 재료와 상이한 제4 재료를 포함할 수 있다. 일 실시예에서, 제3 재료는 제1 절연성 층(132)의 제1 재료와 동일할 수 있고, 제4 재료는 제1 희생 재료 층들(142)의 제2 재료와 동일할 수 있다.
일 실시예에서, 제3 재료 층들은 제2 절연성 층들(232)일 수 있고, 제4 재료 층들은 제2 절연성 층들(232)의 각각의 수직으로 이웃하는 쌍 사이의 수직 간격을 제공하는 제2 스페이서 재료 층들일 수 있다. 일 실시예에서, 제3 재료 층들 및 제4 재료 층들은, 제각기, 제2 절연성 층들(232) 및 제2 희생 재료 층들(242)일 수 있다. 제2 절연성 층들(232)의 제3 재료는 적어도 하나의 절연성 재료일 수 있다. 제2 희생 재료 층들(242)의 제4 재료는 제2 절연성 층들(232)의 제3 재료에 대해 선택적으로 제거될 수 있는 희생 재료일 수 있다. 제2 희생 재료 층들(242)은 절연성 재료, 반도체 재료, 또는 전도성 재료를 포함할 수 있다. 제2 희생 재료 층들(242)의 제4 재료는, 예를 들어, 수직 NAND 디바이스의 제어 게이트 전극들로서 기능할 수 있는 전기 전도성 전극들로 후속하여 대체될 수 있다.
일 실시예에서, 각각의 제2 절연성 층(232)은 제2 절연성 재료를 포함할 수 있고, 각각의 제2 희생 재료 층(242)은 제2 희생 재료를 포함할 수 있다. 이 경우에, 제2 스택(232, 242)은 교호하는 복수의 제2 절연성 층들(232)과 제2 희생 재료 층들(242)을 포함할 수 있다. 제2 절연성 층들(232)의 제3 재료는, 예를 들어, 화학적 기상 퇴적(CVD)에 의해 퇴적될 수 있다. 제2 희생 재료 층들(242)의 제4 재료는, 예를 들어, CVD 또는 원자 층 퇴적(ALD)에 의해 형성될 수 있다.
제2 절연성 층들(232)의 제3 재료는 적어도 하나의 절연성 재료일 수 있다. 제2 절연성 층들(232)에 이용될 수 있는 절연성 재료들은 제1 절연성 층들(132)에 이용될 수 있는 임의의 재료일 수 있다. 제2 희생 재료 층들(242)의 제4 재료는 제2 절연성 층들(232)의 제3 재료에 대해 선택적으로 제거될 수 있는 희생 재료이다. 제2 희생 재료 층들(242)에 이용될 수 있는 희생 재료들은 제1 희생 재료 층들(142)에 이용될 수 있는 임의의 재료일 수 있다. 일 실시예에서, 제2 절연성 재료는 제1 절연성 재료와 동일할 수 있고, 제2 희생 재료는 제1 희생 재료와 동일할 수 있다.
제2 절연성 층들(232) 및 제2 희생 재료 층들(242)의 두께들은 20 nm 내지 50 nm의 범위에 있을 수 있지만, 각각의 제2 절연성 층(232)에 대해 그리고 각각의 제2 희생 재료 층(242)에 대해 더 작은 두께들 및 더 큰 두께들이 이용될 수 있다. 제2 절연성 층(232)과 제2 희생 재료 층(242)의 쌍들의 반복 횟수가 2 내지 1,024, 그리고 전형적으로 8 내지 256의 범위에 있을 수 있지만, 더 큰 반복 횟수가 또한 이용될 수 있다. 일 실시예에서, 제2 스택(232, 242) 내의 각각의 제2 희생 재료 층(242)은 각각의 각자의 제2 희생 재료 층(242) 내에서 실질적으로 불변인 균일한 두께를 가질 수 있다.
제2 계단형 구역 내의 제2 계단형 표면들은 적어도 하나의 마스킹 층의 패턴에 대한 적합한 조정으로 제1 계단형 구역에 제1 계단형 표면들을 형성하는 데 이용되는 프로세싱 단계들과 동일한 프로세싱 단계 세트를 이용하여 워드 라인 콘택트 비아 영역(200)에 형성될 수 있다. 제2-티어 역계단형 유전체 재료 부분(265)이 워드 라인 콘택트 비아 영역(200)에서 제2 계단형 표면들 위에 형성될 수 있다.
제2 절연성 캡 층(270)이 제2 교호 스택(232, 242) 위에 후속하여 형성될 수 있다. 제2 절연성 캡 층(270)은 제2 희생 재료 층들(242)의 재료와 상이한 유전체 재료를 포함한다. 일 실시예에서, 제2 절연성 캡 층(270)은 실리콘 산화물을 포함할 수 있다. 일 실시예에서, 제1 및 제2 희생 재료 층들(142, 242)은 실리콘 질화물을 포함할 수 있다.
일반적으로 말하면, 절연성 층들(132, 232)과 (희생 재료 층들(142, 242)과 같은) 스페이서 재료 층들의 적어도 하나의 교호 스택이 평면 반도체 재료 층(10) 위에 형성될 수 있으며, 적어도 하나의 역계단형 유전체 재료 부분(165, 265)이 적어도 하나의 교호 스택(132, 142, 232, 242) 상의 계단 영역들 위에 형성될 수 있다.
임의로, 드레인-선택-레벨 얕은 트렌치 격리 구조체들(72)은 제2-티어 교호 스택(232, 242)의 상부 부분에 있는 층들의 서브세트를 관통하여 형성될 수 있다. 드레인-선택-레벨 얕은 트렌치 격리 구조체들(72)에 의해 절단되는 제2 희생 재료 층들(242)은 드레인-선택-레벨 전기 전도성 층들이 후속하여 형성되는 레벨들에 대응한다. 드레인-선택-레벨 얕은 트렌치 격리 구조체들(72)은 실리콘 산화물과 같은 유전체 재료를 포함한다.
도 7a 및 도 7b를 참조하면, 제2-티어 구조체(232, 242, 270, 265)를 관통하여 연장되는 제2-티어 메모리 개구부들(249) 및 제2-티어 지지 개구부들(219)이 희생 메모리 개구부 충전 부분들(148) 위에 놓인 구역들에 형성된다. 포토레지스트 층이 제2-티어 구조체(232, 242, 270, 265) 위에 도포될 수 있고, 희생 메모리 개구부 충전 부분들(148) 및 희생 지지 개구부 충전 부분들(118)의 패턴, 즉 제1-티어 메모리 개구부들(149) 및 제1-티어 지지 개구부들(119)의 패턴과 동일한 패턴을 형성하도록 리소그래피적으로 패터닝될 수 있다. 따라서, 제1-티어 메모리 개구부들(149) 및 제1-티어 지지 개구부들(119)을 패터닝하는 데 이용되는 리소그래피 마스크는 제2-티어 메모리 개구부들(249) 및 제2-티어 지지 개구부들(219)을 패터닝하는 데 이용될 수 있다. 리소그래피적으로 패터닝된 포토레지스트 층의 패턴을 제2-티어 구조체(232, 242, 270, 265)를 통해 전사하기 위해 이방성 에칭이 수행될 수 있다. 일 실시예에서, 제2-티어 교호 스택(232, 242)에서의 교호 재료 층들의 에칭을 최적화하기 위해 제2-티어 교호 스택(232, 242)의 재료들을 관통하게 에칭하는 데 이용되는 이방성 에칭 프로세스의 화학작용이 교대로 일어날 수 있다. 이방성 에칭은, 예를 들어, 일련의 반응성 이온 에칭들일 수 있다. 패터닝된 리소그래피 재료 스택은, 예를 들어, 이방성 에칭 프로세스 이후에 애싱에 의해 제거될 수 있다.
아래에 놓인 희생 메모리 개구부 충전 부분(148)의 상단 표면이 각각의 제2-티어 메모리 개구부(249)의 바닥에서 물리적으로 노출될 수 있다. 아래에 놓인 희생 지지 개구부 충전 부분(118)의 상단 표면이 각각의 제2-티어 지지 개구부(219)의 바닥에서 물리적으로 노출될 수 있다. 희생 메모리 개구부 충전 부분들(148) 및 희생 지지 개구부 충전 부분들(118)의 상단 표면들이 물리적으로 노출된 후에, 제2-티어 교호 스택(232, 242) 및 제1-티어 교호 스택(132, 142)의 재료들에 대해 선택적으로 희생 메모리 개구부 충전 부분들(148) 및 희생 지지 개구부 충전 부분들(118)의 희생 재료를 제거하는, 에칭 프로세스(예컨대, C4F8/O2/Ar 에칭)가 수행될 수 있다.
희생 메모리 개구부 충전 부분들(148)의 제거 시에, 제2-티어 메모리 개구부(249)와 제1-티어 메모리 개구부(149)의 각각의 수직 인접 쌍은 제1-티어 교호 스택(132, 142) 및 제2-티어 교호 스택(232, 242)을 관통하여 연장되는 연속 캐비티를 형성한다. 마찬가지로, 희생 지지 개구부 충전 부분들(118)의 제거 시에, 제2-티어 지지 개구부(219)와 제1-티어 지지 개구부(119)의 각각의 수직 인접 쌍은 제1-티어 교호 스택(132, 142) 및 제2-티어 교호 스택(232, 242)을 관통하여 연장되는 연속 캐비티를 형성한다. 연속 캐비티들은 본 명세서에서, 제각기, 메모리 개구부들(또는 티어간 메모리 개구부들) 및 지지 개구부들(또는 티어간 지지 개구부들)이라고 지칭된다. 평면 반도체 재료 층(10)의 상단 표면이 각각의 메모리 개구부의 바닥에서 그리고 각각의 지지 개구부들의 바닥에서 물리적으로 노출될 수 있다. 제1-티어 교호 스택(132, 142) 및 제2-티어 교호 스택(232, 242)에서의 계단들(S)의 위치들은 점선들로 예시되어 있다.
도 8을 참조하면, 메모리 개구부 충전 구조체들(58)은 각각의 메모리 개구부 내에 형성되고, 지지 필라 구조체들(20)은 각각의 지지 개구부 내에 형성된다. 메모리 개구부 충전 구조체들(58) 및 지지 필라 구조체들(20)은 동일한 컴포넌트 세트를 포함할 수 있고, 동시에 형성될 수 있다.
도 9a 내지 도 9h는 메모리 개구부 충전 구조체(58) 또는 지지 필라 구조체(20)의 형성 동안 메모리 개구부(49) 또는 지지 개구부(119, 219)의 순차적인 단면도들을 제공한다. 메모리 개구부(49)의 구조적 변화가 도 9a 내지 도 9h에 예시되어 있지만, 동일한 프로세싱 단계 세트 동안 각각의 메모리 개구부들(49)에서 및 지지 개구부들(119, 219) 각각에서 동일한 구조적 변화가 발생한다는 것이 이해된다.
도 9a를 참조하면, 도 14의 예시적인 디바이스 구조체에서의 메모리 개구부(49)가 예시되어 있다. 메모리 개구부(49)는 제1-티어 구조체 및 제2-티어 구조체를 관통하여 연장된다. 마찬가지로, 각각의 지지 개구부(119, 219)는 제1-티어 구조체 및 제2-티어 구조체를 관통하여 연장된다.
도 9b를 참조하면, 임의적인 페데스탈 채널 부분(예컨대, 에피택셜 페데스탈)(11)은, 예를 들어, 선택적 반도체 퇴적 프로세스에 의해, 각각의 메모리 개구부(49) 및 각각의 지지 개구부들(119, 219)의 하단 부분에 형성될 수 있다. 일 실시예에서, 페데스탈 채널 부분(11)은 평면 반도체 재료 층(10)과 동일한 전도성 유형의 전기 도펀트들로 도핑될 수 있다. 일 실시예에서, 페데스탈 채널 부분들(11)의 상단 표면들을 포함하는 수평 평면 아래에 위치된 각각의 희생 재료 층(42)을 각자의 전도성 재료 층으로 대체함으로써 적어도 하나의 소스 선택 게이트 전극이 후속하여 형성될 수 있다. 캐비티(49')는 페데스탈 채널 부분(11) 위쪽의 메모리 개구부(49)의(또는 지지 개구부의) 충전되지 않은 부분에 존재한다. 일 실시예에서, 페데스탈 채널 부분(11)은 단결정 실리콘을 포함할 수 있다. 일 실시예에서, 페데스탈 채널 부분(11)은 평면 반도체 재료 층(10)의 전도성 유형과 동일한 도핑을 가질 수 있다.
도 9c를 참조하면, 차단 유전체 층(52), 전하 저장 층(54), 터널링 유전체 층(56), 및 임의적인 제1 반도체 채널 층(601)을 포함하는 층들의 스택이 메모리 개구부들(49)에 순차적으로 퇴적될 수 있다.
차단 유전체 층(52)은 단일 유전체 재료 층 또는 복수의 유전체 재료 층들의 스택을 포함할 수 있다. 일 실시예에서, 차단 유전체 층은 유전체 금속 산화물로 본질적으로 이루어져 있는 유전체 금속 산화물 층을 포함할 수 있다. 본 명세서에서 사용되는 바와 같이, 유전체 금속 산화물은 적어도 하나의 금속 원소 및 적어도 산소를 포함하는 유전체 재료를 지칭한다. 유전체 금속 산화물은 적어도 하나의 금속 원소 및 산소로 본질적으로 이루어져 있을 수 있거나, 또는 적어도 하나의 금속 원소, 산소, 및, 질소와 같은, 적어도 하나의 비금속 원소로 본질적으로 이루어져 있을 수 있다. 일 실시예에서, 차단 유전체 층(52)은 7.9보다 큰 유전 상수를 갖는, 즉 실리콘 질화물의 유전 상수보다 큰 유전 상수를 갖는 유전체 금속 산화물을 포함할 수 있다.
유전체 금속 산화물들의 비제한적인 예들은 알루미늄 산화물(Al2O3), 하프늄 산화물(HfO2), 란탄 산화물(LaO2), 이트륨 산화물(Y2O3), 탄탈륨 산화물(Ta2O5), 이들의 실리케이트들, 이들의 질소 도핑된 화합물들, 이들의 합금들, 및 이들의 스택들을 포함한다. 유전체 금속 산화물 층이, 예를 들어, 화학적 기상 퇴적(CVD), 원자 층 퇴적(ALD), 펄스 레이저 퇴적(PLD), 액체 소스 미스트화 화학적 퇴적(liquid source misted chemical deposition), 또는 이들의 조합에 의해 퇴적될 수 있다. 유전체 금속 산화물 층의 두께는 1 nm 내지 20 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 유전체 금속 산화물 층은 게이트 전극들을 제어하기 위해 저장된 전하들(electrical charges)의 누설을 차단하는 유전체 재료 부분으로서 후속하여 기능할 수 있다. 일 실시예에서, 차단 유전체 층(52)은 알루미늄 산화물을 포함한다. 일 실시예에서, 차단 유전체 층(52)은 상이한 재료 조성들을 갖는 다수의 유전체 금속 산화물 층들을 포함할 수 있다.
대안적으로 또는 부가적으로, 차단 유전체 층(52)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 또는 이들의 조합과 같은 유전체 반도체 화합물을 포함할 수 있다. 일 실시예에서, 차단 유전체 층(52)은 실리콘 산화물을 포함할 수 있다. 이 경우에, 차단 유전체 층(52)의 유전체 반도체 화합물은 저압 화학적 기상 퇴적, 원자 층 퇴적, 또는 이들의 조합과 같은 컨포멀 퇴적 방법에 의해 형성될 수 있다. 유전체 반도체 화합물의 두께는 1 nm 내지 20 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 대안적으로, 차단 유전체 층(52)이 생략될 수 있고, 후속하여 형성될 메모리 막들의 표면들 상에 후면 리세스들을 형성한 후에 후면 차단 유전체 층이 형성될 수 있다.
후속하여, 전하 저장 층(54)이 형성될 수 있다. 일 실시예에서, 전하 저장 층(54)은, 예를 들어, 실리콘 질화물일 수 있는, 유전체 전하 트래핑 재료를 포함한 전하 트래핑 재료의 연속 층 또는 패터닝된 이산 부분들일 수 있다. 대안적으로, 전하 저장 층(54)은, 예를 들어, 희생 재료 층들(142, 242) 내로의 측방 리세스들 내에 형성됨으로써, 다수의 전기적으로 격리된 부분들(예컨대, 플로팅 게이트들) 내로 패터닝되는 도핑된 폴리실리콘 또는 금속 재료와 같은 전도성 재료의 연속 층 또는 패터닝된 이산 부분들을 포함할 수 있다. 일 실시예에서, 전하 저장 층(54)은 실리콘 질화물 층을 포함한다. 일 실시예에서, 희생 재료 층들(142, 242) 및 절연성 층들(132, 232)은 수직으로 일치하는(vertically coincident) 측벽들을 가질 수 있고, 전하 저장 층(54)은 단일 연속 층으로서 형성될 수 있다.
다른 실시예에서, 희생 재료 층들(142, 242)은 절연성 층들(132, 232)의 측벽들에 대해 측방으로 리세싱될 수 있고, 퇴적 프로세스와 이방성 에칭 프로세스의 조합이 전하 저장 층(54)을 수직으로 이격되는 복수의 메모리 재료 부분들로서 형성하는 데 이용될 수 있다. 전하 저장 층(54)이 단일 연속 층인 실시예를 이용하여 본 개시내용이 설명되지만, 전하 저장 층(54)이 (전하 트래핑 재료 부분들 또는 전기적으로 격리된 전도성 재료 부분들일 수 있는) 복수의 메모리 재료 부분들로 대체되는 실시예들이 본 명세서에서 명확하게 고려된다.
전하 저장 층(54)이 균질한 조성의 단일 전하 저장 층으로서 형성될 수 있거나, 또는 다수의 전하 저장 층들의 스택을 포함할 수 있다. 다수의 전하 저장 층들은, 이용되는 경우, 전도성 재료들(예컨대, 텅스텐, 몰리브덴, 탄탈륨, 티타늄, 백금, 루테늄, 및 이들의 합금들과 같은 금속, 또는 텅스텐 실리사이드, 몰리브덴 실리사이드, 탄탈륨 실리사이드, 티타늄 실리사이드, 니켈 실리사이드, 코발트 실리사이드, 또는 이들의 조합과 같은 금속 실리사이드) 및/또는 반도체 재료들(예컨대, 적어도 하나의 원소 반도체 요소 또는 적어도 하나의 화합물 반도체 재료를 포함한 다결정 또는 비정질 반도체 재료)을 함유하는 복수의 이격된 부유 게이트 재료 층들을 포함할 수 있다. 대안적으로 또는 부가적으로, 전하 저장 층(54)은, 하나 이상의 실리콘 질화물 세그먼트와 같은, 절연성 전하 트래핑 재료를 포함할 수 있다. 대안적으로, 전하 저장 층(54)은, 예를 들어, 루테늄 나노입자들일 수 있는, 금속 나노입자들과 같은 전도성 나노입자들을 포함할 수 있다. 전하 저장 층(54)이, 예를 들어, 화학적 기상 퇴적(CVD), 원자 층 퇴적(ALD), 물리적 기상 퇴적(PVD), 또는 전하들을 그에 저장하기 위한 임의의 적합한 퇴적 기술에 의해 형성될 수 있다. 전하 저장 층(54)의 두께는 2 nm 내지 20 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다.
터널링 유전체 층(56)은 적합한 전기 바이어스 조건들 하에서 전하 터널링이 수행될 수 있는 유전체 재료를 포함한다. 형성될 모놀리식 3차원 NAND 스트링 메모리 디바이스의 동작 모드에 따라 핫 캐리어 주입을 통해 또는 Fowler-Nordheim 터널링 유도 전하 전달(tunneling induced charge transfer)에 의해 전하 터널링이 수행될 수 있다. 터널링 유전체 층(56)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, (알루미늄 산화물 및 하프늄 산화물과 같은) 유전체 금속 산화물들, 유전체 금속 산질화물, 유전체 금속 실리케이트들, 이들의 합금들, 및/또는 이들의 조합들을 포함할 수 있다. 일 실시예에서, 터널링 유전체 층(56)은, ONO 스택이라고 흔히 알려져 있는, 제1 실리콘 산화물 층, 실리콘 산질화물 층, 및 제2 실리콘 산화물 층의 스택을 포함할 수 있다. 일 실시예에서, 터널링 유전체 층(56)은 실질적으로 탄소가 없는 실리콘 산화물 층 또는 실질적으로 탄소가 없는 실리콘 산질화물 층을 포함할 수 있다. 터널링 유전체 층(56)의 두께는 2 nm 내지 20 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다.
임의적인 제1 반도체 채널 층(601)은 적어도 하나의 원소 반도체 재료, 적어도 하나의 III-V족 화합물 반도체 재료, 적어도 하나의 II-VI족 화합물 반도체 재료, 적어도 하나의 유기 반도체 재료, 또는 본 기술분야에 알려진 다른 반도체 재료들과 같은 반도체 재료를 포함한다. 일 실시예에서, 제1 반도체 채널 층(601)은 비정질 실리콘 또는 폴리실리콘을 포함한다. 제1 반도체 채널 층(601)은 저압 화학적 기상 퇴적(LPCVD)과 같은 컨포멀 퇴적 방법에 의해 형성될 수 있다. 제1 반도체 채널 층(601)의 두께는 2 nm 내지 10 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 퇴적된 재료 층들(52, 54, 56, 601)로 충전되지 않는 각각의 메모리 개구부(49)의 체적에 캐비티(49')가 형성된다.
도 9d를 참조하면, 임의적인 제1 반도체 채널 층(601), 터널링 유전체 층(56), 전하 저장 층(54), 차단 유전체 층(52)은 적어도 하나의 이방성 에칭 프로세스를 이용하여 순차적으로 이방성으로 에칭된다. 제2 절연성 캡 층(270)의 상단 표면 위쪽에 위치된 제1 반도체 채널 층(601), 터널링 유전체 층(56), 전하 저장 층(54), 및 차단 유전체 층(52)의 부분들은 적어도 하나의 이방성 에칭 프로세스에 의해 제거될 수 있다. 게다가, 각각의 캐비티(49')의 바닥에 있는 제1 반도체 채널 층(601), 터널링 유전체 층(56), 전하 저장 층(54), 및 차단 유전체 층(52)의 수평 부분들이 제거되어 이들의 남아 있는 부분들에 개구부들을 형성할 수 있다. 제1 반도체 채널 층(601), 터널링 유전체 층(56), 전하 저장 층(54), 및 차단 유전체 층(52) 각각은, 다양한 재료 층들에 대해 동일할 수 있거나 동일하지 않을 수 있는, 각자의 에칭 화학물(etch chemistry)을 이용하는 각자의 이방성 에칭 프로세스에 의해 에칭될 수 있다.
제1 반도체 채널 층(601)의 각각의 남아 있는 부분은 관형 구성(tubular configuration)을 가질 수 있다. 전하 저장 층(54)은 전하 트래핑 재료 또는 부유 게이트 재료를 포함할 수 있다. 일 실시예에서, 각각의 전하 저장 층(54)은 프로그래밍 시에 전하들을 저장하는 전하 저장 영역들의 수직 스택을 포함할 수 있다. 일 실시예에서, 전하 저장 층(54)은 희생 재료 층들(142, 242)에 인접한 각각의 부분이 전하 저장 영역을 구성하는 전하 저장 층일 수 있다.
페데스탈 채널 부분(11)의 표면(또는 페데스탈 채널 부분들(11)이 이용되지 않는 경우에 평면 반도체 재료 층(10)의 표면)은 제1 반도체 채널 층(601), 터널링 유전체 층(56), 전하 저장 층(54), 및 차단 유전체 층(52)을 관통하는 개구부 아래쪽에서 물리적으로 노출될 수 있다. 임의로, 캐비티(49') 아래의 리세싱된 반도체 표면이 페데스탈 채널 부분(11)의(또는 페데스탈 채널 부분들(11)이 이용되지 않는 경우에 반도체 재료 층(10)의) 최상단 표면으로부터 리세스 거리만큼 수직으로 오프셋되도록, 각각의 캐비티(49')의 바닥에 있는 물리적으로 노출된 반도체 표면이 수직으로 리세싱될 수 있다. 터널링 유전체 층(56)이 전하 저장 층(54) 위에 위치된다. 메모리 개구부(49) 내의 차단 유전체 층(52), 전하 저장 층(54), 및 터널링 유전체 층(56)의 세트는, 차단 유전체 층(52) 및 터널링 유전체 층(56)에 의해 주변 재료들로부터 절연되는 (전하 저장 층(54)으로서 구체화되는 바와 같은) 복수의 전하 저장 영역들을 포함하는, 메모리 막(50)을 구성한다. 일 실시예에서, 제1 반도체 채널 층(601), 터널링 유전체 층(56), 전하 저장 층(54), 및 차단 유전체 층(52)은 수직으로 일치하는 측벽들을 가질 수 있다.
도 9e를 참조하면, 제2 반도체 채널 층(602)은 페데스탈 채널 부분(11)의 반도체 표면 바로 위에 또는 페데스탈 채널 부분(11)이 생략되는 경우 반도체 재료 층(10) 바로 위에 그리고 제1 반도체 채널 층(601) 바로 위에 퇴적될 수 있다. 제2 반도체 채널 층(602)은 적어도 하나의 원소 반도체 재료, 적어도 하나의 III-V족 화합물 반도체 재료, 적어도 하나의 II-VI족 화합물 반도체 재료, 적어도 하나의 유기 반도체 재료, 또는 본 기술분야에서 알려진 다른 반도체 재료들과 같은 반도체 재료를 포함한다. 일 실시예에서, 제2 반도체 채널 층(602)은 비정질 실리콘 또는 폴리실리콘을 포함한다. 제2 반도체 채널 층(602)은 저압 화학적 기상 퇴적(LPCVD)과 같은 컨포멀 퇴적 방법에 의해 형성될 수 있다. 제2 반도체 채널 층(602)의 두께는 2 nm 내지 10 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 제2 반도체 채널 층(602)은 각각의 메모리 개구부 내의 캐비티(49')를 부분적으로 충전할 수 있거나, 또는 각각의 메모리 개구부 내의 캐비티를 완전히 충전할 수 있다.
제1 반도체 채널 층(601) 및 제2 반도체 채널 층(602)의 재료들은 집합적으로 반도체 채널 재료라고 지칭된다. 환언하면, 반도체 채널 재료는 제1 반도체 채널 층(601) 및 제2 반도체 채널 층(602)에서의 모든 반도체 재료의 세트이다.
도 9f를 참조하면, 각각의 메모리 개구부 내의 캐비티(49')가 제2 반도체 채널 층(602)에 의해 완전히 충전되지 않는 경우에, 각각의 메모리 개구부 내의 캐비티(49')의 임의의 남아 있는 부분을 충전하기 위해 유전체 코어 층(62L)이 캐비티(49')에 퇴적될 수 있다. 유전체 코어 층(62L)은 실리콘 산화물 또는 유기 실리케이트 유리와 같은 유전체 재료를 포함한다. 유전체 코어 층(62L)은 저압 화학적 기상 퇴적(LPCVD)과 같은 컨포멀 퇴적 방법에 의해, 또는 스핀 코팅과 같은 자기 평탄화 퇴적 프로세스(self-planarizing deposition process)에 의해 퇴적될 수 있다.
도 9g를 참조하면, 유전체 코어 층(62L)의 수평 부분은, 예를 들어, 제2 절연성 캡 층(270)의 상단 표면 위쪽으로부터 리세스 에칭에 의해 제거될 수 있다. 유전체 코어 층(62L)의 각각의 남아 있는 부분은 유전체 코어(62)를 구성한다. 게다가, 제2 절연성 캡 층(270)의 상단 표면 위쪽에 위치된 제2 반도체 채널 층(602)의 수평 부분은, 리세스 에칭 또는 화학적 기계적 평탄화(CMP)를 이용할 수 있는, 평탄화 프로세스에 의해 제거될 수 있다. 제2 반도체 채널 층(602)의 각각의 남아 있는 부분은 완전히 메모리 개구부(49) 내에 또는 완전히 지지 개구부(119, 219) 내에 위치될 수 있다.
제1 반도체 채널 층(601)과 제2 반도체 채널 층(602)의 각각의 인접 쌍은 집합적으로 수직 반도체 채널(60)을 포함하는 수직 NAND 디바이스가 턴 온될 때 전류가 흐를 수 있는 수직 반도체 채널(60)을 형성할 수 있다. 터널링 유전체 층(56)은 전하 저장 층(54)에 의해 둘러싸이고, 수직 반도체 채널(60)의 일 부분을 측방으로 둘러싼다. 차단 유전체 층(52), 전하 저장 층(54), 및 터널링 유전체 층(56)의 각각의 인접 세트는 집합적으로 거시적 보유 시간(macroscopic retention time)으로 전하들을 저장할 수 있는 메모리 막(50)을 구성한다. 일부 실시예들에서, 차단 유전체 층(52)은 이 단계에서 메모리 막(50)에 존재하지 않을 수 있고, 차단 유전체 층은 후면 리세스들의 형성 이후에 후속하여 형성될 수 있다. 본 명세서에서 사용되는 바와 같이, 거시적 보유 시간은 24 시간을 초과하는 보유 시간과 같이 메모리 디바이스가 영구 메모리 디바이스로서 동작하는 데 적합한 보유 시간을 지칭한다.
도 9h를 참조하면, 각각의 유전체 코어(62)의 상단 표면은, 예를 들어, 제2 절연성 캡 층(270)의 상단 표면과 제2 절연성 캡 층(270)의 하단 표면 사이에 위치된 깊이까지 리세스 에칭에 의해, 각각의 메모리 개구 내에서 추가적으로 리세싱될 수 있다. 드레인 영역들(63)은 도핑된 반도체 재료를 유전체 코어들(62) 위쪽의 각각의 리세싱된 영역 내에 퇴적시키는 것에 의해 형성될 수 있다. 드레인 영역들(63)은 제1 전도성 유형과 반대인 제2 전도성 유형의 도핑을 가질 수 있다. 예를 들어, 제1 전도성 유형이 p-형이면, 제2 전도성 유형은 n-형이며, 그 반대도 마찬가지이다. 드레인 영역들(63)에서의 도펀트 농도는 5.0 x 1019/cm3 내지 2.0 x 1021/cm3의 범위에 있을 수 있지만, 더 작은 도펀트 농도들 및 더 큰 도펀트 농도들이 또한 이용될 수 있다. 도핑된 반도체 재료는, 예를 들어, 도핑된 폴리실리콘일 수 있다. 드레인 영역들(63)을 형성하기 위해, 퇴적된 반도체 재료의 잉여 부분들이, 예를 들어, 화학적 기계적 평탄화(CMP) 또는 리세스 에칭에 의해, 제2 절연성 캡 층(270)의 상단 표면 위쪽으로부터 제거될 수 있다.
메모리 개구부(49) 내의 메모리 막(50) 및 (수직 반도체 채널인) 수직 반도체 채널(60)의 각각의 조합은 메모리 스택 구조체(55)를 구성한다. 메모리 스택 구조체(55)는 반도체 채널, 터널링 유전체 층, 전하 저장 층(54)의 부분들로서 구체화되는 바와 같은 복수의 메모리 요소들, 및 임의적인 차단 유전체 층(52)의 조합이다. 메모리 개구부(49) 내의 페데스탈 채널 부분(11)(존재하는 경우), 메모리 스택 구조체(55), 유전체 코어(62), 및 드레인 영역(63)의 각각의 조합은 메모리 개구부 충전 구조체(58)를 구성한다. 각각의 지지 개구부(119, 219) 내의 페데스탈 채널 부분(11)(존재하는 경우), 메모리 막(50), 수직 반도체 채널(60), 유전체 코어(62), 및 드레인 영역(63)의 각각의 조합은 각자의 지지 개구부들(119, 219)을 충전하고 지지 필라 구조체(20)를 구성한다.
제1-티어 구조체(132, 142, 170, 165), 제2-티어 구조체(232, 242, 270, 265), 티어간 유전체 층(180), 메모리 개구부 충전 구조체들(58), 및 지지 필라 구조체들(20)은 집합적으로 메모리-레벨 조립체를 구성한다. 평면 반도체 재료 층(10)이 메모리 스택 구조체들(55) 내의 수직 반도체 채널들(60)에 전기적으로 접속되는 수평 반도체 채널들을 포함하도록, 메모리-레벨 조립체가 평면 반도체 재료 층(10) 위에 형성된다.
도 10a 및 도 10b를 참조하면, 제1 콘택트 레벨 유전체 층(280)이 메모리-레벨 조립체 위에 형성될 수 있다. 제1 콘택트 레벨 유전체 층(280)은 후속 프로세싱 단계들에서 희생 재료 층들(142, 242)을 대체하는 다양한 전기 전도성 층들 및 드레인 영역들(63)에 후속하여 다양한 콘택트 비아 구조체들이 형성되는 콘택트 레벨에 형성된다.
도 13a 및 도 13b를 참조하면, 제1 스택-관통 비아 캐비티들(585)은, 예를 들어, 포토레지스트 층을 도포하고 패터닝하여 그 내에 개구부들을 형성하는 것에 의해 그리고 포토레지스트 층에서의 개구부들 아래에 놓인 제1 콘택트 레벨 유전체 층(280), 교호 스택들(132, 146, 232, 246), 및 적어도 하나의 제2 유전체 재료 층(768)의 부분들을 이방성으로 에칭하는 것에 의해 메모리 어레이 영역(100)과 함께 형성될 수 있다. 일 실시예에서, 각각의 제1 스택-관통 비아 캐비티들(585)이 메모리 개구부 충전 구조체들(58)에 의해 측방으로 둘러싸이도록, 제1 스택-관통 비아 캐비티들(585) 각각이 각자의 3차원 메모리 어레이 내에 형성될 수 있다. 일 실시예에서, 도 10b에 도시된 바와 같이, 제1 스택-관통 비아 캐비티들(585) 중 하나 이상은 드레인-선택-레벨 얕은 트렌치 격리 구조체들(72)을 관통하여 형성될 수 있다. 그렇지만, 다른 위치들이 또한 선택될 수 있다. 일 실시예에서, 제1 스택-관통 비아 캐비티들(585)은 평면 반도체 재료 층(10) 및 임의적인 평면 전도성 재료 층(6)에서의 개구부들의 구역들 내에 형성될 수 있다. 각각의 제1 스택-관통 비아 캐비티(585)의 하단 표면은 실리콘 질화물 층(766)에 또는 실리콘 질화물 층(766) 위쪽에 형성될 수 있다. 일 실시예에서, 실리콘 질화물 층(766)은 제1 스택-관통 비아 캐비티들을 형성하는 이방성 에칭 프로세스 동안 에칭 정지 층으로서 이용될 수 있다. 이 경우에, 각각의 제1 스택-관통 비아 캐비티(585)의 하단 표면은 실리콘 질화물 층(766)에 형성될 수 있고, 실리콘 질화물 층(766)은 각각의 제1 스택-관통 비아 캐비티(585)의 바닥에서 물리적으로 노출될 수 있다.
도 11을 참조하면, 유전체 재료가 제1 스택-관통 비아 캐비티들(585) 내에 퇴적된다. 유전체 재료는 도핑되지 않은 실리케이트 유리, 도핑된 실리케이트 유리, 또는 유동성 산화물 재료와 같은 실리콘-산화물계 재료를 포함할 수 있다. 유전체 재료는 화학적 기상 퇴적 또는 스핀 코팅과 같은 컨포멀 퇴적 방법에 의해 퇴적될 수 있다. 보이드(void)가 각각의 제1 스택-관통 비아 캐비티(585)의 충전되지 않은 부분 내에 형성될 수 있다. 퇴적된 유전체 재료의 잉여 부분이, 예를 들어, 화학적 기계적 평탄화 또는 리세스 에칭에 의해 제1 콘택트 레벨 유전체 층(280)의 상단 표면을 포함한 수평 평면 위쪽으로부터 제거될 수 있다. 제1 스택-관통 비아 캐비티(585)의 각자의 제1 스택-관통 비아 캐비티를 충전하는 각각의 남아 있는 유전체 재료 부분은 스택-관통 절연성 재료 부분(584)을 구성한다. 스택-관통 절연성 재료 부분들(584)은 교호 스택들(132, 146, 232, 246)의 측벽들과 접촉하고, 실리콘 질화물 층(766)과 접촉할 수 있다.
도 12a 및 도 12b를 참조하면, 후면 콘택트 트렌치들(79)이 후속하여 제1 콘택트 레벨 유전체 층(280) 및 메모리-레벨 조립체를 관통하여 형성된다. 예를 들어, 제1 수평 방향(hd1)을 따라 연장되는 세장형(elongated) 개구부들을 형성하기 위해 포토레지스트 층이 제1 콘택트 레벨 유전체 층(280) 위에 도포되고 리소그래피적으로 패터닝될 수 있다. 패터닝된 포토레지스트 층에서의 패턴을 제1 콘택트 레벨 유전체 층(280) 및 메모리-레벨 조립체를 통해 평면 반도체 재료 층(10)의 상단 표면으로 전사하기 위해 이방성 에칭이 수행된다. 포토레지스트 층은, 예를 들어, 애싱에 의해, 후속하여 제거될 수 있다.
후면 콘택트 트렌치들(79)은 제1 수평 방향(hd1)을 따라 연장되고, 따라서 제1 수평 방향(hd1)을 따라 길게 늘어져(elongated) 있다. 후면 콘택트 트렌치들(79)은 제1 수평 방향(hd1)에 수직일 수 있는 제2 수평 방향(hd2)을 따라 서로 간에 측방으로 이격될 수 있다. 후면 콘택트 트렌치들(79)은 메모리 어레이 영역(예컨대, 메모리 평면)(100) 및 워드 라인 콘택트 비아 영역(200)을 관통하여 연장될 수 있다. 후면 콘택트 트렌치들(79)의 제1 서브세트는 메모리-레벨 조립체를 (예컨대, 메모리 블록들로) 측방으로 분할한다.
도 13a 및 도 13b를 참조하면, 제1 및 제2 절연성 층들(132, 232), 제1 및 제2 절연성 캡 층들(170, 270)의 재료들, 및 메모리 막들(50)의 가장 바깥쪽 층의 재료에 대하여 제1 및 제2 희생 재료 층들(142, 242)의 재료들을 선택적으로 에칭하는 에천트가, 예를 들어, 등방성 에칭 프로세스를 이용하여, 후면 콘택트 트렌치들(79) 내로 유입될 수 있다. 제1 희생 재료 층들(142)이 제거되는 체적들에 제1 후면 리세스들이 형성된다. 제2 희생 재료 층들(242)이 제거되는 체적들에 제2 후면 리세스들이 형성된다. 일 실시예에서, 제1 및 제2 희생 재료 층들(142, 242)은 실리콘 질화물을 포함할 수 있고, 제1 및 제2 절연성 층들(132, 232)의 재료들은 실리콘 산화물일 수 있다. 다른 실시예에서, 제1 및 제2 희생 재료 층들(142, 242)은 게르마늄 또는 실리콘-게르마늄 합금과 같은 반도체 재료를 포함할 수 있고, 제1 및 제2 절연성 층들(132, 232)의 재료들은 실리콘 산화물 및 실리콘 질화물로부터 선택될 수 있다.
등방성 에칭 프로세스는 습식 에칭 용액을 이용하는 습식 에칭 프로세스일 수 있거나, 또는 에천트가 기상(vapor phase)으로 후면 콘택트 트렌치(79) 내로 유입되는, 가스상(gas phase)(건식) 에칭 프로세스일 수 있다. 예를 들어, 제1 및 제2 희생 재료 층들(142, 242)이 실리콘 질화물을 포함하는 경우, 에칭 프로세스는 실리콘 질화물을 실리콘 산화물, 실리콘, 및 본 기술분야에서 이용되는 다양한 다른 재료들에 대해 선택적으로 에칭하는 인산을 포함하는 습식 에칭 탱크 내에 제1 예시적인 구조체가 침지되는 습식 에칭 프로세스일 수 있다. 희생 재료 층들(142, 242)이 반도체 재료를 포함하는 경우에, (KOH 용액과 같은 습식 에천트를 이용할 수 있는) 습식 에칭 프로세스 또는 (가스상 HCl을 포함할 수 있는) 건식 에칭 프로세스가 이용될 수 있다.
제1 및 제2 후면 리세스들 각각은 캐비티의 수직 크기(vertical extent)보다 큰 측방 치수를 갖는 측방 연장 캐비티(laterally extending cavity)일 수 있다. 환언하면, 제1 및 제2 후면 리세스들 각각의 측방 치수는 각자의 후면 리세스의 높이보다 클 수 있다. 제1 희생 재료 층들(142)의 재료가 제거되는 체적들에 복수의 제1 후면 리세스들이 형성될 수 있다. 제2 희생 재료 층들(242)의 재료가 제거되는 체적들에 복수의 제2 후면 리세스들이 형성될 수 있다. 제1 및 제2 후면 리세스들 각각은 기판(8)의 상단 표면에 실질적으로 평행하게 연장될 수 있다. 후면 리세스는 아래에 놓인 절연성 층(132 또는 232)의 상단 표면 및 위에 놓인 절연성 층(132 또는 232)의 하단 표면에 의해 수직으로 경계지어질 수 있다. 일 실시예에서, 제1 및 제2 후면 리세스들 각각은 전체에 걸쳐 균일한 높이를 가질 수 있다.
일 실시예에서, 각각의 페데스탈 채널 부분(11)의 측벽 표면은 제1 및 제2 희생 재료 층들(142, 242)의 제거 이후에 각각의 최하단 제1 후면 리세스에서 물리적으로 노출될 수 있다. 게다가, 평면 반도체 재료 층(10)의 상단 표면이 각각의 후면 콘택트 트렌치(79)의 바닥에서 물리적으로 노출될 수 있다. 페데스탈 채널 부분들(11)의 물리적으로 노출된 주변 부분의 산화에 의해 각각의 페데스탈 채널 부분(11) 주위에 환형 유전체 스페이서(도시되지 않음)가 형성될 수 있다. 게다가, 환형 유전체 스페이서들의 형성과 동시에 평면 반도체 재료 층(10)의 각각의 물리적으로 노출된 표면 부분으로부터 반도체 산화물 부분(도시되지 않음)이 형성될 수 있다.
후면 차단 유전체 층(도시되지 않음)은 후면 리세스들 및 후면 콘택트 트렌치들(79) 내에 그리고 제1 콘택트 레벨 유전체 층(280) 위에 임의로 퇴적될 수 있다. 후면 차단 유전체 층은 메모리 스택 구조체들(55)의 외부 표면들의 물리적으로 노출된 부분들 상에 퇴적될 수 있다. 후면 차단 유전체 층은 유전체 금속 산화물, 실리콘 산화물, 또는 이들의 조합과 같은 유전체 재료를 포함한다. 이용되는 경우, 후면 차단 유전체 층은 원자 층 퇴적 또는 화학적 기상 퇴적과 같은 컨포멀 퇴적 프로세스에 의해 형성될 수 있다. 후면 차단 유전체 층의 두께는 1 nm 내지 60 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다.
적어도 하나의 전도성 재료는 복수의 후면 리세스들 내에, 후면 콘택트 트렌치(79)의 측벽들 상에, 그리고 제1 콘택트 레벨 유전체 층(280) 위에 퇴적될 수 있다. 적어도 하나의 전도성 재료는 적어도 하나의 금속 재료, 즉 적어도 하나의 금속 원소를 포함하는 전기 전도성 재료를 포함할 수 있다.
복수의 제1 전기 전도성 층들(146)이 복수의 제1 후면 리세스들에 형성될 수 있고, 복수의 제2 전기 전도성 층들(246)이 복수의 제2 후면 리세스들에 형성될 수 있으며, 연속적인 금속 재료 층(도시되지 않음)이 각각의 후면 콘택트 트렌치(79)의 측벽들 상에 그리고 제1 콘택트 레벨 유전체 층(280) 위에 형성될 수 있다. 따라서, 제1 및 제2 희생 재료 층들(142, 242)은, 제각기, 제1 및 제2 전도성 재료 층들(146, 246)로 대체될 수 있다. 구체적으로는, 각각의 제1 희생 재료 층(142)은 후면 차단 유전체 층의 임의적인 부분 및 제1 전기 전도성 층(146)으로 대체될 수 있고, 각각의 제2 희생 재료 층(242)은 후면 차단 유전체 층의 임의적인 부분 및 제2 전기 전도성 층(246)으로 대체될 수 있다. 연속적인 금속 재료 층으로 충전되지 않는 각각의 후면 콘택트 트렌치(79)의 부분에 후면 캐비티가 존재한다.
금속 재료는, 예를 들어, 화학적 기상 퇴적(CVD), 원자 층 퇴적(ALD), 무전해 도금, 전기도금, 또는 이들의 조합일 수 있는, 컨포멀 퇴적 방법에 의해 퇴적될 수 있다. 금속 재료는 원소 금속, 적어도 2개의 원소 금속의 금속간 합금, 적어도 하나의 원소 금속의 전도성 질화물, 전도성 금속 산화물, 전도성 도핑된 반도체 재료, 금속 실리사이드와 같은 전도성 금속-반도체 합금, 이들의 합금들, 및 이들의 조합들 또는 스택들일 수 있다. 후면 리세스들에 퇴적될 수 있는 비제한적인 예시적인 금속 재료들은 텅스텐, 텅스텐 질화물, 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 코발트, 및 루테늄을 포함한다. 일 실시예에서, 금속 재료는 텅스텐과 같은 금속 및/또는 금속 질화물을 포함할 수 있다. 일 실시예에서, 후면 리세스들을 충전하기 위한 금속 재료는 티타늄 질화물 층과 텅스텐 충전 재료의 조합일 수 있다. 일 실시예에서, 금속 재료는 화학적 기상 퇴적 또는 원자 층 퇴적에 의해 퇴적될 수 있다.
잔여 전도성 재료가 후면 콘택트 트렌치들(79) 내부로부터 제거될 수 있다. 구체적으로는, 연속적인 금속 재료 층의 퇴적된 금속 재료는, 예를 들어, 이방성 또는 등방성 에칭에 의해, 각각의 후면 콘택트 트렌치(79)의 측벽들로부터 그리고 제1 콘택트 레벨 유전체 층(280) 위쪽으로부터 에치백될 수 있다. 제1 후면 리세스들 내의 퇴적된 금속 재료의 각각의 남아 있는 부분은 제1 전기 전도성 층(146)을 구성한다. 제2 후면 리세스들 내의 퇴적된 금속 재료의 각각의 남아 있는 부분은 제2 전기 전도성 층(246)을 구성한다. 각각의 전기 전도성 층(146, 246)은 전도성 라인 구조체일 수 있다.
드레인-선택-레벨 얕은 트렌치 격리 구조체들(72)의 레벨들에 위치된 제2 전기 전도성 층들(246)의 서브세트는 드레인 선택 게이트 전극들을 구성한다. 환형 유전체 스페이서들(도시되지 않음)의 각각의 레벨에 위치된 제1 전기 전도성 층들(146)의 서브세트는 소스 선택 게이트 전극들을 구성한다. 드레인 선택 게이트 전극들과 소스 선택 게이트 전극들 사이에 위치된 전기 전도성 층(146, 246)의 서브세트는 동일한 레벨에 위치된 제어 게이트와 워드 라인의 조합들로서 기능할 수 있다. 각각의 전기 전도성 층(146, 246) 내의 제어 게이트 전극들은 메모리 스택 구조체(55)를 포함하는 수직 메모리 디바이스에 대한 제어 게이트 전극들이다.
메모리 스택 구조체들(55) 각각은 전기 전도성 층들(146, 246)의 각각의 레벨에 위치된 메모리 요소들의 수직 스택을 포함한다. 전기 전도성 층들(146, 246)의 서브세트는 메모리 요소들에 대한 워드 라인들을 포함할 수 있다. 아래에 놓인 주변 디바이스 영역(700) 내의 반도체 디바이스들은 각자의 워드 라인들에 대한 바이어스 전압을 제어하도록 구성된 워드 라인 스위치 디바이스들을 포함할 수 있다. 메모리-레벨 조립체는 기판 반도체 층(9) 위에 위치된다. 메모리-레벨 조립체는 적어도 하나의 교호 스택(132, 146, 232, 246) 및 적어도 하나의 교호 스택(132, 146, 232, 246)을 관통하여 수직으로 연장되는 메모리 스택 구조체들(55)을 포함한다. 적어도 하나의 교호 스택(132, 146, 232, 246) 각각은 각자의 절연성 층들(132 또는 232)과 각자의 전기 전도성 층들(146 또는 246)의 교호 층들을 포함한다. 적어도 하나의 교호 스택(132, 146, 232, 246)은 각각의 아래에 놓인 전기 전도성 층(146, 246)이 메모리-레벨 조립체에서 임의의 위에 놓인 전기 전도성 층(146, 246)보다 제1 수평 방향(hd1)을 따라 더 멀리 연장되는 테라스들을 포함하는 계단 영역들을 포함한다.
평면 반도체 재료 층(10)의 제1 전도성 유형과 반대인, 제2 전도성 유형의 도펀트들이 평면 반도체 재료 층(10)의 표면 부분에 주입되어 각각의 후면 콘택트 트렌치(79)의 하단 표면 아래에 소스 영역(61)을 형성할 수 있다. 유전체 재료를 포함하는 절연성 스페이서(74)는, 예를 들어, (실리콘 산화물과 같은) 컨포멀 절연성 재료의 퇴적 및 후속 이방성 에칭에 의해, 각각의 후면 콘택트 트렌치(79)의 주변부에 형성될 수 있다. 퇴적된 컨포멀 절연성 재료의 수직 부분들 또는 수평 부분들을 제거하는 이방성 에칭 동안 부수적 에칭으로 인해 제1 콘택트 레벨 유전체 층(280)이 시닝될 수 있다.
컨포멀 절연성 재료 층이 후면 콘택트 트렌치들(79)에 퇴적될 수 있고, 이방성으로 에칭되어 절연성 스페이서들(74)을 형성할 수 있다. 절연성 스페이서들(74)은 실리콘 산화물, 실리콘 질화물, 및/또는 유전체 금속 산화물과 같은 절연성 재료를 포함한다. 제1 수평 방향(hd1)을 따라 측방으로 연장되는 캐비티가 각각의 절연성 스페이서(74) 내에 존재한다.
예를 들어, 적어도 하나의 전도성 재료의 퇴적 및 퇴적된 적어도 하나의 전도성 재료의 잉여 부분들을 화학적 기계적 평탄화 또는 리세스 에칭과 같은 평탄화 프로세스에 의해 제1 콘택트 레벨 유전체 층(280)의 상단 표면을 포함하는 수평 평면 위쪽으로부터 제거하는 것에 의해 후면 콘택트 비아 구조체가 각각의 후면 콘택트 트렌치(79)의 남아 있는 체적에 형성될 수 있다. 후면 콘택트 비아 구조체들은 모든 측방 방향들에서 전기적으로 절연되며, 제1 수평 방향(hd1)을 따라 측방으로 길게 늘어져 있다. 그와 같이, 후면 콘택트 비아 구조체들은 본 명세서에서 측방 세장형 콘택트 비아 구조체들(76)이라고 지칭된다. 본 명세서에서 사용되는 바와 같이, 제1 수평 방향을 따른 구조체의 최대 측방 치수가 제1 수평 방향에 수직인 제2 수평 방향을 따른 구조체의 최대 측방 치수보다 적어도 5배 더 큰 경우, 구조체는 "측방 세장형"이다.
임의로, 각각의 측방 세장형 콘택트 비아 구조체(76)는 하부 후면 콘택트 비아 부분 및 상부 후면 콘택트 비아 부분과 같은 다수의 후면 콘택트 비아 부분들을 포함할 수 있다. 예시적인 예에서, 하부 후면 콘택트 비아 부분은 (도핑된 폴리실리콘과 같은) 도핑된 반도체 재료를 포함할 수 있고, 도핑된 반도체 재료 층을 퇴적시켜 후면 콘택트 트렌치들(79)을 충전하는 것 및 퇴적된 도핑된 반도체 재료를 후면 콘택트 트렌치들(79)의 상부 부분들로부터 제거하는 것에 의해 형성될 수 있다. 상부 후면 콘택트 비아 부분은 (TiN 라이너 및 W 충전 재료의 조합과 같은) 적어도 하나의 금속 재료를 포함할 수 있고, 적어도 하나의 금속 재료를 하부 후면 콘택트 비아 부분들 위쪽에 퇴적하는 것, 및 제1 콘택트 레벨 유전체 층(280)의 상단 표면을 포함하는 수평 평면 위쪽으로부터 적어도 하나의 금속 재료의 잉여 부분을 제거하는 것에 의해 형성될 수 있다. 화학적 기계적 평탄화(CMP), 리세스 에칭, 또는 이들의 조합을 이용할 수 있는 평탄화 프로세스의 후반부 동안 제1 콘택트 레벨 유전체 층(280)이 시닝되고 제거될 수 있다. 각각의 측방 세장형 콘택트 비아 구조체(76)는 메모리-레벨 조립체를 관통하여 그리고 각자의 소스 영역(61) 상에 형성될 수 있다. 각각의 측방 세장형 컨택트 비아 구조체(76)의 상단 표면은 메모리 스택 구조체들(55)의 상단 표면들을 포함하는 수평 평면 위쪽에 위치될 수 있다.
도 14a 및 도 14b를 참조하면, 제2 콘택트 레벨 유전체 층(282)이 제1 콘택트 레벨 유전체 층(280) 위에 임의로 형성될 수 있다. 제2 콘택트 레벨 유전체 층(282)은 실리콘 산화물 또는 실리콘 질화물과 같은 유전체 재료를 포함한다. 제2 콘택트 레벨 유전체 층(282)의 두께는 30 nm 내지 300 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다.
드레인 영역들(63)과 접촉하는 드레인 콘택트 비아 구조체들(88)은 메모리 어레이 영역(100)에서 콘택트 레벨 유전체 층들(280, 282) 및 제2 절연성 캡 층(270)을 관통하여 연장될 수 있다. 소스 접속 비아 구조체(91)는 측방 세장형 콘택트 비아 구조체들(76)에 대한 전기적 접속을 제공하기 위해 콘택트 레벨 유전체 층들(280, 282)을 관통하여 연장될 수 있다.
다양한 콘택트 비아 구조체들이 콘택트 레벨 유전체 층들(280, 282) 및 역계단형 유전체 재료 부분들(165, 265)을 관통하여 형성될 수 있다. 예를 들어, 워드 라인 콘택트 비아 구조체들(86)이 워드 라인 콘택트 영역(200)에 형성될 수 있다. 제2 전기 전도성 층들(246)과 접촉하는 워드 라인 콘택트 비아 구조체들(86)의 서브세트는 워드 라인 콘택트 영역(200)에서 제2-티어 역계단형 유전체 재료 부분(265)을 관통하여 연장되고, 제1-티어 역계단형 유전체 재료 부분(165)을 관통하여 연장되지 않는다. 제1 전기 전도성 층들(146)과 접촉하는 워드 라인 콘택트 비아 구조체들(86)의 다른 서브세트는 워드 라인 콘택트 영역(200)에서 제2-티어 역계단형 유전체 재료 부분(265)을 관통하여 그리고 제1-티어 역계단형 유전체 재료 부분(165)을 관통하여 연장된다.
도 15를 참조하면, 포토레지스트 층이 제2 콘택트 레벨 유전체 층(282) 위에 도포되고, 메모리 어레이 영역(100)에서 스택-관통 절연성 재료 부분들(584) 위에 놓이는 개구부들 및 교호 스택들(132, 146, 232, 246)의 층들이 없는, 즉 메모리 어레이 영역(100) 및 콘택트 영역(200) 외부에 위치된 주변 영역(400)에 있는 부가의 메모리 개구부들을 형성하도록 리소그래피적으로 패터닝된다. 예를 들어, 주변 영역은 메모리 어레이 영역(100) 및/또는 콘택트 영역(200)을 둘러쌀 수 있고 그리고/또는 메모리 어레이 영역(100) 및/또는 콘택트 영역(200)의 하나 이상의 측면 상에 위치될 수 있다. 일 실시예에서, 메모리 어레이 영역(100)에서의 개구부들의 구역들은 스택-관통 절연성 재료 부분들(584)의 구역들 내에 있을 수 있다. 일 실시예에서, 메모리 어레이 영역(100) 및 콘택트 영역(200)의 구역들 외부의 개구부들의 구역들(예컨대, 주변 영역(400)에서의 개구부들의 구역들)은 평면 반도체 재료 층(10) 및 임의적인 평면 전도성 재료 층(6)의 개구부들의 구역들 내에 있을 수 있다.
비아 캐비티들(487, 587)은 포토레지스트 층에서의 개구부들의 패턴을 최상단 하부 금속 라인 구조체들(788)의 상단 표면들로 전사하는 이방성 에칭 프로세스에 의해 형성된다. 구체적으로는, 제2 스택-관통 비아 캐비티들(587)의 형성 이후의 각각의 스택-관통 절연성 재료 부분(584)의 남아 있는 부분이 스택-관통 절연성 스페이서(586)를 구성하도록, 제2 스택-관통 비아 캐비티들(587)이 스택-관통 절연성 재료 부분들(584)을 관통하여 형성된다. 일 실시예에서, 실리콘 질화물에 대해 선택적으로 스택-관통 절연성 재료 부분들(584)의 유전체 재료를 에칭하는 제1 에칭 단계, 및 실리콘 질화물 층(766)의 물리적으로 노출된 부분을 에칭하는 제2 에칭 단계를 포함하는 이방성 에칭 프로세스를 이용하여 제2 스택-관통 비아 캐비티들(587)이 형성될 수 있다. 제1 에칭 단계는 실리콘 질화물 층(766)을 에칭 정지 층으로서 이용한다. 따라서, 비아 캐비티들(587)은, 전기 전도성 층들(예컨대, 텅스텐 및/또는 티타늄 질화물 층들)(146, 246)을 관통하지 않고, 스택-관통 절연성 재료 부분들(584)의 절연성 재료(예컨대, 실리콘 산화물)을 관통하게 에칭된다. 부분들(584)의 실리콘 산화물을 에칭하는 것은 내화 금속 및/또는 내화 금속 질화물 층들(146, 246)을 에칭하는 것보다 쉽다. 게다가, 전기 전도성 층들(146, 246)을 형성하기 전에 실리콘 산화물과 같은 절연성 층들(132, 232)과 실리콘 질화물과 같은 희생 재료 층들(142, 242)의 교호 스택을 관통하게 개구부(585)를 에칭하는 것은 전기 전도성 층들(146, 246)을 관통하게 개구부를 에칭하는 것보다 쉽다. 따라서, 희생 재료 층들을 전기 전도성 층들로 대체하기 전에 개구부들(585)을 형성하고 절연성 재료로(예컨대, 부분들(584)로) 충전하는 것은, 전기 전도성 층들을 관통하게 캐비티들(587)을 에칭하는 대신에, 희생 재료 층들을 전기 전도성 층들로 대체한 후에 절연성 재료를 관통하게 제2 스택-관통 비아 캐비티들(587)을 후속하여 형성하는 것을 더 쉽게 만들어준다.
각각의 스택-관통 절연성 스페이서(586)는 실질적으로 원통형 형상을 가질 수 있다. 포토레지스트 층에서의 개구들의 패턴과 스택-관통 절연성 재료 부분들(584)의 리소그래피 정렬에 따라, 제2 스택-관통 비아 캐비티들(587)은 스택-관통 절연성 재료 부분(584)의 각자의 스택-관통 절연성 재료 부분의 기하학적 중심으로부터 측방 오프셋을 가질 수 있거나 갖지 않을 수 있다. 따라서, 스택-관통 절연성 스페이서들(586)은 방위각의 함수로서 그의 기하학적 중심을 통과하는 수직 축 주위에서 균일한 두께를 가질 수 있거나 갖지 않을 수 있다. 환언하면, 스택-관통 절연성 스페이서들(586)은 완벽한 리소그래피 정렬의 경우에 방위각에 관계없이 동일한 두께를 가질 수 있거나, 또는 각자의 스택-관통 절연성 스페이서(586)의 내부 측벽과 외부 측벽 사이에서 측정되는 방위각에 따라 변하는 두께(azimuthally-varying thickness)를 가질 수 있다. 제2 스택-관통 비아 캐비티들(587)은 실리콘 질화물 층(766)을 관통하게 형성된다. (최상단 하부 금속 라이너 구조체(788)와 같은) 하부 금속 라인 구조체의 상단 표면은 각각의 제2 스택-관통 비아 캐비티(587)의 바닥에서 물리적으로 노출될 수 있다.
게다가, 유전체-관통 비아 캐비티들(487)이 주변 영역에서 콘택트 레벨 유전체 층들(280, 282), 역계단형 유전체 재료 부분들(165, 265), 적어도 하나의 제2 유전체 재료 층(768), 및 실리콘 질화물 층(766)을 관통하여 최상단 하부 금속 라이너 구조체들(788)의 각자의 최상단 하부 금속 라이너 구조체의 상단 표면까지 형성될 수 있다. 유전체-관통 비아 캐비티들(487)은 동일한 포토리소그래피 및 이방성 에칭 프로세스들을 이용하여 제2 스택-관통 비아 캐비티들(587)의 형성과 동시에 형성될 수 있다. 일 실시예에서, 유전체-관통 비아 캐비티들(487)은 평면 반도체 재료 층(10) 및 임의적인 평면 전도성 재료 층(6)에서의 개구부들을 통과할 수 있다. 포토레지스트 층은, 예를 들어, 애싱에 의해, 제거될 수 있다.
도 16a 및 도 16b를 참조하면, 적어도 하나의 전도성 재료가 제2 스택-관통 비아 캐비티들(587) 및 유전체-관통 비아 캐비티들(487) 내에 동시에 퇴적될 수 있다. 적어도 하나의 전도성 재료는, 예를 들어, (TiN 라이너와 같은) 금속 질화물 라이너 및 (W, Cu, Al, Ru, 또는 Co와 같은) 금속 충전 재료를 포함할 수 있다. 적어도 하나의 전도성 재료의 잉여 부분들이 제2 스택-관통 비아 캐비티들(587) 및 유전체-관통 비아 캐비티들(487) 외부로부터 제거될 수 있다. 예를 들어, 적어도 하나의 전도성 재료의 잉여 부분들이 화학적 기계적 평탄화 및/또는 리세스 에칭과 같은 평탄화 프로세스에 의해 제2 콘택트 레벨 유전체 층(282)의 상단 표면 위쪽으로부터 제거될 수 있다. 제2 스택-관통 비아 캐비티들(587) 내의 적어도 하나의 전도성 재료의 각각의 남아 있는 부분은 최상단 하부 금속 라인 구조체(788)의 각자의 최상단 하부 금속 라인 구조체의 상단 표면과 접촉하는 스택-관통 콘택트 비아 구조체(588)를 구성한다. 최상단 하부 금속 라인 구조체(788)의 각자의 최상단 하부 금속 라인 구조체의 상단 표면과 접촉하는 유전체-관통 비아 캐비티들(487) 내의 적어도 하나의 전도성 재료의 각각의 남아 있는 부분은 유전체-관통 콘택트 비아 구조체(488)를 구성한다. 각각의 스택-관통 콘택트 비아 구조체(588)는 각자의 제2 스택-관통 비아 캐비티(587) 내에 그리고 각자의 스택-관통 절연성 스페이서(586) 내부에 형성될 수 있다. 따라서, 스택-관통 콘택트 비아 구조체들(588)은 교호 스택들(132, 146, 232, 246), 적어도 하나의 제2 유전체 재료 층(768), 및 실리콘 질화물 층(766)을 관통하게, 그리고 (최상단 하부 금속 라인 구조체(788)와 같은) 하부 금속 라인 구조체의 상단 표면 바로 위에 형성된다. 이 실시예에서, 각각의 스택-관통 콘택트 비아 구조체(588)는 제2 콘택트 레벨 유전체 층(282) 및 실리콘 질화물 층(즉, 수소 배리어 층)(766)을 관통하여 연장되는 반면, 각자의 스택-관통 절연성 스페이서(586)는 제2 콘택트 레벨 유전체 층(282) 및 실리콘 질화물 층(즉, 수소 배리어 층)(766)을 관통하여 연장되지 않는다.
도 17을 참조하면, 적어도 하나의 상부 인터커넥트 레벨 유전체 층(284)이 콘택트 레벨 유전체 층들(280, 282) 위에 형성될 수 있다. 다양한 상부 인터커넥트 레벨 금속 구조체들이 적어도 하나의 상부 인터커넥트 레벨 유전체 층(284)에 형성될 수 있다. 예를 들어, 다양한 상부 인터커넥트 레벨 금속 구조체들은 라인 레벨 금속 인터커넥트 구조체들(96, 98, 99)을 포함할 수 있다. 라인 레벨 금속 인터커넥트 구조체들(96, 98, 99)은 스택-관통 콘택트 비아 구조체들(588)의 각자의 스택-관통 콘택트 비아 구조체의 상단 표면과 접촉하는 제1 상부 금속 라인 구조체들(99), 유전체-관통 콘택트 비아 구조체들(488)의 각자의 유전체-관통 콘택트 비아 구조체의 상단 표면과 접촉하는 제2 상부 금속 라인 구조체들(96), 및 드레인 콘택트 비아 구조체들(88)의 각자의 드레인 콘택트 비아 구조체와 접촉하고 제1 수평 방향(예컨대, 워드 라인 방향)(hd1)에 수직인 제2 수평 방향(예컨대, 비트 라인 방향)(hd2)을 따라 연장되는 비트 라인들(98)을 포함할 수 있다. 일 실시예에서, 제1 상부 금속 라인 구조체들(99)의 서브세트는 위에서 설명된 소스 접속 비아 구조체들(91)을 통해 측방 세장형 콘택트 비아 구조체들(76)에 대한 그리고 소스 영역들(61)에 대한 전기적 접속들을 제공하는 데 이용될 수 있다. 일 실시예에서, 제2 상부 금속 라인 구조체들(96)의 서브세트는 워드 라인 콘택트 비아 구조체(86)와 유전체-관통 콘택트 비아 구조체(488)의 각자의 쌍과 접촉할 수 있거나, 또는 각자의 쌍에 전기적으로 커플링된다.
(라인 레벨 금속 인터커넥트 구조체들(96, 98, 99)을 포함하는) 상부 금속 인터커넥트 구조체들의 적어도 서브세트는 3차원 메모리 어레이 위에 형성된다. 상부 금속 인터커넥트 구조체들은 스택-관통 콘택트 비아 구조체(588) 바로 위에 형성되는 (제1 상부 금속 라인 구조체(99)와 같은) 상부 금속 라인 구조체를 포함한다. 스택-관통 콘택트 비아 구조체(588) 및 (최상단 하부 금속 라인 구조체(788)와 같은) 하부 금속 라인 구조체를 포함하는 전도성 구조체들의 세트는 기판 반도체 층 상의 적어도 하나의 반도체 디바이스(710)와 상부 금속 라인 구조체 사이의 전기 전도성 경로를 제공한다. 유전체-관통 콘택트 비아 구조체(488)는 역계단형 유전체 재료 부분들(165, 265), 적어도 하나의 제2 유전체 재료 층(768), 및 실리콘 질화물 층(766)을 관통하여 그리고 하부 금속 인터커넥트 구조체들(780)의 다른 하부 금속 라인 구조체(예컨대, 다른 최상단 하부 금속 라인 구조체(788))의 상단 표면 바로 위에 제공될 수 있다.
일 실시예에서, 반도체 구조체는: 교호 스택(132, 232, 146, 246)의 층들의 계단형 표면들을 포함하는 테라스 영역; 계단형 표면들 위에 놓이고 교호 스택(132, 232, 146, 246)의 레벨들에 그리고 적어도 하나의 제2 유전체 재료 층(768) 위쪽에 위치된 역계단형 유전체 재료 부분(165 또는 265); 및 역계단형 유전체 재료 부분(165 또는 265), 적어도 하나의 제2 유전체 재료 층(768), 및 실리콘 질화물 층(766)을 관통하여 수직으로 연장되고 하부 금속 인터커넥트 구조체들(780)의 다른 하부 금속 라인 구조체(788)의 상단 표면과 접촉하는 유전체-관통 콘택트 비아 구조체(488)를 추가로 포함한다. 일 실시예에서, 스택-관통 콘택트 비아 구조체(488)는 (도 20에 예시된 바와 같이) 스택-관통 절연성 스페이서(486)에 의해 교호 스택(132, 232, 146, 246) 내의 각각의 층으로부터 측방으로 이격된다. 대안적으로, 유전체-관통 콘택트 비아 구조체(488)는 (도 17에 예시된 바와 같이) 역계단형 유전체 재료 부분(165 또는 265) 및 적어도 하나의 제2 유전체 재료 층(768)과 직접 접촉한다.
일 실시예에서, 메모리 스택 구조체들(55)은 수직 NAND 디바이스의 메모리 요소들을 포함할 수 있다. 전기 전도성 층들(146, 246)은 수직 NAND 디바이스의 각자의 워드 라인을 포함할 수 있거나, 또는 각자의 워드 라인에 전기적으로 접속될 수 있다. 기판(8)은 실리콘 기판을 포함할 수 있다. 수직 NAND 디바이스는 실리콘 기판 위에 모놀리식 3차원 NAND 스트링들의 어레이를 포함할 수 있다. 모놀리식 3차원 NAND 스트링들의 어레이의 제1 디바이스 레벨에 있는 적어도 하나의 메모리 셀은 모놀리식 3차원 NAND 스트링들의 어레이의 제2 디바이스 레벨에 있는 다른 메모리 셀 위에 위치된다. 실리콘 기판은 메모리 디바이스를 위한 워드 라인 드라이버 회로 및 비트 라인 드라이버 회로를 포함하는 집적 회로를 포함할 수 있다. 모놀리식 3차원 NAND 스트링들의 어레이는 복수의 반도체 채널들 - 복수의 반도체 채널들(59, 11, 60)의 각각의 반도체 채널의 (수직 반도체 채널(60)과 같은) 적어도 하나의 단부 부분은 반도체 기판(8)의 상단 표면에 실질적으로 수직으로 연장됨 -, (각각의 워드 라인 레벨에 위치된 메모리 재료 층(54)의 부분들로서 구체화되는 바와 같은) 복수의 전하 저장 요소들 - 각각의 전하 저장 요소는 복수의 반도체 채널들(59, 11, 60)의 각자의 반도체 채널에 인접하여 위치됨 -, 및 기판(8)의 상단 표면에 실질적으로 평행하게(예컨대, 제1 수평 방향(hd1)을 따라) 연장되는 스트립 형상을 갖는 (전기 전도성 층들(146, 246)의 서브세트로서 구체화되는 바와 같은) 복수의 제어 게이트 전극들을 포함할 수 있고, 복수의 제어 게이트 전극들은 적어도 제1 디바이스 레벨에 위치된 제1 제어 게이트 전극 및 제2 디바이스 레벨에 위치된 제2 제어 게이트 전극을 포함한다.
도 17의 제1 예시적인 구조체는, 구리-대-구리 본딩을 이용하여 로직 다이에 후속하여 본딩될 수 있는, 메모리 및 로직 다이(1000)일 수 있다. 일반적으로 말하면, 메모리 및 로직 다이(1000)는 3차원 메모리 디바이스 및 3차원 메모리 디바이스에 대한 드라이버 회로 디바이스들에 사용되는 주변 디바이스 영역(700)에 위치된 주변 로직 디바이스들(710)을 포함할 수 있다. 주변 로직 디바이스들(710)(즉, 드라이버 회로 디바이스들)은 워드 라인 디코더 회로부, 워드 라인 스위칭 회로부, 비트 라인 디코더 회로부, 비트 라인 감지 및/또는 스위칭 회로부, 전력 공급/분배 회로부, 데이터 버퍼 및/또는 래치, 또는 메모리 디바이스를 위한 메모리 어레이 구조체 외부에 구현될 수 있는 임의의 다른 반도체 회로부를 포함할 수 있다. 예를 들어, 주변 로직 디바이스들(710)은 워드 라인들(146, 246)의 각자의 워드 라인을 구동하는 워드 라인 드라이버들, 비트 라인들(98)의 각자의 비트 라인을 구동하는 비트 라인 드라이버들, 및 비트 라인들(98)에 전기적으로 접속되고 메모리 요소들의 3차원 어레이 내의 선택된 메모리 요소들의 상태를 판독하도록 구성된 감지 증폭기 회로부를 포함할 수 있다.
3차원 메모리 디바이스는 (전기 전도성 층들(146, 246)의 레벨들에 위치된 메모리 막(50) 내의 전하 저장 층들(54)의 부분들 및 수직 반도체 채널(60)과 같은) 메모리 요소들의 3차원 어레이를 포함한다. 3차원 메모리 디바이스는 메모리 요소들의 3차원 어레이 내의 메모리 요소들에 개별적으로 액세스하기 위한 전기 전도성 층들(146, 246)을 포함하는 워드 라인들 및 비트 라인들(98)을 포함할 수 있다. 라인 레벨 금속 인터커넥트 구조체들(96, 98, 99)은 제1 상부 금속 라인 구조체들(99), 제2 상부 금속 라인 구조체들(96), 및 비트 라인들(98) 중 하나 이상에 부착된 또는 이들에 통합된 상호접속 구리 패드들을 포함할 수 있다. 본 명세서에서 사용되는 바와 같이, "상호접속 구리 패드"는 메이팅(mating) 구리 패드에 본딩될 때 전기 신호 상호접속 경로를 형성하는 데 이용될 수 있는 구리 패드를 지칭한다. 상호접속 구리 패드는 상호접속 구리 패드가 메모리 디바이스의 측면 상에 위치되고 주변 로직 디바이스에 접속되는 다른 상호접속 구리 패드와 메이팅하도록 구성될 때 메모리 측 구리 패드일 수 있거나, 또는 상호접속 패드가 주변 로직 디바이스의 측면 상에 위치되고 메모리 디바이스에 접속되는 다른 상호접속 구리 패드와 메이팅하도록 구성될 때 로직 측 구리 패드일 수 있다. 그러한 상호접속 구리 패드들은 메모리 및 로직 다이(1000)를 상호접속 구리 패드들의 매칭 세트를 포함하는 로직 다이에 본딩하는 데 이용될 수 있다. 대안적으로, 부가의 유전체 층들이 라인 레벨 인터커넥트 구조체들(96, 98, 99) 위에 형성될 수 있으며, 상호접속 구리 패드들이 그러한 부가의 유전체 층들에 매립되고 부가의 전기 전도성 라인 및/또는 비아 구조체들에 의해 라인 레벨 인터커넥트 구조체들(96, 98, 99)에 전기적으로 접속될 수 있다.
일부 실시예들에서, 기판(8) 상에 형성되는 다양한 반도체 디바이스들이 생략될 수 있다. 도 18은, 기판(8)의 상단 표면 상에 주변 로직 디바이스들을 포함하지 않고, 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스를 포함하는, 메모리 전용 다이(1000')의 제조 동안 제1 예시적인 구조체의 대안적인 실시예를 예시한다. 도 17의 제1 예시적인 구조체의 경우에서와 같이, 라인 레벨 금속 인터커넥트 구조체들(96, 98, 99)은 제1 상부 금속 라인 구조체들(99), 제2 상부 금속 라인 구조체들(96), 및 비트 라인들(98) 중 하나 이상에 부착된 또는 이들에 통합된 상호접속 구리 패드들을 포함할 수 있다. 대안적으로, 부가의 유전체 층들이 라인 레벨 인터커넥트 구조체들(96, 98, 99) 위에 형성될 수 있으며, 상호접속 구리 패드들이 그러한 부가의 유전체 층들에 매립되고 부가의 전기 전도성 라인 및/또는 비아 구조체들에 의해 라인 레벨 인터커넥트 구조체들(96, 98, 99)에 전기적으로 접속될 수 있다. 그러한 상호접속 구리 패드들은 메모리 전용 다이(1000')를 상호접속 구리 패드들의 매칭 세트를 포함하는 로직 다이에 본딩하는 데 이용될 수 있다. 일 실시예에서, 상호접속 구리 패드들은 메모리 측 구리 패드들을 포함할 수 있다. 메모리 측 구리 패드들을 매립하는 유전체 재료 층들의 세트는 집합적으로 메모리 유전체 재료 층이라고 지칭된다. 일반적으로 말하면, 메모리 유전체 재료 층은 메모리 요소들의 3차원 어레이 위에 또는 아래에 형성될 수 있다. 메모리 측 구리 패드들은 메모리 유전체 재료 층에 매립되고, 3차원 메모리 디바이스 내의 각자의 노드에 전기적으로 단락된다.
도 19를 참조하면, 로직 다이(2000)를 포함하는 제2 예시적인 구조체가 예시되어 있다. 로직 다이(2000)는 반도체 기판(2009) 상에 위치된 PMOS 및 NMOS 전계 효과 트랜지스터들을 포함하는 CMOS(complementary metal oxide semiconductor) 디바이스들(2710)을 포함하는 주변 로직 회로부를 포함한다. 일 실시예에서, 반도체 기판(2009)은 실리콘 웨이퍼일 수 있다. CMOS 디바이스들은, 반도체 기판(2009) 내에 매립되는 각자의 채널 영역들(2746)에 의해 분리되는 소스 영역들 및 드레인 영역들을 포함하는, 활성 영역들(2730)을 포함할 수 있다. CMOS 디바이스들은 게이트 구조체들(2750)을 포함할 수 있으며, 게이트 구조체들 각각은 게이트 유전체와 게이트 전극의 수직 스택을 포함한다. 복수의 유전체 재료 층들일 수 있는 적어도 하나의 유전체 재료 층은 CMOS 디바이스들 위에 형성되고, 이는 본 명세서에서 로직 유전체 재료 층(2760)이라고 지칭된다. (본 명세서에서 제2 금속 인터커넥트 구조체들이라고 지칭되는) 금속 인터커넥트 구조체들(2780)이 로직 유전체 재료 층(2760)에 형성될 수 있다. 금속 인터커넥트 구조체들(2780)은 금속 비아 구조체들(2784) 및 금속 라인 구조체들(2786)을 포함할 수 있으며, 이들 각각은 로직 유전체 재료 층(2760)에 매립될 수 있고 CMOS 디바이스들(2710)의 활성 영역들(2730) 또는 게이트 구조체들(2750)과 전기적으로 접촉할 수 있다. 로직 유전체 재료 층(2760)이 똑바른 포지션(upright position)에서는 CMOS 디바이스들(2710) 위에 놓이고, 뒤집힌 포지션(upside-down position)에서는 CMOS 디바이스들(2710) 아래에 놓인다.
각각의 구리 패드(2792, 2794)의 상단 표면이 로직 유전체 재료 층(2760)의 상단 표면에서 물리적으로 노출되도록, 구리 패드들(2792, 2794)이 로직 유전체 재료 층(2760)의 표면 부분에 형성될 수 있다. 구리 패드들(2792, 2794)은 로직 측 구리 패드들(2792) 및 기판-관통 비아 콘택트 패드들(2794)을 포함할 수 있다. 구리 패드들(2792, 2794) 각각은 로직 유전체 재료 층(2760)에 매립되고, 금속 인터커넥트 구조체들(2780)을 통해 CMOS 디바이스들(2710) 내의 각자의 노드(예컨대, 활성 영역들(2730) 또는 게이트 구조체들(2750))에 전기적으로 단락될 수 있다.
메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스는 메모리 및 로직 다이(1000) 또는 메모리 전용 다이(1000')에 제공될 수 있다. 메모리 및 로직 다이들(1000) 및 메모리 전용 다이들(1000')은 집합적으로 메모리-포함 다이들(1000, 1000')이라고 지칭된다. 일 실시예에서, CMOS 디바이스들은 메모리-포함 다이(1000, 1000') 내에 전기 전도성 층들(146, 246)을 포함하는 워드 라인들의 각자의 워드 라인을 구동하는 워드 라인 드라이버들, 로직 다이(2000)와 메모리-포함 다이(1000, 1000')의 후속 본딩 시에 메모리-포함 다이(1000, 1000')에 위치된 비트 라인들(98)의 각자의 비트 라인을 구동할 수 있는 비트 라인 드라이버들, 및 비트 라인들(98)에 전기적으로 접속되고 로직 다이(2000)와 메모리-포함 다이(1000, 1000')의 후속 본딩 시에 메모리 요소들의 3차원 어레이 내의 선택된 메모리 요소들의 상태를 판독하도록 구성된 감지 증폭기 회로부를 포함할 수 있다.
도 20을 참조하면, 로직 다이(2000)의 후면이 임의로 시닝될 수 있다. 로직 다이(2000)의 후면의 시닝은 반도체 기판(2009)의 후면을 그라인딩, 에칭 및/또는 폴리싱함으로써 달성될 수 있다. 시닝 이후의 반도체 기판(2009)의 두께는 30 미크론 내지 200 미크론의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 일 실시예에서, 희생 보호 재료 층이 시닝 동안 로직 유전체 재료 층(2760)의 상단 표면 위에 도포되고 후속하여 제거될 수 있다.
도 21을 참조하면, 메모리 측 구리 패드들(1792)이 위에서 설명된 메모리-포함 다이들(1000, 1000') 중 임의의 것 상에 제공될 수 있다. 위에서 논의된 바와 같이, 메모리 측 구리 패드들(1792)은 라인 레벨 금속 인터커넥트 구조체들(96, 98, 99)에 통합될 수 있거나, 또는 상이한 레벨에 있는 라인 레벨 금속 인터커넥트 구조체들(96, 98, 99) 위에 형성되고 라인 레벨 금속 인터커넥트 구조체들(96, 98, 99)에 전기적으로 접속될 수 있다. 일반적으로, 메모리 유전체 재료 층(1760)은 메모리 요소들의 3차원 어레이 위에 형성된다. 메모리 유전체 재료 층(1760)은 도 17 및 도 18에 도시된 바와 같은 콘택트 레벨 유전체 층들(280, 282) 및 적어도 하나의 상부 인터커넥트 레벨 유전체 층(284), 및 그 위에 형성되는 임의의 부가 유전체 재료 층을 포함할 수 있다.
메모리 유전체 재료 층(1760)에 형성되는 금속 인터커넥트 구조체들(1780)(본 명세서에서 제1 금속 인터커넥트 구조체들이라고 지칭됨)은 라인 레벨 금속 인터커넥트 구조체들(96, 98, 99) 및 다양한 콘택트 비아 구조체들(86, 88, 586, 488)을 포함할 수 있고, 부가의 라인 레벨 구조체들 및/또는 부가의 비아 레벨 구조체들을 포함할 수 있다. 메모리 측 구리 패드들(1792)은 메모리 인터커넥트 구조체들(1780)의 최상부 요소들 상에 형성될 수 있다. 메모리 측 구리 패드들(1792)의 상단 표면들은 메모리 유전체 재료 층(1760)의 상단 표면과 동일 평면에 있을 수 있다.
일반적으로, 본딩 시에 메모리 측 구리 패드(1792)와 로직 측 구리 패드(2792) 사이에 전기적 접속이 이루어질 각각의 위치에서 메모리 측 구리 패드들(1792)의 패턴과 로직 측 구리 패드들(2792)의 패턴의 미러 이미지가 면적 오버랩을 갖도록, 메모리 측 구리 패드들(1792)의 패턴 및 로직 측 구리 패드들(2792)의 패턴이 선택될 수 있다. 일부 실시예들에서, 메모리-포함 다이(1000, 1000')에서의 메모리 측 구리 패드들(1792)의 패턴은 로직 다이(2000)에서의 로직 측 구리 패드들(2792)의 패턴의 미러 이미지일 수 있다.
각각의 메모리 측 구리 패드(1792)의 두께는, 100 nm 내지 500 nm와 같이, 50 nm 내지 1,000 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 각각의 로직 측 구리 패드(2792)의 두께는, 100 nm 내지 500 nm와 같이, 50 nm 내지 1,000 nm의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다. 메모리 측 구리 패드들(1792) 및 로직 측 구리 패드들(2792)은 원형 수평 단면 형상, 직사각형 수평 단면 형상, 타원형 수평 단면 형상, 또는 닫힌 일반 곡선(generally curvilinear) 2차원 기하학적 형상의 임의의 수평 단면 형상을 가질 수 있다. 제1 및 제2 구리 패드들(1792, 2792)의 최대 측방 치수들은, 5 미크론 내지 20 미크론과 같이, 2 미크론 내지 60 미크론의 범위에 있을 수 있지만, 더 작은 최대 측방 치수들 및 더 큰 최대 측방 치수들이 또한 이용될 수 있다.
도 22를 참조하면, 도 21의 메모리 전용 다이(1000') 및 도 20의 로직 다이(2000)는 제1 예시적인 본딩된 조립체(1000', 2000)를 제공하기 위해 구리-대-구리 본딩을 이용하여 본딩될 수 있다. 메모리 측 구리 패드들(1792)이 로직 측 구리 패드들(2792)과 마주하도록 메모리 전용 다이(1000')와 로직 다이(2000)가 위치될 수 있다. 임의로, 본딩 이전에 표면들을 세정하기 위해 메모리 측 구리 패드들(1792) 및 로직 측 구리 패드들(2792)의 표면들에 대해 아르곤 플라스마 처리가 수행될 수 있다. 메모리 전용 다이(1000')에서의 메모리 측 구리 패드들(1792)은 로직 다이(2000)에서의 로직 측 구리 패드들(2792) 바로 위에 배치될 수 있다. 메모리 측 구리 패드들(1792)과 로직 측 구리 패드들(2792)의 매칭 쌍들이 물리적으로 접촉한 채로 있는 동안 메모리 전용 다이(1000') 및 로직 다이(2000)를 어닐링함으로써 구리 상호확산이 유도될 수 있다. 각자의 메모리 측 구리 패드(1792)와 각자의 로직 측 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)이 메모리 전용 다이(1000')와 로직 다이(2000) 사이의 계면에 형성될 수 있다.
임의로, 본딩 단계 이후에 기판(8)의 후면이 시닝될 수 있다. 시닝 이후의 기판(8)의 두께는 30 미크론 내지 100 미크론의 범위에 있을 수 있지만, 더 작은 두께들 및 더 큰 두께들이 또한 이용될 수 있다.
제1 예시적인 본딩된 조립체는 로직 다이(2000) 내의 주변 로직 디바이스에 전기적으로 접속되는 메모리 전용 다이(1000')에 위치된 기능적인 3차원 메모리 디바이스를 포함한다. 그와 같이, 제1 예시적인 본딩된 조립체(1000', 2000)는 다수의 본딩된 패드 쌍들(1792, 2792)을 통해 로직 다이(2000)로부터 메모리 전용 다이(1000')로 제어 신호들을 제공하도록, 그리고 다수의 본딩된 패드 쌍들(1792, 2792)을 통해 메모리 전용 다이(1000')로부터 로직 다이(2000)로 감지 신호들을 제공하도록 구성된다. 각자의 메모리 측 구리 패드(1792)와 각자의 로직 측 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)은 제어 신호들 및 감지 신호들을 위한 양방향 신호 흐름 채널들로서 기능할 수 있다.
일 실시예에서, 메모리 요소들의 3차원 어레이는 수직 NAND 스트링들의 2차원 어레이 내에 위치될 수 있고, 수직 NAND 스트링들의 어레이 내의 각각의 수직 NAND 스트링은 전기 전도성 층들(146, 246)을 포함하는 워드 라인들에 의해 제어되는 전하 저장 요소들 및 단부 부분이 드레인 영역(63)을 통해 각자의 비트 라인(98)에 전기적으로 접속되는 수직 반도체 채널(60)을 포함할 수 있다. 메모리 측 구리 패드들(1792)의 제1 서브세트는 각자의 비트 라인(98)으로 전기적으로 단락될 수 있고, 메모리 측 구리 패드들(1792)의 제2 서브세트는 각자의 워드 라인으로 전기적으로 단락될 수 있다.
도 21에 도시된 일 실시예에서, 메모리 전용 다이(1000')는 수직 NAND 스트링들의 각각의 수직 반도체 채널(60)의 단부와 접촉하는 반도체 재료 층(10), 및 반도체 재료 층(10)으로부터 수직으로 이격되고 수직 반도체 채널들(60)에보다 반도체 재료 층(10)에 더 근접한 금속 인터커넥트 구조체들(780)을 포함할 수 있다. 이 경우에, 금속 인터커넥트 구조체들(780)은 메모리 유전체 재료 층(1760)에 대하여 반도체 재료 층(10)의 반대편 측면 상에 위치된 인터커넥트 레벨 유전체 재료 층(760)에 매립될 수 있다. 환언하면, 인터커넥트 레벨 유전체 재료 층(760)은 반도체 재료 층(10) 아래에 위치될 수 있고 메모리 유전체 재료 층(1760)은 반도체 재료 층(10) 위쪽에 위치될 수 있거나, 그 반대일 수 있다. 따라서, 반도체 재료 층(10)은 인터커넥트 레벨 유전체 재료 층(760)과 메모리 유전체 재료 층(1760) 사이에 위치된다.
전계 효과 트랜지스터들이 기판 반도체 층(9) 상에 형성되지 않는 경우에, 기판 반도체 층(9)은 반도체 재료, 전도성 재료, 또는 절연성 재료를 포함할 수 있는 임의의 캐리어 기판으로 대체될 수 있다. 그러한 캐리어 기판은 그 위에 3차원 메모리 디바이스들을 지지하기 위한 기계적 강도를 제공하기만 하면 된다. 일 실시예에서, 메모리 전용 다이(1000')는, 인터커넥트 레벨 유전체 재료 층(760) 상에 위치될 수 있는, 캐리어 기판을 기판 반도체 층(9) 대신에 포함할 수 있다. 일 실시예에서, 캐리어 기판은 그 내에 또는 그 바로 위에 어떠한 전계 효과 트랜지스터도 포함하지 않는다. 메모리 전용 다이(1000') 내의 3차원 메모리 디바이스에서의 수직 전계 효과 트랜지스터들은 인터커넥트 레벨 유전체 재료 층(760)에 의해 캐리어 기판으로부터 수직으로 이격될 수 있다.
도 23을 참조하면, 적어도 하나의 기판-관통 비아 구조체(2796)는 반도체 기판(2009) 및 로직 유전체 재료 층(2760)을 관통하게 그리고 기판-관통 비아 콘택트 패드들(2794)의 각자의 기판-관통 비아 콘택트 패드의 후면 표면 바로 위에 형성될 수 있다. 후면 절연성 층(도시되지 않음)이 필요에 따라 반도체 기판(8)의 후면 상에 임의로 형성될 수 있다. 적어도 하나의 기판-관통 비아 캐비티는 적합한 개구부들을 내부에 갖는 패터닝된 에칭 마스크 층을 이용하는 이방성 에칭 프로세스에 의해 임의적인 후면 절연성 층, 반도체 기판(2009), 및 로직 유전체 재료 층(2760)을 관통하게 형성될 수 있다. 절연성 라이너 층의 컨포멀 퇴적에 의해 그리고 절연성 라이너 층의 수평 부분들을 제거하는 이방성 에칭에 의해 각각의 기판-관통 비아 캐비티 내에 절연성 라이너가 형성될 수 있다. 적어도 하나의 전도성 재료가 적어도 하나의 기판-관통 비아 캐비티의 각각의 남아 있는 체적에 퇴적될 수 있다. 각자의 절연성 라이너 내에 기판-관통 비아 구조체(2796)를 제공하기 위해 적어도 하나의 전도성 재료의 잉여 부분들이 반도체 기판(2009)의 후면 표면 위쪽으로부터 제거될 수 있다. 본딩 패드(2799)가 반도체 기판(2009)의 후면 상에 형성될 수 있다.
일반적으로, 기판-관통 비아 콘택트 패드(2794)는 로직 유전체 재료 층(2760)에 형성될 수 있다. 기판-관통 비아 콘택트 패드(2794)의 전면 표면은 제1 다이(1000')와 로직 다이(2000)를 본딩할 시에 메모리 전용 다이(1000')(예를 들어, 메모리 유전체 재료 층(1760)의 상단 표면)와 접촉할 수 있다. 반도체 기판(2009) 및 로직 다이(2000)의 로직 유전체 재료 층(2760)을 관통하여 연장되는 기판-관통 비아 구조체(2796)는 기판-관통 비아 콘택트 패드(2796)의 후면 표면 바로 위에 형성될 수 있다. 본딩 패드(2799)는 반도체 기판(2009)의 후면 상에 그리고 기판-관통 비아 구조체(2796) 상에 형성될 수 있다.
도 23에 예시된 구조체에서, 메모리 전용 다이(1000')는 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스, 메모리 요소들의 3차원 어레이 위에 놓인(똑바른 포지션에서) 또는 아래에 놓인(뒤집힌 포지션에서) 제1 유전체 재료 층(즉, 메모리 유전체 재료 층(1760)), 및 제1 유전체 재료 층(1760)에 매립되고 3차원 메모리 디바이스 내의 각자의 노드에 전기적으로 단락되는 제1 구리 패드들(즉, 메모리 측 구리 패드들(1792))을 포함하는 제1 다이이다. 로직 다이(2000)는 반도체 기판(2009), 반도체 기판(2009) 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부, CMOS 디바이스들 위에 놓인(똑바른 포지션에서) 또는 아래에 놓인(뒤집힌 포지션에서) 제2 유전체 재료 층(즉, 로직 유전체 재료 층(2760)), 및 제2 유전체 재료 층(2760)에 매립되고 CMOS 디바이스들 내의 각자의 노드에 전기적으로 단락되는 제2 구리 패드들(즉, 로직 측 구리 패드들(2792))을 포함하는 제2 다이이다. 제1 다이(1000')와 제2 다이(2000) 사이의 계면에 각자의 제1 구리 패드(1792)와 각자의 제2 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)을 제공하기 위해, 구리 상호확산을 통해 제1 구리 패드들(1792)이 제2 구리 패드들(2792)과 본딩된다. 제어 신호들은 다수의 본딩된 쌍들(1792, 2792)을 통해 제2 다이(2000)로부터 제1 다이(1000)로 흐르고, 감지 신호들은 다수의 본딩된 쌍들(1792, 2792)을 통해 제1 다이(1000')로부터 제2 다이(2000)로 흐른다.
CMOS 디바이스들(2710)의 게이트 구조체들(1750)은 메모리-포함 다이(1000, 1000')의 메모리 어레이 영역(100)과 로직 다이(2000)의 채널(2746)에 의해 분리되는 활성 영역들(2730)을 포함하는 반도체 기판(2009) 사이에 위치된다. 환언하면, 로직 다이(2000)의 CMOS 디바이스들(2710)의 게이트 전극들(1754)은 메모리-포함 다이(1000, 1000')의 기판(8)의 상부에 대해 동일한 CMOS 디바이스들(2710)의 소스, 드레인 및 채널 영역들(2730, 2746) 아래에 뒤집혀 위치된다.
도 24를 참조하면, 메모리 측 구리 패드들(1792)의 형성(예를 들어, 도 21의 프로세싱 단계들을 이용하여 형성됨)에 의해 수정되는 바와 같이 도 17의 제1 예시적인 구조체를 포함하는 메모리 및 로직 다이(1000)와 도 20의 제2 예시적인 구조체를 포함하는 로직 다이(2000)를 본딩함으로써 형성될 수 있는, 제1 예시적인 본딩된 조립체의 대안적인 실시예가 예시되어 있다. 이 경우에, 금속 인터커넥트 구조체들(780)은 메모리 유전체 재료 층(1760)에 대하여 반도체 재료 층(10)의 반대편 측면 상에 위치된 인터커넥트 레벨 유전체 재료 층(760)에 매립될 수 있다. 따라서, 반도체 재료 층(10)은 인터커넥트 레벨 유전체 재료 층(760)과 메모리 유전체 재료 층(1760) 사이에 위치된다. 로직 다이(2000)는 반도체 기판(2009)을 포함한다. 메모리 및 로직 다이(1000)는 인터커넥트 레벨 유전체 재료 층(760) 상에 위치된 (기판 반도체 층(9)을 포함할 수 있는) 부가의 반도체 기판을 포함할 수 있다. 부가의 CMOS 디바이스들을 포함하는 부가의 주변 로직 회로부는 부가의 반도체 기판(8) 상에 위치될 수 있고, 메모리 및 로직 다이(1000)에 위치된 3차원 메모리 디바이스의 적어도 하나의 노드에 전기적으로 커플링될 수 있다.
이 실시예에서, 로직 다이(2000)의 주변 로직 회로부는 초저전압(very low voltage; VLV) CMOS 디바이스들(2710)을 포함할 수 있는 반면, 로직 및 메모리 다이(1000)의 주변 로직 회로부는 주변 디바이스 영역(700)에 위치된 저전압(LV) 및 고전압(HV) CMOS 디바이스들(710)을 포함할 수 있다. VLV CMOS 디바이스들(2710)은 LV 및 HV CMOS 디바이스들(710)보다 낮은 전압에서 동작되도록 구성된다. 일 실시예에서, VLV CMOS 디바이스들(2710)의 게이트 유전체(1752)는 LV 및/또는 HV CMOS 디바이스들(710)의 게이트 유전체(752)보다 얇을 수 있다. LV 및/또는 HV CMOS 디바이스들(710)의 게이트 구조체들(750)은 메모리 어레이 영역(100)과 채널(746)에 의해 분리되는 활성 영역들(742)을 포함하는 반도체 기판(8) 사이에 위치된다. VLV 디바이스들(2710)의 게이트 구조체들(1750)은 메모리 어레이 영역(100)과 로직 다이(2000)의 채널(2746)에 의해 분리되는 활성 영역들(2730)을 포함하는 반도체 기판(2009) 사이에 위치된다.
도 24에 예시된 구조체에서, 메모리 및 로직 다이(1000)는 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스, 메모리 요소들의 3차원 어레이 위에 놓인(똑바른 포지션에서) 또는 아래에 놓인(뒤집힌 포지션에서) 제1 유전체 재료 층(즉, 메모리 유전체 재료 층(1760)), 및 제1 유전체 재료 층(1760)에 매립되고 3차원 메모리 디바이스 내의 각자의 노드에 전기적으로 단락되는 제1 구리 패드들(즉, 메모리 측 구리 패드들(1792))을 포함하는 제1 다이이다. 로직 다이(2000)는 반도체 기판(2009), 반도체 기판(2009) 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부, CMOS 디바이스들 위에 놓인(똑바른 포지션에서) 또는 아래에 놓인(뒤집힌 포지션에서) 제2 유전체 재료 층(즉, 로직 유전체 재료 층(2760)), 및 제2 유전체 재료 층(2760)에 매립되고 CMOS 디바이스들 내의 각자의 노드에 전기적으로 단락되는 제2 구리 패드들(즉, 로직 측 구리 패드들(2792))을 포함하는 제2 다이이다. 제1 다이(1000)와 제2 다이(2000) 사이의 계면에 각자의 제1 구리 패드(1792)와 각자의 제2 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)을 제공하기 위해, 구리 상호확산을 통해 제1 구리 패드들(1792)이 제2 구리 패드들(2792)과 본딩된다. 제어 신호들은 다수의 본딩된 쌍들(1792, 2792)을 통해 제2 다이(2000)로부터 제1 다이(1000)로 흐르고, 감지 신호들은 다수의 본딩된 쌍들(1792, 2792)을 통해 제1 다이(1000)로부터 제2 다이(2000)로 흐른다.
도 25를 참조하면, 적어도 하나의 기판-관통 비아 구조체(2796)는 반도체 기판(2009) 및 로직 유전체 재료 층(2760)을 관통하게 그리고 기판-관통 비아 콘택트 패드들(2794)의 각자의 기판-관통 비아 콘택트 패드의 후면 표면 바로 위에 형성될 수 있다. 후면 절연성 층(도시되지 않음)이 필요에 따라 반도체 기판(8)의 후면 상에 임의로 형성될 수 있다. 적어도 하나의 기판-관통 비아 캐비티는 적합한 개구부들을 내부에 갖는 패터닝된 에칭 마스크 층을 이용하는 이방성 에칭 프로세스에 의해 임의적인 후면 절연성 층, 반도체 기판(2009), 및 로직 유전체 재료 층(2760)을 관통하게 형성될 수 있다. 절연성 라이너 층의 컨포멀 퇴적에 의해 그리고 절연성 라이너 층의 수평 부분들을 제거하는 이방성 에칭에 의해 각각의 기판-관통 비아 캐비티 내에 절연성 라이너가 형성될 수 있다. 적어도 하나의 전도성 재료가 적어도 하나의 기판-관통 비아 캐비티의 각각의 남아 있는 체적에 퇴적될 수 있다. 각자의 절연성 라이너 내에 기판-관통 비아 구조체(2796)를 제공하기 위해 적어도 하나의 전도성 재료의 잉여 부분들이 반도체 기판(2009)의 후면 표면 위쪽으로부터 제거될 수 있다. 본딩 패드(2799)가 반도체 기판(2009)의 후면 상에 형성될 수 있다. 본딩 패드들(2799)은, 제1 예시적인 구조체를 세라믹 패키지 또는 라미네이팅된 패키지와 같은 패키지 내에 배치하기 위한 C4 본딩 구조체들 또는 와이어 본딩 구조체들을 포함할 수 있는, 패키징 본드 구조체들을 형성하는 데 이용될 수 있다.
도 26을 참조하면, 본딩 이전의 메모리 전용 다이(3000)와 메모리 및 로직 다이(1000)가 예시되어 있다. 메모리 전용 다이(3000)는 하부 금속 인터커넥트 구조체들(780)의 레벨에 메모리 측 본딩 패드들(1792)을 포함시키는 것에 의해 도 18에 예시된 메모리 전용 다이(1000')로부터 도출될 수 있다. 이 경우에, 도 18의 기판 반도체 층(9) 대신에 임의의 캐리어 기판이 이용될 수 있다. 캐리어 기판은 하부 레벨 유전체 층들(760) 아래로부터 후속하여 제거될 수 있다. 예를 들어, 상단 표면 근처에 얇은 수소 주입된 층 또는 희생 본딩 층을 이용하는 실리콘 기판이 캐리어 기판으로서 이용될 수 있고, 실리콘 기판의 하단 부분은 메모리 전용 다이(1000')의 형성 이후에 어닐링 프로세스 동안 클리빙될(cleaved off) 수 있다. 남아 있는 얇은 실리콘 층 및 하부 레벨 유전체 층들(760)의 하단 부분들은, 습식 에칭 프로세스 및/또는 화학적 기계적 평탄화를 이용할 수 있는, 평탄화 프로세스에 의해 후속하여 제거될 수 있다. 예를 들어, 얇은 실리콘 층은 KOH 용액을 이용하는 습식 에칭 프로세스에 의해 에칭될 수 있고, 하부 레벨 유전체 층들(760)의 하단 부분들은 고온 인산 및/또는 불화수소산을 이용하는 습식 에칭에 의해 제거될 수 있다. 하부 금속 인터커넥트 구조체들(780)의 레벨에 존재하는 메모리 측 본딩 패드들(1792)의 하단 표면들을 물리적으로 노출시키기 위해 터치-업(touch-up) 화학적 기계적 평탄화 프로세스가 이용될 수 있다. 하부 레벨 유전체 층(760)의 남아 있는 부분들은, 메모리 측 본딩 패드들(1792)이 매립되는, 메모리 유전체 재료 층(3760)을 구성한다. 메모리 전용 다이(3000)의 상부 부분은 금속 인터커넥트 구조체들(1780) 및 구리 패드들(1892)을 매립하는 인터커넥트 유전체 재료 층(1860)을 포함할 수 있다. 구리 패드들(1892)은 다른 다이(예시되지 않음)를 메모리 전용 다이(3000)에 본딩하는 데 후속하여 이용될 수 있다. 대안적으로, 구리 패드들(1892)은, 제2 예시적인 구조체를 세라믹 패키지 또는 라미네이팅된 패키지와 같은 패키지 내에 배치하기 위한 C4 본딩 구조체들 또는 와이어 본딩 구조체들을 포함할 수 있는, 패키징 본드 구조체들을 형성하는 데 이용될 수 있다.
(로직 및 메모리 다이(1000)가 영역(700)에 주변 로직 디바이스들(710)을 포함하기 때문에) 메모리 및 로직 다이(1000)의 상단 부분에 있는 유전체 재료가 로직 유전체 재료 층(2760)으로서 사용되고 그 내에 매립된 구리 패드들이 로직 측 구리 패드들(2792)로서 이용되는 것을 제외하고는, 도 26의 메모리 및 로직 다이(1000)는 도 24의 메모리 및 로직 다이(1000)와 동일한 요소들을 포함할 수 있다. 로직 측 구리 패드들(2792)은 메모리 전용 다이(3000)에서 메모리 측 구리 패드들(1792)의 미러 이미지와 매칭하도록 배열될 수 있다.
도 27을 참조하면, 메모리 전용 다이(3000)와 메모리 및 로직 다이(1000)는 제2 예시적인 본딩된 조립체(3000, 1000)를 제공하기 위해 구리-대-구리 본딩을 이용하여 본딩될 수 있다. 메모리 측 구리 패드들(1792)이 로직 측 구리 패드들(2792)과 마주하도록 메모리 전용 다이(3000)와 메모리 및 로직 다이(1000)가 위치될 수 있다. 임의로, 본딩 이전에 표면들을 세정하기 위해 메모리 측 구리 패드들(1792) 및 로직 측 구리 패드들(2792)의 표면들에 대해 아르곤 플라스마 처리가 수행될 수 있다. 메모리 전용 다이(3000)에서의 메모리 측 구리 패드들(1792)은 메모리 및 로직 다이(1000)에서의 로직 측 구리 패드들(2792) 바로 위에 배치될 수 있다. 메모리 측 구리 패드들(1792)과 로직 측 구리 패드들(2792)의 매칭 쌍들이 물리적으로 접촉한 채로 있는 동안 메모리 전용 다이(3000)와 메모리 및 로직 다이(1000)를 어닐링함으로써 구리 상호확산이 유도될 수 있다. 각자의 메모리 측 구리 패드(1792)와 각자의 로직 측 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)이 메모리 전용 다이(3000)와 메모리 및 로직 다이(1000) 사이의 계면에 형성될 수 있다.
제2 예시적인 본딩된 조립체는 메모리 및 로직 다이(1000) 내의 주변 로직 디바이스에 전기적으로 접속되는 메모리 전용 다이(3000)에 위치된 기능적인 3차원 메모리 디바이스를 포함한다. 그와 같이, 제2 예시적인 본딩된 조립체(3000, 1000)는 다수의 본딩된 쌍들(1792, 2792)을 통해 메모리 및 로직 다이(1000)로부터 메모리 전용 다이(3000)로 제어 신호들을 제공하도록, 그리고 다수의 본딩된 쌍들(1792, 2792)을 통해 메모리 전용 다이(3000)로부터 메모리 및 로직 다이(1000)로 감지 신호들을 제공하도록 구성된다. 각자의 메모리 측 구리 패드(1792)와 각자의 로직 측 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)은 제어 신호들 및 감지 신호들을 위한 양방향 신호 흐름 채널들로서 기능할 수 있다.
일 실시예에서, 메모리 요소들의 3차원 어레이는 수직 NAND 스트링들의 2차원 어레이 내에 위치될 수 있고, 수직 NAND 스트링들의 어레이 내의 각각의 수직 NAND 스트링은 전기 전도성 층들(146, 246)을 포함하는 워드 라인들에 의해 제어되는 전하 저장 요소들 및 단부 부분이 각자의 비트 라인(98)에 접속되는 수직 반도체 채널(60)을 포함할 수 있다. 메모리 측 구리 패드들(1792)의 제1 서브세트는 각자의 비트 라인(98)으로 전기적으로 단락될 수 있고, 메모리 측 구리 패드들(1792)의 제2 서브세트는 각자의 워드 라인으로 전기적으로 단락될 수 있다.
제2 예시적인 본딩된 조립체에서, 메모리 전용 다이(3000)는 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스, 메모리 요소들의 3차원 어레이 위에 놓인(뒤집힌 포지션에서) 또는 아래에 놓인(똑바른 포지션에서) 제1 유전체 재료 층(즉, 메모리 유전체 재료 층(3760)), 및 제1 유전체 재료 층(3760)에 매립되고 3차원 메모리 디바이스 내의 각자의 노드에 전기적으로 단락되는 제1 구리 패드들(즉, 메모리 측 구리 패드들(1792))을 포함하는 제1 다이이다. 메모리 및 로직 다이(1000)는 반도체 기판(8), 반도체 기판(8) 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들(710)을 포함하는 주변 로직 회로부, CMOS 디바이스들 위에 놓인(똑바른 포지션에서) 또는 아래에 놓인(뒤집힌 포지션에서) 제2 유전체 재료 층(즉, 로직 유전체 재료 층(2760)), 및 제2 유전체 재료 층(2760)에 매립되고 CMOS 디바이스들 내의 각자의 노드에 전기적으로 단락되는 제2 구리 패드들(즉, 로직 측 구리 패드들(2792))을 포함하는 제2 다이이다. 제1 다이(3000)와 제2 다이(2000) 사이의 계면에 각자의 제1 구리 패드(1792)와 각자의 제2 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)을 제공하기 위해, 구리 상호확산을 통해 제1 구리 패드들(1792)이 제2 구리 패드들(2792)과 본딩된다. 제어 신호들은 다수의 본딩된 쌍들(1792, 2792)을 통해 제2 다이(2000)로부터 제1 다이(3000)로 흐르고, 감지 신호들은 다수의 본딩된 쌍들(1792, 2792)을 통해 제1 다이(3000)로부터 제2 다이(2000)로 흐른다.
일 실시예에서, 제2 다이(즉, 메모리 및 로직 칩(1000))는 부가의 메모리 요소들의 3차원 어레이를 포함하는 부가의 3차원 메모리 디바이스를 추가로 포함한다. 부가의 메모리 요소들의 3차원 어레이는 부가의 수직 NAND 스트링들의 2차원 어레이 내에 위치된다. 부가의 수직 NAND 스트링들은 부가의 워드 라인들 및 부가의 비트 라인들에 의해 제어되는 (전기 전도성 층들(146, 246)의 레벨들에 위치된 전하 저장 층들(54)의 부분들과 같은) 전하 저장 요소들을 포함한다. 반도체 기판(8) 상에 위치된 CMOS 디바이스들은 메모리 및 로직 칩(1000)에서의 부가의 워드 라인들에 대한 드라이버 회로 및 부가의 비트 라인들에 대한 드라이버 회로는 물론, 메모리 전용 칩(3000)에서의 워드 라인들에 대한 드라이버 회로 및 비트 라인들에 대한 드라이버 회로를 포함한다.
일부 실시예에서, 각자의 제1 구리 패드(1792)와 각자의 제2 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)은 제1 다이(3000)에서의 각자의 워드 라인과 제2 다이(1000)에서의 각자의 워드 라인 사이의 전기적 접속을 제공하는 다수의 본딩된 쌍들(1792, 2792)의 제1 서브세트, 및 제1 다이(3000)에서의 각자의 비트 라인(98)과 제2 다이(1000)에서의 각자의 비트 라인(98) 사이에 전기적 접속을 제공하는 다수의 본딩된 쌍들(1792, 2792)의 제2 서브세트를 포함할 수 있다.
일 실시예에서, 제1 다이(3000)에서의 수직 NAND 스트링들의 2차원 어레이가 제1 다이(3000)와 제2 다이(1000) 사이의 계면에 가까운 쪽에 있는 것보다 제1 다이(3000)에서의 반도체 재료 층(10)이 제1 다이(3000)와 제2 다이(1000) 사이의 계면에 더 가까운 쪽에 있다. 제1 다이에서의 3차원 메모리 디바이스는 제1 다이(3000)와 제2 다이(1000) 사이의 계면에 가까운 쪽에 있는 근위 단부 표면들(86P) 및 제1 다이(3000)와 제2 다이(1000) 사이의 계면으로부터 먼쪽에 있는 원위 단부 표면들(86D)을 포함하는 워드 라인 콘택트 비아 구조체들(86)을 포함한다. 워드 라인 콘택트 비아 구조체들(86)의 원위 단부 표면들(86D)은 동일한 수평 평면 내에 위치될 수 있고, 제1 다이(3000)와 제2 다이(1000) 사이의 계면으로부터 등거리에 있을 수 있다.
도 28을 참조하면, 제2 예시적인 본딩된 조립체의 대안적인 실시예는 메모리 전용 다이(3000)의 상부 부분에 있는 인터커넥트 유전체 재료 층(1860)과 구리 패드들(1892)의 조합 대신에 메모리 측 구리 패드들(1792) 및 메모리 유전체 재료 층(1760)을 제공하도록 도 27에 예시된 메모리 전용 다이(3000)를 수정함으로써 형성될 수 있다. 구리 패드들(1892)은 다른 다이(예시되지 않음)를 메모리 전용 다이(3000)에 본딩하는 데 후속하여 이용될 수 있다. 메모리 전용 다이(3000)의 하단 부분은 도 18에 예시된 바와 같이 하부 레벨 유전체 층(760)에 매립되는 하부 금속 인터커넥트 구조체들(780)을 포함할 수 있다. 메모리 전용 다이(3000)의 경우에서와 같이, 제2 예시적인 구조체의 대안적인 실시예를 세라믹 패키지 또는 라미네이팅된 패키지와 같은 패키지 내에 배치하기 위해 C4 본딩 구조체들 또는 와이어 본딩 구조체들과 같은 패키징 본드 구조체들을 형성하는 데 이용될 수 있는 본딩 패드들을 포함할 수 있는, 하부 금속 인터커넥트 구조체들(780)의 표면들을 물리적으로 노출시키기 위해 캐리어 기판 및 하부 레벨 유전체 층(760)의 하단 부분이 제거될 수 있다.
메모리 전용 다이(3000)가 메모리 및 로직 다이(1000)와 마주하도록 거꾸로 플리핑될 수 있다. 메모리 전용 다이(3000)와 메모리 및 로직 다이(1000)는 제2 예시적인 본딩된 조립체(3000, 1000)를 제공하기 위해 구리-대-구리 본딩을 이용하여 본딩될 수 있다. 메모리 측 구리 패드들(1792)이 로직 측 구리 패드들(2792)과 마주하도록 메모리 전용 다이(3000)와 메모리 및 로직 다이(1000)가 위치될 수 있다. 임의로, 본딩 이전에 표면들을 세정하기 위해 메모리 측 구리 패드들(1792) 및 로직 측 구리 패드들(2792)의 표면들에 대해 아르곤 플라스마 처리가 수행될 수 있다. 메모리 전용 다이(3000)에서의 메모리 측 구리 패드들(1792)은 메모리 및 로직 다이(1000)에서의 로직 측 구리 패드들(2792) 바로 위에 배치될 수 있다. 메모리 측 구리 패드들(1792)과 로직 측 구리 패드들(2792)의 매칭 쌍들이 물리적으로 접촉한 채로 있는 동안 메모리 전용 다이(3000)와 메모리 및 로직 다이(1000)를 어닐링함으로써 구리 상호확산이 유도될 수 있다. 각자의 메모리 측 구리 패드(1792)와 각자의 로직 측 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)이 메모리 전용 다이(3000)와 메모리 및 로직 다이(1000) 사이의 계면에 형성될 수 있다.
제2 예시적인 본딩된 조립체는 메모리 및 로직 다이(1000) 내의 주변 로직 디바이스에 전기적으로 접속되는 메모리 전용 다이(3000)에 위치된 기능적인 3차원 메모리 디바이스를 포함한다. 그와 같이, 제2 예시적인 본딩된 조립체(3000, 1000)는 다수의 본딩된 쌍들(1792, 2792)을 통해 메모리 및 로직 다이(1000)로부터 메모리 전용 다이(3000)로 제어 신호들을 제공하도록, 그리고 다수의 본딩된 쌍들(1792, 2792)을 통해 메모리 전용 다이(3000)로부터 메모리 및 로직 다이(1000)로 감지 신호들을 제공하도록 구성된다. 각자의 메모리 측 구리 패드(1792)와 각자의 로직 측 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)은 제어 신호들 및 감지 신호들을 위한 양방향 신호 흐름 채널들로서 기능할 수 있다.
도 28에 예시된 구성에서, 제1 다이(즉, 메모리 전용 다이(3000))에서의 수직 NAND 스트링들의 2차원 어레이가 제1 다이(3000)와 제2 다이(즉, 메모리 및 로직 다이(1000)) 사이의 계면으로부터 먼 쪽에 있는 것보다 제1 다이(3000)에서의 반도체 재료 층(10)이 제1 다이(3000)와 제2 다이(1000) 사이의 계면으로부터 더 먼 쪽에 있다. 제1 다이(3000)에서의 3차원 메모리 디바이스는 제1 다이(3000)와 제2 다이(1000) 사이의 계면에 가까운 쪽에 있는 근위 단부 표면들(86P) 및 제1 다이(3000)와 제2 다이(1000) 사이의 계면으로부터 먼쪽에 있는 원위 단부 표면들(86D)을 포함하는 워드 라인 콘택트 비아 구조체들(86)을 포함한다. 워드 라인 콘택트 비아 구조체들(86)의 근위 단부 표면들(86P)은 동일한 수평 평면 내에 위치될 수 있고, 제1 다이(3000)와 제2 다이(1000) 사이의 계면으로부터 등거리에 있을 수 있다.
도 29를 참조하면, 제3 예시적인 본딩된 조립체(2000, 1000', 3000)가 예시되어 있다. 이 경우에, 도 21의 메모리 전용 다이(1000')에서의 하부 레벨 유전체 층들(760)은 하부 금속 인터커넥트 구조체들(780) 대신에 또는 그에 부가하여 부가의 로직 측 구리 패드들(4792)을 매립하도록 수정될 수 있다. 하부 금속 인터커넥트 구조체들(780) 대신에 또는 그에 부가하여 로직 측 구리 패드들(4792)의 존재라는 수정을 갖는 도 23에 예시된 제1 예시적인 본딩된 조립체(2000, 1000')를 제공하기 위해, 수정된 메모리 전용 다이(1000')가 도 20에 예시된 로직 다이(2000)와 본딩된다.
구체적으로는, 도 23의 제1 예시적인 본딩된 조립체(2000, 1000')에서의 기판 반도체 층(9)이 하부 레벨 유전체 층들(760)의 하단 표면을 물리적으로 노출시키기 위해 제거될 수 있다. 예를 들어, 상단 표면 근처에 얇은 수소 주입된 층 또는 희생 본딩 층을 이용하는 실리콘 기판이 기판 반도체 층(9)으로서 이용될 수 있고, 실리콘 기판의 하단 부분은 메모리 전용 다이(1000')와 로직 다이(2000)의 본딩 이전에 또는 그 이후에 어닐링 프로세스 동안 클리빙될 수 있다. 남아 있는 얇은 실리콘 층 및 하부 레벨 유전체 층들(760)의 하단 부분들은, 습식 에칭 프로세스 및/또는 화학적 기계적 평탄화를 이용할 수 있는, 평탄화 프로세스에 의해 후속하여 제거될 수 있다. 예를 들어, 얇은 실리콘 층은 KOH 용액을 이용하는 습식 에칭 프로세스에 의해 에칭될 수 있고, 하부 레벨 유전체 층들(760)의 하단 부분들은 고온 인산 및/또는 불화수소산을 이용하는 습식 에칭에 의해 제거될 수 있다. 하부 금속 인터커넥트 구조체들(780)의 레벨에 존재하는 로직 측 본딩 패드들(4792)의 하단 표면들을 물리적으로 노출시키기 위해 터치-업 화학적 기계적 평탄화 프로세스가 이용될 수 있다. 하부 레벨 유전체 층(760)의 남아 있는 부분들은 로직 측 본딩 패드들(4792)을 매립하는 로직 유전체 재료 층으로서 기능한다.
제3 다이인 메모리 전용 다이(3000)가 제공된다. 메모리 유전체 재료 층(3760)이 메모리 전용 다이(1000')에 위치된 로직 측 구리 패드들(4792)과 메이팅하도록 구성된 메모리 측 구리 패드들(5792)을 매립한다는 수정 내에서 메모리 전용 다이(3000)는 도 26의 메모리 전용 다이(3000)와 동일할 수 있다.
제3 예시적인 본딩된 조립체(2000, 1000', 3000)를 제공하기 위해, 제1 예시적인 본딩된 조립체(2000, 1000')와 메모리 전용 다이(3000)가 구리-대-구리 본딩을 이용하여 본딩될 수 있다. 메모리 측 구리 패드들(5792)이 로직 측 구리 패드들(4792)과 마주하도록 제1 예시적인 본딩된 조립체(2000, 1000')와 메모리 전용 다이(3000)가 위치될 수 있다. 임의로, 본딩 이전에 표면들을 세정하기 위해 메모리 측 구리 패드들(5792) 및 로직 측 구리 패드들(4792)의 표면들에 대해 아르곤 플라스마 처리가 수행될 수 있다. 제1 예시적인 본딩된 조립체(2000, 1000')에서의 메모리 측 구리 패드들(5792)은 메모리 전용 다이(3000)에서의 로직 측 구리 패드들(4792) 바로 위에 배치될 수 있다. 메모리 측 구리 패드들(5792)과 로직 측 구리 패드들(4792)의 매칭 쌍들이 물리적으로 접촉한 채로 있는 동안 제1 예시적인 본딩된 조립체(2000, 1000') 및 메모리 전용 다이(3000)를 어닐링함으로써 구리 상호확산이 유도될 수 있다. 각자의 메모리 측 구리 패드(5792)와 각자의 로직 측 구리 패드(4792)의 다수의 본딩된 쌍들(5792, 4792)이 제1 예시적인 본딩된 조립체(2000, 1000')와 메모리 전용 다이(3000) 사이의 계면에 형성될 수 있다. 제1 다이(1000'), 제2 다이(2000), 및 제3 다이(3000)의 제3 예시적인 본딩된 조립체(2000, 1000', 3000)는 각자의 메모리 측 구리 패드(1792)와 각자의 로직 측 구리 패드(2792)의 본딩된 쌍들(1792, 2792)의 제1 세트 및 각자의 메모리 측 구리 패드(5792)와 각자의 로직 측 구리 패드(4792)의 본딩된 쌍들(5792, 4792)의 제2 세트에 의해 제공되는 수직 상호접속부들을 포함한다.
도 29에 예시된 구조체에서, 메모리 전용 다이(1000')는 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스, 메모리 요소들의 3차원 어레이 위에 놓인(똑바른 포지션에서) 또는 아래에 놓인(뒤집힌 포지션에서) 제1 유전체 재료 층(즉, 메모리 유전체 재료 층(1760)), 및 제1 유전체 재료 층(1760)에 매립되고 3차원 메모리 디바이스 내의 각자의 노드에 전기적으로 단락되는 제1 구리 패드들(즉, 메모리 측 구리 패드들(1792))을 포함하는 제1 다이이다. 로직 다이(2000)는 반도체 기판(2009), 반도체 기판(2009) 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부, CMOS 디바이스들 위에 놓인(똑바른 포지션에서) 또는 아래에 놓인(뒤집힌 포지션에서) 제2 유전체 재료 층(즉, 로직 유전체 재료 층(2760)), 및 제2 유전체 재료 층(2760)에 매립되고 CMOS 디바이스들 내의 각자의 노드에 전기적으로 단락되는 제2 구리 패드들(즉, 로직 측 구리 패드들(2792))을 포함하는 제2 다이이다. 제1 다이(1000')와 제2 다이(2000) 사이의 계면에 각자의 제1 구리 패드(1792)와 각자의 제2 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)을 제공하기 위해, 구리 상호확산을 통해 제1 구리 패드들(1792)이 제2 구리 패드들(2792)과 본딩된다. 제어 신호들은 다수의 본딩된 쌍들(1792, 2792)을 통해 제2 다이(2000)로부터 제1 다이(1000)로 흐르고, 감지 신호들은 다수의 본딩된 쌍들(1792, 2792)을 통해 제1 다이(1000')로부터 제2 다이(2000)로 흐른다.
제1 다이, 즉 메모리 전용 다이(1000')는 제1 다이(1000')에서의 반도체 재료 층(10)에 대하여 제1 구리 패드들, 즉 메모리 측 구리 패드들(1792)의 반대편 측면 상에 위치된 제3 구리 패드들, 즉 로직 측 구리 패드들(4792)을 포함한다. 제3 다이, 즉, 메모리 전용 다이(3000)는 부가의 메모리 요소들의 3차원 어레이를 포함하는 부가의 3차원 메모리 디바이스 및 부가의 3차원 메모리 디바이스의 각자의 노드에 접속되는 제4 구리 패드들, 즉 메모리 측 구리 패드들(5792)을 포함한다. 제1 다이(1000')와 제3 다이(3000) 사이의 계면에 각자의 제3 구리 패드(4792)와 각자의 제4 구리 패드(5792)의 부가의 다수의 본딩된 쌍들(4792, 5792)을 제공하기 위해, 구리 상호확산을 통해 제3 구리 패드들(4792)이 제4 구리 패드들(5792)과 본딩된다.
일 실시예에서, 부가의 메모리 요소들의 3차원 어레이는 제3 다이(3000)에서의 부가의 수직 NAND 스트링들의 2차원 어레이 내에 위치되고, 수직 NAND 스트링들의 어레이 내의 각각의 부가의 수직 NAND 스트링은 부가의 워드 라인들에 의해 제어되는 각자의 전하 저장 요소들 및 단부 부분이 제3 다이(3000)에서의 부가의 비트 라인들(98) 중 하나에 접속되는 각자의 수직 반도체 채널(60)을 포함한다. 제2 다이(2000)의 반도체 기판(2009) 상에 위치된 CMOS 디바이스들(710)을 포함하는 주변 로직 회로부는 제3 다이(3000)에서의 부가의 워드 라인들에 대한 드라이버 회로 및 부가의 비트 라인들(98)에 대한 드라이버 회로를 포함한다.
도 30a 내지 도 30f는 본 개시내용의 실시예에 따른, 2개의 다이의 본딩 동안 예시적인 구조체의 순차적인 수직 단면도들이다.
도 30a를 참조하면, 메모리 측 구리 패드들(1792)일 수 있는 제1 구리 패드들(1792)을 포함하는 제1 다이 또는 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000'))가 제공된다. 로직 측 구리 패드들(2792)일 수 있는 제2 구리 패드들(2792)을 포함하는 제2 다이 또는 제2 본딩된 조립체(1000, 2000)가 제공된다.
도 30b를 참조하면, 메모리 측 구리 패드들(1792)이 로직 측 구리 패드들(2792)과 마주하도록, 제1 다이 또는 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000'))와 제2 다이 또는 제2 본딩된 조립체(1000, 2000)가 위치될 수 있다.
도 30c를 참조하면, 제1 다이 또는 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000'))와 제2 다이 또는 제2 본딩된 조립체(1000, 2000)를 서로 마주하게 배치한 후에 또는 그 이전에, 메모리 측 구리 패드들(1792) 및 로직 측 구리 패드들(2792)의 물리적으로 노출된 표면들을 세정하기 위해, 메모리 측 구리 패드들(1792) 및 로직 측 구리 패드들(2792)의 표면들에 대해 아르곤 플라스마 처리가 수행될 수 있다.
도 30d를 참조하면, 제1 다이 또는 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000'))의 메모리 측 구리 패드들(1792)은 제2 다이 또는 제2 본딩된 조립체(2000, 3000)에서의 로직 측 구리 패드들(2792) 바로 위에 배치될 수 있다. 메모리 측 구리 패드들(1792)과 로직 측 구리 패드들(2792)의 매칭 쌍들이 물리적으로 접촉한 채로 있는 동안 메모리 전용 다이(1000') 또는 로직 다이(2000)와 접촉하고 있는 메모리 및 로직 다이(1000)를 어닐링함으로써 구리 상호확산이 유도될 수 있다. 열 어닐링의 온도는 250 ℃ 내지 400 ℃의 범위에 있을 수 있지만, 더 낮은 온도들 및 더 높은 온도들이 또한 이용될 수 있다. 각자의 메모리 측 구리 패드(1792)와 각자의 로직 측 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)이 제1 다이 또는 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000'))와 제2 다이 또는 제2 본딩된 조립체(2000, 3000) 사이의 계면에 형성될 수 있다.
도 30e를 참조하면, 제1 다이 또는 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000')) 및 제2 다이 또는 제2 본딩된 조립체(1000, 2000) 중 하나 또는 둘 다는 필요에 따라 시닝될 수 있다.
도 30f를 참조하면, 제2 예시적인 구조체를 세라믹 패키지 또는 라미네이팅된 패키지와 같은 패키지 내에 배치하기 위한 C4 본딩 구조체들 또는 와이어 본딩 구조체들을 포함할 수 있는, 기판-관통 비아 구조체들(2796) 및 본딩 패드들(2799)을 형성하기 위해, 도 23 또는 도 25의 프로세싱 단계들이 수행될 수 있다. 따라서, 도 30a 내지 도 30f의 프로세싱 단계들에 의해 형성되는 본딩된 조립체는 C4 본딩 방법들 또는 와이어 본딩 방법들을 이용하여 단일 세트의 리드 프레임들을 포함하는 단일 반도체 패키지 내에 패키징될 수 있다.
도 31a 내지 도 31d는 본 개시내용의 다양한 실시예들에 따른, 제1 다이 또는 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000'))와 제2 다이 또는 제2 본딩된 조립체(1000, 2000)의 본딩된 조립체에서의 면적 오버랩의 다양한 구성들을 예시한다. 제1 다이 또는 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000'))와 제2 다이 또는 제2 본딩된 조립체(1000, 2000)는 도 31a에 예시된 바와 같이 실질적으로 동일한 면적들을 가질 수 있거나, 또는 도 31b에 예시된 바와 같이 상이한 면적들을 가질 수 있다. 도 31a 또는 도 31b에 예시된 바와 같이 단일 제1 다이 또는 단일 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000'))가 단일 제2 다이 또는 단일 제2 본딩된 조립체(1000, 2000)에 본딩될 수 있다. 대안적으로, 도 31c에 예시된 바와 같이 단일 제1 다이 또는 단일 제1 본딩된 조립체{1000, 1000', 3000, 또는 (2000, 1000'))가 다수의 제2 다이들 또는 다수의 제2 본딩된 조립체들(2000, 3000)에 본딩될 수 있다. 그러나 대안적으로, 도 31d에 예시된 바와 같이 다수의 제1 다이들 또는 다수의 제1 본딩된 조립체들{1000, 1000', 3000, 또는 (2000, 1000'))이 단일 제2 다이 또는 단일 제2 본딩된 조립체(1000, 2000)에 본딩될 수 있다.
대안적인 실시예에서, 다이들을 다이 조립체에 본딩하기 위해 구리 패드 본딩 대신에 별도의 본딩 층 또는 직접 다이 대 다이 접촉(direct die to die contact)이 사용될 수 있다. 따라서, 본 개시내용의 실시예는 구리 패드 대 구리 패드 확산 본딩(copper pad to copper pad diffusion bonding)으로 제한되지 않는다.
본 개시내용의 다양한 실시예들에 따르면 그리고 본 개시내용의 모든 도면들을 참조하면, 반도체 구조체가 제공되고, 이 반도체 구조체는: 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스, 메모리 요소들의 3차원 어레이 위에 놓인 또는 아래에 놓인 제1 유전체 재료 층(1760, 3760), 및 제1 유전체 재료 층에 매립되고 3차원 메모리 디바이스 내의 각자의 노드에 전기적으로 단락되는 제1 구리 패드들(1792)을 포함하는 제1 다이(1000, 1000', 3000); 및 반도체 기판(2009), 반도체 기판(2009) 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부, CMOS 디바이스들(2710) 위에 놓인 또는 아래에 놓인 제2 유전체 재료 층(2760), 및 제2 유전체 재료 층(2760)에 매립되고 CMOS 디바이스들 내의 각자의 노드에 전기적으로 단락되는 제2 구리 패드들(2792)을 포함하는 제2 다이(2000)를 포함한다.
제1 다이(1000, 1000', 3000)와 제2 다이(2000) 사이의 계면에 각자의 제1 구리 패드(1792)와 각자의 제2 구리 패드(2792)의 다수의 본딩된 쌍들(1792, 2792)을 제공하기 위해, 구리 상호확산을 통해 제1 구리 패드들(1792)이 제2 구리 패드들(2792)과 본딩된다. 제어 신호들이 다수의 본딩된 쌍들(1792, 2792)을 통해 제2 다이(2000)로부터 제1 다이(1000, 1000', 3000)로 흐른다. 감지 신호들이 다수의 본딩된 쌍들(1792, 2792)을 통해 제1 다이(1000, 1000', 3000)로부터 제2 다이(2000)로 흐른다.
일 실시예에서, 3차원 메모리 디바이스는 메모리 요소들의 3차원 어레이 내의 메모리 요소들에 개별적으로 액세스하기 위한 전기 전도성 층들(146, 246)을 포함하는 워드 라인들 및 비트 라인들(98)을 포함한다. CMOS 디바이스들(710)은 워드 라인들의 각자의 워드 라인을 구동하는 워드 라인 드라이버들, 비트 라인들(98)의 각자의 비트 라인을 구동하는 비트 라인 드라이버들, 및 비트 라인들(98)에 전기적으로 접속되고 메모리 요소들의 3차원 어레이 내의 선택된 메모리 요소들의 상태를 판독하도록 구성된 감지 증폭기 회로부를 포함한다.
반도체 구조체는: 제2 다이(2000)의 반도체 기판(2009) 및 제2 유전체 재료 층(2009)을 관통하여 연장되는 기판-관통 비아 구조체(2796); 제2 유전체 재료 층(2760)에 매립되고, 기판-관통 비아 구조체(2796)와 접촉하며, 제1 다이(1000, 1000', 3000)와 제2 다이(2000) 사이의 계면의 평면 내에 수평 표면을 갖는 기판-관통 비아 콘택트 패드(2794); 및 반도체 기판(2009) 상에 위치되고 기판-관통 비아 구조체(2796)와 접촉하는 본딩 패드(2799)를 추가로 포함할 수 있다.
본 개시내용의 다양한 실시예들은 단일 반도체 패키지에 통합하기 위한 메모리 다이와 로직 다이의 적층을 제공한다. 따라서, 구리-대-구리 본딩을 통해 본딩되는 다수의 다이들을 패키징하기 위해 단일 세트의 C4 볼들 또는 단일 세트의 본딩 와이어들이 이용될 수 있으며, 그에 의해 패키징 비용을 감소시키고 메모리 다이와 로직 다이 사이의 데이터 전송 속도를 증가시킨다. 게다가, 메모리-포함 다이 및 로직 다이를 개별적으로 제조하는 것에 의해 그리고 다이들을 제조한 후에 다이들을 함께 본딩하는 것에 의해 CMOS 디바이스들에 대한 열 사이클링이 감소될 수 있다.
전술한 내용이 특정의 실시예들을 언급하고 있지만, 본 개시내용이 그렇게 제한되지 않는다는 것이 이해될 것이다. 본 기술분야의 통상의 기술자라면 개시된 실시예들에 대해 다양한 수정들이 행해질 수 있다는 것과 그러한 수정들이 본 개시내용의 범위 내에 있는 것으로 의도되어 있다는 것을 알 것이다. 서로의 대안들이 아닌 모든 실시예들 간에 호환성이 추정된다. 단어 "포함한다(comprise)" 또는 "포함한다(include)"는, 달리 명시적으로 언급되지 않는 한, 단어 "~로 본질적으로 이루어져 있다(consist essentially of)" 또는 단어 "~로 이루어져 있다(consists of)"가 단어 "포함한다" 또는 "포함한다"를 대체하는 모든 실시예들을 고려한다. 특정의 구조 및/또는 구성을 이용하는 실시예가 본 개시내용에 예시되어 있지만, 그러한 치환들이 명시적으로 금지되지 않거나 본 기술분야의 통상의 기술자에게 불가능한 것으로 다른 방식으로 알려져 있지 않기만 하다면, 본 개시내용이 기능상 동등한 임의의 다른 호환가능 구조들 및/또는 구성들로 실시될 수 있다는 것이 이해된다. 본 명세서에서 인용되는 간행물들, 특허 출원들 및 특허들 전부는 그 전체가 참고로 본 명세서에 포함된다.

Claims (12)

  1. 반도체 구조체로서,
    메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스, 상기 메모리 요소들의 3차원 어레이 위에 놓인 또는 아래에 놓인 제1 유전체 재료 층, 및 상기 제1 유전체 재료 층에 매립되고 상기 3차원 메모리 디바이스 내의 각자의 노드에 전기적으로 접속되는 제1 패드들을 포함하는 제1 다이; 및
    반도체 기판, 상기 반도체 기판 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부, 상기 CMOS 디바이스들 위에 놓인 또는 아래에 놓인 제2 유전체 재료 층, 및 상기 제2 유전체 재료 층에 매립되고 상기 CMOS 디바이스들 내의 각자의 노드에 전기적으로 접속되는 제2 패드들을 포함하는 제2 다이
    를 포함하며,
    상기 제1 다이와 상기 제2 다이 사이의 계면에 각자의 제1 패드와 각자의 제2 패드의 다수의 본딩된 쌍들을 제공하기 위해, 상기 제1 패드들이 상기 제2 패드들과 본딩되는, 반도체 구조체.
  2. 제1항에 있어서,
    상기 3차원 메모리 디바이스는 상기 메모리 요소들의 3차원 어레이 내의 상기 메모리 요소들에 개별적으로 액세스하기 위한 워드 라인들 및 비트 라인들을 포함하고;
    상기 CMOS 디바이스들은:
    상기 워드 라인들의 각자의 워드 라인을 구동하는 워드 라인 드라이버들;
    상기 비트 라인들의 각자의 비트 라인을 구동하는 비트 라인 드라이버들; 및
    상기 비트 라인들에 전기적으로 접속되고 상기 메모리 요소들의 3차원 어레이 내의 선택된 메모리 요소들의 상태를 판독하도록 구성된 감지 증폭기 회로부를 포함하는, 반도체 구조체.
  3. 제1항에 있어서,
    상기 메모리 요소들의 3차원 어레이는 수직 NAND 스트링들의 2차원 어레이 내에 위치되고;
    상기 수직 NAND 스트링들의 어레이 내의 각각의 수직 NAND 스트링은 워드 라인들에 의해 제어되는 전하 저장 요소들 및 단부 부분이 각자의 비트 라인에 접속되는 수직 반도체 채널을 포함하며;
    상기 제1 패드들의 제1 서브세트는 각자의 비트 라인에 전기적으로 접속되고;
    상기 제1 패드들의 제2 서브세트는 각자의 워드 라인에 전기적으로 접속되는, 반도체 구조체.
  4. 제3항에 있어서, 상기 제1 다이는:
    상기 수직 NAND 스트링들의 각각의 수직 반도체 채널의 단부에 접촉하는 반도체 재료 층; 및
    상기 반도체 재료 층으로부터 수직으로 이격되고, 상기 수직 반도체 채널들에보다 상기 반도체 재료 층에 더 가까운 쪽에 있는 금속 인터커넥트 구조체들을 포함하는, 반도체 구조체.
  5. 제4항에 있어서,
    상기 금속 인터커넥트 구조체들은 상기 제1 유전체 재료 층에 대하여 상기 반도체 재료 층의 반대편 측면 상에 위치된 인터커넥트 레벨 유전체 재료 층에 매립되고;
    상기 제1 다이는 상기 인터커넥트 레벨 유전체 재료 층과 접촉하여 위치된 부가의 반도체 기판을 더 포함하며;
    부가의 CMOS 디바이스들을 포함하는 부가의 주변 로직 회로부는 상기 부가의 반도체 기판 상에 위치되고, 상기 3차원 메모리 디바이스의 적어도 하나의 노드에 전기적으로 커플링되는, 반도체 구조체.
  6. 제5항에 있어서, 상기 부가의 CMOS 디바이스들은 상기 제2 다이의 상기 CMOS 디바이스들보다 높은 전압에서 동작하도록 구성되는, 반도체 구조체.
  7. 제4항에 있어서,
    상기 제2 다이는 부가의 메모리 요소들의 3차원 어레이를 포함하는 부가의 3차원 메모리 디바이스를 더 포함하고;
    상기 부가의 메모리 요소들의 3차원 어레이는 부가의 수직 NAND 스트링들의 2차원 어레이 내에 위치되며;
    상기 부가의 수직 NAND 스트링들은 부가의 워드 라인들 및 부가의 비트 라인들에 의해 제어되는 전하 저장 요소들을 포함하고;
    상기 반도체 기판 상에 위치된 상기 CMOS 디바이스들은 상기 부가의 워드 라인들에 대한 드라이버 회로 및 상기 부가의 비트 라인들에 대한 드라이버 회로를 포함하는, 반도체 구조체.
  8. 제4항에 있어서,
    상기 제1 다이는 상기 제1 다이 내의 상기 반도체 재료 층에 대하여 상기 제1 패드들의 반대편 측면 상에 위치된 제3 패드들을 더 포함하고;
    상기 반도체 디바이스는 부가의 메모리 요소들의 3차원 어레이를 포함하는 부가의 3차원 메모리 디바이스 및 상기 부가의 3차원 메모리 디바이스의 각자의 노드에 접속되는 제4 패드들을 포함하는 제3 다이를 더 포함하며;
    상기 제1 다이와 상기 제3 다이 사이의 계면에 각자의 제3 패드와 각자의 제4 패드의 부가의 다수의 본딩된 쌍들을 제공하기 위해, 상기 제3 패드들이 상기 제4 패드들과 본딩되는, 반도체 구조체.
  9. 제1항에 있어서,
    상기 제2 다이의 상기 반도체 기판 및 상기 제2 유전체 재료 층을 관통하여 연장되는 기판-관통 비아 구조체;
    상기 제2 유전체 재료 층에 매립되고, 상기 기판-관통 비아 구조체에 접촉하며, 상기 제1 다이와 상기 제2 다이 사이의 상기 계면의 평면 내에 수평 표면을 갖는 기판-관통 비아 콘택트 패드; 및
    상기 반도체 기판 상에 위치되고 상기 기판-관통 비아 구조체에 접촉하는 본딩 패드
    를 더 포함하는, 반도체 구조체.
  10. 제1항에 있어서, 상기 제2 다이의 상기 CMOS 디바이스들의 게이트 구조체들은 상기 제1 다이의 상기 메모리 요소들의 3차원 어레이와 상기 CMOS 디바이스들의 채널에 의해 분리되는 활성 영역들을 포함하는 상기 제2 다이의 상기 반도체 기판 사이에 위치되는, 반도체 구조체.
  11. 반도체 구조체로서,
    NAND 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스를 포함하는 제1 다이; 및
    반도체 기판, 상기 반도체 기판 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부를 포함하는 제2 다이
    를 포함하고;
    상기 제1 다이는 상기 제2 다이에 본딩되고;
    상기 제2 다이의 상기 CMOS 디바이스들의 게이트 구조체들은 상기 제1 다이의 상기 NAND 메모리 요소들의 3차원 어레이와 상기 CMOS 디바이스들의 채널에 의해 분리되는 활성 영역들을 포함하는 상기 제2 다이의 상기 반도체 기판 사이에 위치되는, 반도체 구조체.
  12. 반도체 구조체를 형성하는 방법으로서,
    NAND 메모리 요소들의 3차원 어레이를 포함하는 3차원 메모리 디바이스를 포함하는 제1 다이를 제공하는 단계;
    반도체 기판 및 상기 반도체 기판 상에 위치된 CMOS(complementary metal oxide semiconductor) 디바이스들을 포함하는 주변 로직 회로부를 포함하는 제2 다이를 제공하는 단계; 및
    상기 제1 다이를 상기 제2 다이에 본딩함으로써 본딩된 조립체를 형성하는 단계
    를 포함하는, 방법.
KR1020207037401A 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법 KR102377774B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227009109A KR20220039845A (ko) 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/873,101 2018-01-17
US15/873,101 US10283493B1 (en) 2018-01-17 2018-01-17 Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
KR1020207009473A KR102198685B1 (ko) 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법
PCT/US2018/061639 WO2019143400A1 (en) 2018-01-17 2018-11-16 Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207009473A Division KR102198685B1 (ko) 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227009109A Division KR20220039845A (ko) 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20210000753A true KR20210000753A (ko) 2021-01-05
KR102377774B1 KR102377774B1 (ko) 2022-03-23

Family

ID=66334029

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020207009473A KR102198685B1 (ko) 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법
KR1020227009109A KR20220039845A (ko) 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법
KR1020207037401A KR102377774B1 (ko) 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020207009473A KR102198685B1 (ko) 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법
KR1020227009109A KR20220039845A (ko) 2018-01-17 2018-11-16 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법

Country Status (5)

Country Link
US (1) US10283493B1 (ko)
EP (2) EP3669397A4 (ko)
KR (3) KR102198685B1 (ko)
CN (1) CN111316440B (ko)
WO (1) WO2019143400A1 (ko)

Families Citing this family (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US11527523B2 (en) * 2018-12-10 2022-12-13 HangZhou HaiCun Information Technology Co., Ltd. Discrete three-dimensional processor
JP2018117102A (ja) * 2017-01-20 2018-07-26 ソニーセミコンダクタソリューションズ株式会社 半導体装置
JP7203054B2 (ja) 2017-06-20 2023-01-12 サンライズ メモリー コーポレイション 3次元nor型メモリアレイアーキテクチャ及びその製造方法
US10608008B2 (en) 2017-06-20 2020-03-31 Sunrise Memory Corporation 3-dimensional nor strings with segmented shared source regions
US10692874B2 (en) 2017-06-20 2020-06-23 Sunrise Memory Corporation 3-dimensional NOR string arrays in segmented stacks
US10510738B2 (en) * 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10475812B2 (en) 2018-02-02 2019-11-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
KR102619626B1 (ko) * 2018-06-12 2023-12-29 삼성전자주식회사 3차원 반도체 메모리 소자
US10651153B2 (en) * 2018-06-18 2020-05-12 Intel Corporation Three-dimensional (3D) memory with shared control circuitry using wafer-to-wafer bonding
CN117012754A (zh) * 2018-06-29 2023-11-07 长江存储科技有限责任公司 半导体结构及其形成方法
WO2020014976A1 (en) * 2018-07-20 2020-01-23 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices
WO2020034063A1 (en) * 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
TWI713195B (zh) * 2018-09-24 2020-12-11 美商森恩萊斯記憶體公司 三維nor記憶電路製程中之晶圓接合及其形成之積體電路
KR102480631B1 (ko) * 2018-10-01 2022-12-26 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN113169041B (zh) 2018-12-07 2024-04-09 日升存储公司 形成多层垂直nor型存储器串阵列的方法
US11296068B2 (en) * 2018-12-10 2022-04-05 HangZhou HaiCun Information Technology Co., Ltd. Discrete three-dimensional processor
EP3711091A4 (en) 2018-12-17 2021-11-24 SanDisk Technologies LLC THREE-DIMENSIONAL STORAGE DEVICE WITH TENSIONED VERTICAL SEMICONDUCTOR CHANNELS AND PROCESS FOR THEIR PRODUCTION
US11721727B2 (en) 2018-12-17 2023-08-08 Sandisk Technologies Llc Three-dimensional memory device including a silicon-germanium source contact layer and method of making the same
KR20200078746A (ko) * 2018-12-21 2020-07-02 삼성전자주식회사 융합 메모리 소자 및 그 제조 방법
US10957705B2 (en) 2018-12-24 2021-03-23 Sandisk Technologies Llc Three-dimensional memory devices having a multi-stack bonded structure using a logic die and multiple three-dimensional memory dies and method of making the same
US10903223B2 (en) * 2019-01-15 2021-01-26 Micron Technology, Inc. Driver placement in memories having stacked memory arrays
US10665581B1 (en) 2019-01-23 2020-05-26 Sandisk Technologies Llc Three-dimensional semiconductor chip containing memory die bonded to both sides of a support die and methods of making the same
JP7425069B2 (ja) * 2019-01-30 2024-01-30 サンライズ メモリー コーポレイション 基板接合を用いた高帯域幅・大容量メモリ組み込み型電子デバイス
EP3925004A4 (en) 2019-02-11 2023-03-08 Sunrise Memory Corporation VERTICAL THIN FILM TRANSISTOR AND USE AS BITLINE CONNECTOR FOR THREE DIMENSIONAL MEMORY ARRANGEMENTS
US10700089B1 (en) 2019-02-12 2020-06-30 Sandisk Technologies Llc Three-dimensional memory device including locally thickened electrically conductive layers and methods of manufacturing the same
US11201107B2 (en) 2019-02-13 2021-12-14 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US11508711B2 (en) 2019-02-13 2022-11-22 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US11355486B2 (en) 2019-02-13 2022-06-07 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US11195781B2 (en) 2019-02-13 2021-12-07 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US10629616B1 (en) 2019-02-13 2020-04-21 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US11398451B2 (en) 2019-03-01 2022-07-26 Sandisk Technologies Llc Methods for reusing substrates during manufacture of a bonded assembly including a logic die and a memory die
US11424231B2 (en) 2019-03-01 2022-08-23 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US10790300B2 (en) 2019-03-01 2020-09-29 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US11239253B2 (en) 2019-03-01 2022-02-01 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US10937801B2 (en) * 2019-03-22 2021-03-02 Sandisk Technologies Llc Three-dimensional memory device containing a polygonal lattice of support pillar structures and contact via structures and methods of manufacturing the same
KR20240045345A (ko) 2019-04-15 2024-04-05 양쯔 메모리 테크놀로지스 씨오., 엘티디. 프로세서 및 동적 랜덤 액세스 메모리를 갖는 본디드 반도체 장치 및 이를 형성하는 방법
WO2020220484A1 (en) * 2019-04-30 2020-11-05 Yangtze Memory Technologies Co., Ltd. Bonded unified semiconductor chips and fabrication and operation methods thereof
CN112614831B (zh) 2019-04-15 2023-08-08 长江存储科技有限责任公司 具有处理器和异构存储器的一体化半导体器件及其形成方法
WO2020211272A1 (en) 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same
CN110731012B (zh) 2019-04-15 2021-01-29 长江存储科技有限责任公司 具有处理器和异构存储器的一体化半导体器件及其形成方法
US10797062B1 (en) 2019-04-16 2020-10-06 Sandisk Technologies Llc Bonded die assembly using a face-to-back oxide bonding and methods for making the same
KR20210114016A (ko) 2019-04-30 2021-09-17 양쯔 메모리 테크놀로지스 씨오., 엘티디. 프로세서 및 낸드 플래시 메모리를 갖는 접합된 반도체 소자 및 이를 형성하는 방법
US10727216B1 (en) 2019-05-10 2020-07-28 Sandisk Technologies Llc Method for removing a bulk substrate from a bonded assembly of wafers
US11469251B2 (en) 2019-05-15 2022-10-11 Sandisk Technologies Llc Memory device using a multilayer ferroelectric stack and method of forming the same
US10790296B1 (en) 2019-05-21 2020-09-29 Sandisk Technologies Llc Distortion-compensated wafer bonding method and apparatus using a temperature-controlled backside thermal expansion layer
US11362079B2 (en) 2019-06-13 2022-06-14 Sandisk Technologies Llc Bonded die assembly containing a manganese-containing oxide bonding layer and methods for making the same
CN110506334B (zh) * 2019-07-08 2021-01-29 长江存储科技有限责任公司 具有深隔离结构的三维存储器件
US11037908B2 (en) 2019-07-25 2021-06-15 Sandisk Technologies Llc Bonded die assembly containing partially filled through-substrate via structures and methods for making the same
JP7214898B2 (ja) 2019-08-02 2023-01-30 長江存儲科技有限責任公司 三次元メモリデバイスおよびその製作方法
US11276705B2 (en) * 2019-08-27 2022-03-15 Sandisk Technologies Llc Embedded bonded assembly and method for making the same
JP2021044498A (ja) * 2019-09-13 2021-03-18 キオクシア株式会社 半導体装置の製造方法
US11011209B2 (en) 2019-10-01 2021-05-18 Sandisk Technologies Llc Three-dimensional memory device including contact-level bit-line-connection structures and methods of making the same
TWI738073B (zh) * 2019-10-04 2021-09-01 旺宏電子股份有限公司 記憶體元件及其製作方法
CN110914987B (zh) 2019-10-17 2021-11-09 长江存储科技有限责任公司 具有背面隔离结构的三维存储器件
US10910272B1 (en) 2019-10-22 2021-02-02 Sandisk Technologies Llc Reusable support substrate for formation and transfer of semiconductor devices and methods of using the same
JP2021072313A (ja) * 2019-10-29 2021-05-06 キオクシア株式会社 半導体記憶装置
US11069707B2 (en) 2019-10-29 2021-07-20 Sandisk Technologies Llc Variable die size memory device and methods of manufacturing the same
CN110998844A (zh) * 2019-11-05 2020-04-10 长江存储科技有限责任公司 键合的三维存储器件及其形成方法
JP7350096B2 (ja) 2019-11-05 2023-09-25 長江存儲科技有限責任公司 結合された3次元メモリデバイスおよびそれを形成するための方法
CN111033739B (zh) 2019-11-05 2022-06-28 长江存储科技有限责任公司 键合的三维存储器件及其形成方法
US11189335B2 (en) * 2019-11-13 2021-11-30 Sandisk Technologies Llc Double write/read throughput by CMOS adjacent array (CaA) NAND memory
US11094653B2 (en) 2019-11-13 2021-08-17 Sandisk Technologies Llc Bonded assembly containing a dielectric bonding pattern definition layer and methods of forming the same
KR20210058563A (ko) * 2019-11-14 2021-05-24 삼성전자주식회사 메모리 장치 및 그 제조 방법
US11239204B2 (en) 2019-11-25 2022-02-01 Sandisk Technologies Llc Bonded assembly containing laterally bonded bonding pads and methods of forming the same
US11088116B2 (en) 2019-11-25 2021-08-10 Sandisk Technologies Llc Bonded assembly containing horizontal and vertical bonding interfaces and methods of forming the same
US11515309B2 (en) 2019-12-19 2022-11-29 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor in a 3-dimensional thin-film transistor array
US11088076B2 (en) 2019-12-27 2021-08-10 Sandisk Technologies Llc Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners
CN111180344B (zh) * 2020-01-02 2021-12-07 长江存储科技有限责任公司 三维堆叠结构及制备方法
KR20210087818A (ko) * 2020-01-03 2021-07-13 에스케이하이닉스 주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN111211126B (zh) * 2020-01-13 2023-12-12 长江存储科技有限责任公司 三维存储器及其形成方法
US11270963B2 (en) 2020-01-14 2022-03-08 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same
KR20210092359A (ko) 2020-01-15 2021-07-26 삼성전자주식회사 3차원 반도체 메모리 장치
KR20210093045A (ko) 2020-01-17 2021-07-27 삼성전자주식회사 메모리 장치
CN111244099A (zh) * 2020-01-20 2020-06-05 长江存储科技有限责任公司 3d存储器件的制造方法
US11342244B2 (en) 2020-01-21 2022-05-24 Sandisk Technologies Llc Bonded assembly of semiconductor dies containing pad level across-die metal wiring and method of forming the same
KR20210094259A (ko) 2020-01-21 2021-07-29 삼성전자주식회사 3차원 반도체 메모리 소자 및 그의 제조 방법
US11211370B2 (en) 2020-01-28 2021-12-28 Sandisk Technologies Llc Bonded assembly with vertical power and control signal connection adjacent to sense amplifier regions and methods of forming the same
US11171097B2 (en) 2020-01-28 2021-11-09 Sandisk Technologies Llc Bonded assembly containing metal-organic framework bonding dielectric and methods of forming the same
US11646283B2 (en) 2020-01-28 2023-05-09 Sandisk Technologies Llc Bonded assembly containing low dielectric constant bonding dielectric material
CN115362436A (zh) 2020-02-07 2022-11-18 日升存储公司 准易失性系统级存储器
CN115413367A (zh) 2020-02-07 2022-11-29 日升存储公司 具有低有效延迟的高容量存储器电路
US11508693B2 (en) 2020-02-24 2022-11-22 Sunrise Memory Corporation High capacity memory module including wafer-section memory circuit
US11282747B2 (en) * 2020-02-24 2022-03-22 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, and electronic systems
US11507301B2 (en) 2020-02-24 2022-11-22 Sunrise Memory Corporation Memory module implementing memory centric architecture
WO2021173572A1 (en) 2020-02-24 2021-09-02 Sunrise Memory Corporation Channel controller for shared memory access
US11430745B2 (en) 2020-03-02 2022-08-30 Sandisk Technologies Llc Semiconductor die containing silicon nitride stress compensating regions and method for making the same
US11527500B2 (en) 2020-03-20 2022-12-13 Sandisk Technologies Llc Semiconductor structure containing multilayer bonding pads and methods of forming the same
US11201139B2 (en) 2020-03-20 2021-12-14 Sandisk Technologies Llc Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same
US11145628B1 (en) 2020-03-20 2021-10-12 Sandisk Technologies Llc Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same
EP4059053A4 (en) * 2020-03-25 2024-01-17 Sandisk Technologies Llc CONNECTED THREE-DIMENSIONAL STORAGE DEVICES AND METHOD FOR PRODUCING THEM BY REPLACING THE SUPPORT SUBSTRATE WITH A SOURCE LAYER
KR20210124631A (ko) * 2020-04-07 2021-10-15 에스케이하이닉스 주식회사 웨이퍼 대 웨이퍼 본딩 구조를 갖는 반도체 메모리 장치
WO2021207050A1 (en) 2020-04-08 2021-10-14 Sunrise Memory Corporation Charge-trapping layer with optimized number of charge-trapping sites for fast program and erase of a memory cell in a 3-dimensional nor memory string array
US11387245B2 (en) 2020-04-17 2022-07-12 Micron Technology, Inc. Electronic devices including pillars in array regions and non-array regions, and related systems and methods
US11322466B2 (en) 2020-05-20 2022-05-03 Sandisk Technologies Llc Semiconductor die containing dummy metallic pads and methods of forming the same
CN111771282B (zh) * 2020-05-22 2021-08-03 长江存储科技有限责任公司 存储器件及其形成方法
WO2021237884A1 (en) * 2020-05-27 2021-12-02 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices
US11309301B2 (en) 2020-05-28 2022-04-19 Sandisk Technologies Llc Stacked die assembly including double-sided inter-die bonding connections and methods of forming the same
US11398498B2 (en) * 2020-05-28 2022-07-26 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US11335671B2 (en) * 2020-05-28 2022-05-17 Sandisk Technologies Llc Stacked die assembly including double-sided inter-die bonding connections and methods of forming the same
US11444039B2 (en) 2020-05-29 2022-09-13 Sandisk Technologies Llc Semiconductor die including diffusion barrier layers embedding bonding pads and methods of forming the same
US11450624B2 (en) 2020-05-29 2022-09-20 Sandisk Technologies Llc Semiconductor die including diffusion barrier layers embedding bonding pads and methods of forming the same
US11778817B2 (en) 2020-06-25 2023-10-03 Sandisk Technologies Llc Three-dimensional memory device including III-V compound semiconductor channel layer and method of making the same
US11302713B2 (en) 2020-06-25 2022-04-12 Sandisk Technologies Llc Three-dimensional memory device including III-V compound semiconductor channel layer and method of making the same
US11776922B2 (en) 2020-07-01 2023-10-03 Sandisk Technologies Llc Semiconductor structure containing pre-polymerized protective layer and method of making thereof
US11538777B2 (en) 2020-07-01 2022-12-27 Sandisk Technologies Llc Semiconductor structure containing pre-polymerized protective layer and method of making thereof
US11587920B2 (en) * 2020-07-22 2023-02-21 Sandisk Technologies Llc Bonded semiconductor die assembly containing through-stack via structures and methods for making the same
JP2023526476A (ja) * 2020-07-31 2023-06-21 長江存儲科技有限責任公司 コンタクト構造体を形成するための方法およびその半導体デバイス
WO2022021428A1 (en) * 2020-07-31 2022-02-03 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices with supporting structure for staircase region
WO2022021429A1 (en) 2020-07-31 2022-02-03 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices with supporting structure for staircase region
US11355437B2 (en) 2020-08-04 2022-06-07 Sandisk Technologies Llc Three-dimensional memory device including bump-containing bit lines and methods for manufacturing the same
US11569259B2 (en) 2020-08-05 2023-01-31 Sandisk Technologies Llc Three-dimensional memory device with double-sided stepped surfaces and method of making thereof
US11963352B2 (en) 2020-08-31 2024-04-16 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11296113B2 (en) 2020-08-31 2022-04-05 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11569215B2 (en) 2020-08-31 2023-01-31 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
EP4139958A4 (en) * 2020-09-02 2023-10-18 Yangtze Memory Technologies Co., Ltd. CONNECTION SURFACE STRUCTURES FOR SEMICONDUCTOR COMPONENTS
JP2022046249A (ja) 2020-09-10 2022-03-23 キオクシア株式会社 半導体記憶装置
KR20220042932A (ko) 2020-09-28 2022-04-05 삼성전자주식회사 반도체 장치 및 이를 포함하는 전자 시스템
KR20220042702A (ko) 2020-09-28 2022-04-05 삼성전자주식회사 비휘발성 메모리 장치, 이를 포함하는 시스템, 및 이의 제조 방법
US11650932B2 (en) 2020-10-25 2023-05-16 Western Digital Technologies, Inc. Integrated non-volatile memory assembly with address translation
US11482539B2 (en) * 2020-10-28 2022-10-25 Sandisk Technologies Llc Three-dimensional memory device including metal silicide source regions and methods for forming the same
US11322483B1 (en) * 2020-11-05 2022-05-03 Sandisk Technologies Llc Three-dimensional memory device containing a shared word line driver across different tiers and methods for making the same
US11501821B2 (en) 2020-11-05 2022-11-15 Sandisk Technologies Llc Three-dimensional memory device containing a shared word line driver across different tiers and methods for making the same
WO2022108848A1 (en) 2020-11-17 2022-05-27 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
US11393757B2 (en) * 2020-11-19 2022-07-19 Sandisk Technologies Llc Three-dimensional memory device containing oxidation-resistant contact structures and methods of making the same
US11348901B1 (en) 2020-11-30 2022-05-31 Sandisk Technologies Llc Interfacial tilt-resistant bonded assembly and methods for forming the same
CN112614853B (zh) * 2020-12-01 2023-05-12 长江存储科技有限责任公司 一种三维存储器件及其形成方法
US11848056B2 (en) 2020-12-08 2023-12-19 Sunrise Memory Corporation Quasi-volatile memory with enhanced sense amplifier operation
EP4150671A4 (en) * 2020-12-24 2024-02-21 Yangtze Memory Tech Co Ltd CONTACT PADS OF THREE-DIMENSIONAL MEMORY DEVICE AND METHOD OF MANUFACTURING THEREOF
US11676954B2 (en) 2020-12-28 2023-06-13 Sandisk Technologies Llc Bonded three-dimensional memory devices with backside source power supply mesh and methods of making the same
US11481154B2 (en) 2021-01-15 2022-10-25 Sandisk Technologies Llc Non-volatile memory with memory array between circuits
JP2022118984A (ja) 2021-02-03 2022-08-16 キオクシア株式会社 メモリデバイス
US11646282B2 (en) 2021-02-04 2023-05-09 Sandisk Technologies Llc Bonded semiconductor die assembly with metal alloy bonding pads and methods of forming the same
WO2022173700A1 (en) 2021-02-10 2022-08-18 Sunrise Memory Corporation Memory interface with configurable high-speed serial data lanes for high bandwidth memory
US11641746B2 (en) * 2021-02-25 2023-05-02 Sandisk Technologies Llc Three-dimensional memory device with peripheral circuit located over support pillar array and method of making thereof
US11621202B2 (en) 2021-03-02 2023-04-04 Western Digital Technologies, Inc. Electrical overlay measurement methods and structures for wafer-to-wafer bonding
US11569139B2 (en) 2021-03-02 2023-01-31 Western Digital Technologies, Inc. Electrical overlay measurement methods and structures for wafer-to-wafer bonding
US11404123B1 (en) 2021-04-05 2022-08-02 Sandisk Technologies Llc Non-volatile memory with multiple wells for word line switch transistors
US11562975B2 (en) 2021-04-29 2023-01-24 Sandisk Technologies Llc Bonded assembly employing metal-semiconductor bonding and metal-metal bonding and methods of forming the same
US11758730B2 (en) 2021-05-10 2023-09-12 Sandisk Technologies Llc Bonded assembly of a memory die and a logic die including laterally shifted bit-line bonding pads and methods of forming the same
WO2022256949A1 (en) * 2021-06-07 2022-12-15 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US20220399358A1 (en) * 2021-06-15 2022-12-15 Sandisk Technologies Llc Three-dimensional memory array with dual-level peripheral circuits and methods for forming the same
WO2023272592A1 (en) * 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
CN116018889A (zh) * 2021-06-30 2023-04-25 长江存储科技有限责任公司 三维存储器装置及其形成方法
WO2023272614A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
TWI831063B (zh) * 2021-06-30 2024-02-01 大陸商長江存儲科技有限責任公司 具有三維電晶體的三維儲存裝置及其形成方法
BR112023012572A2 (pt) 2021-06-30 2024-01-16 Yangtze Memory Tech Co Ltd Dispositivos de memória tridimensionais e métodos para a formação dos mesmos
CN115968584A (zh) * 2021-06-30 2023-04-14 长江存储科技有限责任公司 三维存储器装置及其形成方法
WO2023272638A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
CN113711356A (zh) * 2021-06-30 2021-11-26 长江存储科技有限责任公司 三维存储器器件及其形成方法
US11948902B2 (en) 2021-07-08 2024-04-02 Sandisk Technologies Llc Bonded assembly including an airgap containing bonding-level dielectric layer and methods of forming the same
CN115602556A (zh) * 2021-07-09 2023-01-13 长鑫存储技术有限公司(Cn) 一种芯片键合方法及半导体芯片结构
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列
CN113571528B (zh) * 2021-07-26 2024-04-09 长江存储科技有限责任公司 三维存储器及其制备方法
US11869877B2 (en) 2021-08-06 2024-01-09 Sandisk Technologies Llc Bonded assembly including inter-die via structures and methods for making the same
US11925027B2 (en) 2021-12-27 2024-03-05 Sandisk Technologies Llc Three-dimensional memory device including sense amplifiers having a common width and separation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140028969A (ko) * 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
CN106910746A (zh) * 2017-03-08 2017-06-30 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法、封装方法
CN106920797A (zh) * 2017-03-08 2017-07-04 长江存储科技有限责任公司 存储器结构及其制备方法、存储器的测试方法
WO2017142806A1 (en) * 2016-02-16 2017-08-24 Sandisk Technologies Llc Multi-tier memory device with through-stack peripheral contact via structures and method of making thereof
US9876031B1 (en) * 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US20190006316A1 (en) * 2014-04-17 2019-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-Out Stacked System in Package (SIP) and the Methods of Making the Same

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5387530A (en) 1993-06-29 1995-02-07 Digital Equipment Corporation Threshold optimization for soi transistors through use of negative charge in the gate oxide
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
EP2323164B1 (en) 2000-08-14 2015-11-25 SanDisk 3D LLC Multilevel memory array and method for making same
US6821847B2 (en) 2001-10-02 2004-11-23 Mosel Vitelic, Inc. Nonvolatile memory structures and fabrication methods
US6795326B2 (en) 2001-12-12 2004-09-21 Micron Technology, Inc. Flash array implementation with local and global bit lines
TW535242B (en) 2002-05-30 2003-06-01 Silicon Based Tech Corp Methods of fabricating a stack-gate non-volatile memory device and its contactless memory arrays
EP1398831A3 (en) 2002-09-13 2008-02-20 Shipley Co. L.L.C. Air gaps formation
US7233522B2 (en) 2002-12-31 2007-06-19 Sandisk 3D Llc NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US20050095786A1 (en) 2003-11-03 2005-05-05 Ting-Chang Chang Non-volatile memory and method of manufacturing floating gate
US7023739B2 (en) 2003-12-05 2006-04-04 Matrix Semiconductor, Inc. NAND memory array incorporating multiple write pulse programming of individual memory cells and method for operation of same
US7221588B2 (en) 2003-12-05 2007-05-22 Sandisk 3D Llc Memory array incorporating memory cells arranged in NAND strings
US7177191B2 (en) 2004-12-30 2007-02-13 Sandisk 3D Llc Integrated circuit including memory array incorporating multiple types of NAND string structures
JP4488947B2 (ja) 2005-04-08 2010-06-23 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP4822841B2 (ja) 2005-12-28 2011-11-24 株式会社東芝 半導体記憶装置及びその製造方法
US7535060B2 (en) 2006-03-08 2009-05-19 Freescale Semiconductor, Inc. Charge storage structure formation in transistor with vertical channel region
JP5016832B2 (ja) 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP4768557B2 (ja) 2006-09-15 2011-09-07 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US7476588B2 (en) 2007-01-12 2009-01-13 Micron Technology, Inc. Methods of forming NAND cell units with string gates of various widths
US7514321B2 (en) 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
US7745265B2 (en) 2007-03-27 2010-06-29 Sandisk 3D, Llc Method of making three dimensional NAND memory
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7851851B2 (en) 2007-03-27 2010-12-14 Sandisk 3D Llc Three dimensional NAND memory
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
US7808038B2 (en) 2007-03-27 2010-10-05 Sandisk 3D Llc Method of making three dimensional NAND memory
WO2008118433A1 (en) 2007-03-27 2008-10-02 Sandisk 3D Llc Three dimensional nand memory and method of making thereof
KR101226685B1 (ko) 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
US7746680B2 (en) 2007-12-27 2010-06-29 Sandisk 3D, Llc Three dimensional hexagonal matrix memory array
JP4691124B2 (ja) 2008-03-14 2011-06-01 株式会社東芝 不揮発性半導体記憶装置の製造方法
US7910973B2 (en) 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
FR2933802B1 (fr) 2008-07-10 2010-10-15 Commissariat Energie Atomique Structure et procede de realisation d'un dispositif microelectronique de memoire 3d de type flash nand.
JP4802313B2 (ja) 2008-08-01 2011-10-26 ニッコー株式会社 圧電振動子の保持装置
JP5288936B2 (ja) 2008-08-12 2013-09-11 株式会社東芝 不揮発性半導体記憶装置
KR101478678B1 (ko) 2008-08-21 2015-01-02 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
JP4675996B2 (ja) 2008-09-10 2011-04-27 株式会社東芝 不揮発性半導体記憶装置
KR20100052597A (ko) 2008-11-11 2010-05-20 삼성전자주식회사 수직형 반도체 장치
US7994011B2 (en) 2008-11-12 2011-08-09 Samsung Electronics Co., Ltd. Method of manufacturing nonvolatile memory device and nonvolatile memory device manufactured by the method
KR101527192B1 (ko) 2008-12-10 2015-06-10 삼성전자주식회사 불휘발성 메모리 소자 및 그의 제조방법
KR101495806B1 (ko) 2008-12-24 2015-02-26 삼성전자주식회사 비휘발성 기억 소자
US20100155818A1 (en) 2008-12-24 2010-06-24 Heung-Jae Cho Vertical channel type nonvolatile memory device and method for fabricating the same
JP2010161132A (ja) 2009-01-07 2010-07-22 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
KR101481104B1 (ko) 2009-01-19 2015-01-13 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
US20100213458A1 (en) 2009-02-23 2010-08-26 Micron Technology, Inc. Rigid semiconductor memory having amorphous metal oxide semiconductor channels
KR101616089B1 (ko) 2009-06-22 2016-04-28 삼성전자주식회사 3차원 반도체 메모리 소자
KR101698193B1 (ko) 2009-09-15 2017-01-19 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101584113B1 (ko) 2009-09-29 2016-01-13 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101559958B1 (ko) 2009-12-18 2015-10-13 삼성전자주식회사 3차원 반도체 장치의 제조 방법 및 이에 따라 제조된 3차원 반도체 장치
US8450181B2 (en) 2010-01-08 2013-05-28 Sandisk 3D Llc In-situ passivation methods to improve performance of polysilicon diode
JP2011204829A (ja) 2010-03-25 2011-10-13 Toshiba Corp 半導体記憶装置
US8455940B2 (en) 2010-05-24 2013-06-04 Samsung Electronics Co., Ltd. Nonvolatile memory device, method of manufacturing the nonvolatile memory device, and memory module and system including the nonvolatile memory device
KR101761366B1 (ko) 2010-06-22 2017-07-25 삼성전자주식회사 3차원 반도체 장치의 형성 방법
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
EP2589070B1 (en) 2010-06-30 2019-11-27 SanDisk Technologies LLC Ultrahigh density vertical nand memory device and method of making thereof
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8198672B2 (en) 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
KR20120003351A (ko) 2010-07-02 2012-01-10 삼성전자주식회사 3차원 비휘발성 메모리 장치 및 그 동작방법
KR20120006843A (ko) 2010-07-13 2012-01-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20120007838A (ko) 2010-07-15 2012-01-25 삼성전자주식회사 수직형 비휘발성 메모리 소자 및 그 제조방법
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8883589B2 (en) 2010-09-28 2014-11-11 Sandisk 3D Llc Counter doping compensation methods to improve diode performance
US8349716B2 (en) 2010-10-25 2013-01-08 International Business Machines Corporation Semiconductor device with reduced junction leakage and an associated method of forming such a semiconductor device
KR101744127B1 (ko) 2010-11-17 2017-06-08 삼성전자주식회사 반도체 소자 및 그 제조방법
KR101855437B1 (ko) 2010-12-02 2018-05-08 삼성전자주식회사 불휘발성 메모리 장치 및 그것의 동작 방법
US8721427B2 (en) 2010-12-14 2014-05-13 Bally Gaming, Inc. Gaming system, method and device for generating images having a parallax effect using face tracking
US8455939B2 (en) 2010-12-21 2013-06-04 Sandisk Technologies Inc. Stacked metal fin cell
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8445347B2 (en) 2011-04-11 2013-05-21 Sandisk Technologies Inc. 3D vertical NAND and method of making thereof by front and back side processing
US10333064B2 (en) 2011-04-13 2019-06-25 Micron Technology, Inc. Vertical memory cell for high-density memory
KR101807254B1 (ko) 2011-04-29 2018-01-11 삼성전자주식회사 반도체 기억 소자의 형성 방법
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
US20130313717A1 (en) 2012-05-24 2013-11-28 International Business Machines Corporation Spacer for enhancing via pattern overlay tolerence
US8867271B2 (en) 2012-05-30 2014-10-21 Sandisk Technologies Inc. Threshold voltage adjustment for a select gate transistor in a stacked non-volatile memory device
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
US8614126B1 (en) 2012-08-15 2013-12-24 Sandisk Technologies Inc. Method of making a three-dimensional memory array with etch stop
US8952482B2 (en) 2012-08-30 2015-02-10 Micron Technology, Inc. Three-dimensional devices having reduced contact length
KR101986245B1 (ko) 2013-01-17 2019-09-30 삼성전자주식회사 수직형 반도체 소자의 제조 방법
US9449982B2 (en) 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
TWI508278B (zh) 2013-03-13 2015-11-11 Macronix Int Co Ltd 半導體元件及其製造方法
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US9064970B2 (en) 2013-03-15 2015-06-23 Micron Technology, Inc. Memory including blocking dielectric in etch stop tier
KR20150002001A (ko) 2013-06-28 2015-01-07 에스케이하이닉스 주식회사 반도체 메모리 장치
EP3606112B1 (en) 2013-07-26 2021-06-16 Lg Electronics Inc. Method for transmitting signal for mtc and apparatus for same
JP6330151B2 (ja) 2013-09-17 2018-05-30 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US8987089B1 (en) 2013-09-17 2015-03-24 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
US9460931B2 (en) 2013-09-17 2016-10-04 Sandisk Technologies Llc High aspect ratio memory hole channel contact formation
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
KR20170018813A (ko) * 2014-06-16 2017-02-20 인텔 코포레이션 관통 실리콘 비아들(tvs)의 사용 없이 로직 다이에의 메모리 다이의 직접 통합을 위한 방법
US9559117B2 (en) 2014-06-17 2017-01-31 Sandisk Technologies Llc Three-dimensional non-volatile memory device having a silicide source line and method of making thereof
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9887207B2 (en) 2014-08-18 2018-02-06 Sandisk Technologies Llc Three dimensional NAND device having dummy memory holes and method of making thereof
JP6203152B2 (ja) 2014-09-12 2017-09-27 東芝メモリ株式会社 半導体記憶装置の製造方法
US9412749B1 (en) 2014-09-19 2016-08-09 Sandisk Technologies Llc Three dimensional memory device having well contact pillar and method of making thereof
US9305934B1 (en) 2014-10-17 2016-04-05 Sandisk Technologies Inc. Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US9589981B2 (en) 2015-06-15 2017-03-07 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9646981B2 (en) 2015-06-15 2017-05-09 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9543318B1 (en) 2015-08-21 2017-01-10 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9449987B1 (en) 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9530790B1 (en) 2015-12-24 2016-12-27 Sandisk Technologies Llc Three-dimensional memory device containing CMOS devices over memory stack structures
US9991280B2 (en) 2016-02-17 2018-06-05 Sandisk Technologies Llc Multi-tier three-dimensional memory devices containing annular dielectric spacers within memory openings and methods of making the same
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140028969A (ko) * 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US20190006316A1 (en) * 2014-04-17 2019-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-Out Stacked System in Package (SIP) and the Methods of Making the Same
WO2017142806A1 (en) * 2016-02-16 2017-08-24 Sandisk Technologies Llc Multi-tier memory device with through-stack peripheral contact via structures and method of making thereof
US9876031B1 (en) * 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
CN106910746A (zh) * 2017-03-08 2017-06-30 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法、封装方法
CN106920797A (zh) * 2017-03-08 2017-07-04 长江存储科技有限责任公司 存储器结构及其制备方法、存储器的测试方法

Also Published As

Publication number Publication date
US10283493B1 (en) 2019-05-07
EP3910672A1 (en) 2021-11-17
KR102198685B1 (ko) 2021-01-05
KR20220039845A (ko) 2022-03-29
KR20200039800A (ko) 2020-04-16
EP3669397A4 (en) 2021-08-11
EP3669397A1 (en) 2020-06-24
CN111316440A (zh) 2020-06-19
WO2019143400A1 (en) 2019-07-25
KR102377774B1 (ko) 2022-03-23
CN111316440B (zh) 2023-07-21

Similar Documents

Publication Publication Date Title
KR102198685B1 (ko) 본딩된 메모리 다이 및 주변 로직 다이를 포함하는 3차원 메모리 디바이스 및 그 제조 방법
US11133297B2 (en) Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10903164B2 (en) Bonded assembly including a semiconductor-on-insulator die and methods for making the same
US10290645B2 (en) Three-dimensional memory device containing hydrogen diffusion barrier layer for CMOS under array architecture and method of making thereof
US11127728B2 (en) Three-dimensional semiconductor chip containing memory die bonded to both sides of a support die and methods of making the same
US10622369B2 (en) Three-dimensional memory device including contact via structures that extend through word lines and method of making the same
US10319635B2 (en) Interconnect structure containing a metal slilicide hydrogen diffusion barrier and method of making thereof
US20200343235A1 (en) Three-dimensional memory device with logic signal routing through a memory die and methods of making the same
CN113228183B (zh) 包括共享外围电路的多个管芯的接合组件及其制造方法
US10319680B1 (en) Metal contact via structure surrounded by an air gap and method of making thereof
US10580783B2 (en) Multi-tier three-dimensional memory device containing differential etch rate field oxides and method of making the same
US11139237B2 (en) Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same
CN113196476A (zh) 支撑管芯和包括横向移位的竖直互连的多个存储器管芯的接合组件及其制造方法
KR102518793B1 (ko) 매립된 접합 조립체 및 그의 제조 방법
US10354956B1 (en) Three-dimensional memory device containing hydrogen diffusion barrier structures for CMOS under array architecture and method of making the same
US10886366B2 (en) Semiconductor structures for peripheral circuitry having hydrogen diffusion barriers and method of making the same
CN111373533B (zh) 含有氢扩散阻挡结构的三维存储器装置及其制造方法
US20220246636A1 (en) Method of forming a stepped surface in a three-dimensional memory device and structures incorporating the same

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right