TWI831063B - 具有三維電晶體的三維儲存裝置及其形成方法 - Google Patents

具有三維電晶體的三維儲存裝置及其形成方法 Download PDF

Info

Publication number
TWI831063B
TWI831063B TW110139268A TW110139268A TWI831063B TW I831063 B TWI831063 B TW I831063B TW 110139268 A TW110139268 A TW 110139268A TW 110139268 A TW110139268 A TW 110139268A TW I831063 B TWI831063 B TW I831063B
Authority
TW
Taiwan
Prior art keywords
transistor
peripheral circuit
storage device
gate
semiconductor structure
Prior art date
Application number
TW110139268A
Other languages
English (en)
Other versions
TW202303937A (zh
Inventor
孫超
陳亮
許文山
劉威
寧 江
磊 薛
田武
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/CN2021/103677 external-priority patent/WO2022236944A1/en
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Publication of TW202303937A publication Critical patent/TW202303937A/zh
Application granted granted Critical
Publication of TWI831063B publication Critical patent/TWI831063B/zh

Links

Landscapes

  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

在某些方面,一種三維(3D)儲存裝置包括第一半導體結構,包括儲存單元陣列;第二半導體結構,包括外圍電路;以及鍵合界面,在第一半導體結構和第二半導體結構之間。外圍電路包括3D電晶體。儲存單元陣列跨越鍵合界面耦接到外圍電路。

Description

具有三維電晶體的三維儲存裝置及其形成方法
本揭露內容涉及儲存裝置及其製造方法。
透過改進製程技術、電路設計、編程算法和製造製程,將平面儲存單元縮放到更小的尺寸。然而,隨著儲存單元的特徵尺寸接近下限,平面製程和製造技術變得具有挑戰性且成本高。結果,平面儲存單元的儲存密度接近上限。
三維(3D)記憶體架構可以解決平面儲存單元中的密度限制。3D記憶體架構包括記憶體陣列和用於促進記憶體陣列的操作的外圍電路。
在一方面,一種3D儲存裝置包括:第一半導體結構,包括儲存單元陣列;第二半導體結構,包括外圍電路;以及鍵合界面,在第一半導體結構和第二半導體結構之間。外圍電路包括3D電晶體。儲存單元陣列跨越鍵合界面耦接到外圍電路。
在另一方面,一種系統包括被配置為儲存數據的儲存裝置。該儲存 裝置包括:第一半導體結構,包括儲存單元陣列;第二半導體結構,包括外圍電路;以及鍵合界面,位於第一半導體結構和第二半導體結構之間。外圍電路包括3D電晶體。儲存單元陣列跨越鍵合界面耦接到外圍電路。所述系統還包括記憶體控制器,耦接到儲存裝置且被配置為透過外圍電路控制儲存單元陣列。
在又一方面,公開了一種用於形成3D儲存裝置的方法。在第一基底上形成包括儲存單元陣列的第一半導體結構。在第二基底上形成包括外圍電路的第二半導體結構。外圍電路包括3D電晶體。第一半導體結構和第二半導體結構以面對面的方式鍵合,使得儲存單元陣列跨越鍵合界面耦接到外圍電路。
100,101,800,801,899,1900:3D儲存裝置
102,802,805,1902:第一半導體結構
104,804,803,1904:第二半導體結構
106,806,807,1915:鍵合界面
1402:子頁緩衝器電路
1404,1914:串驅動器
1406:局部字元線
1502:平面
1908:階梯結構
1912:字元線觸點
200,2504:儲存裝置
201:儲存單元陣列
202,1600:外圍電路
204:塊
206:儲存單元
208,838,817,1910:3DNAND記憶體串
210:SSG電晶體
2110:漂移區
212:DSG電晶體
213:DSG線
214:源極線
215:SSG線
216,880:位元線
218,1905:字元線
220:行
2201:第一區域
2202:矽基底
2203:第二區域
2205:第三區域
2206:犧牲層
2209:溝槽
2210,2211:閘極介電質層
2212:閘電極層
2300,2400,2401:方法
2302,2304,2306,2402,2404,2403,2405,2406,2408,2410,2308,2310,2314,2312:步驟
2500:系統
2502:記憶體系統
2506:記憶體控制器
2508:主機
2602:記憶卡
2604:記憶卡連接器
2606:SSD
2608:SSD連接器
304:頁緩衝器
306:列解碼器/位元線驅動器
308:行解碼器/字元線驅動器
310:電壓發生器
312:控制邏輯
314:暫存器
316:介面
318:數據總線
400,818,841,1200:平面電晶體
402,502,808,809,1102,1202,2002,2102:基底
404,504,860,862,861,863,1103,1203,2003,2103,2204:溝槽隔離
406,506,1106,1206,2006,2106,2216,2217:源極和汲極
408,508,1108,1208,2008,2108:閘極結構
410:通道
500,816,839,1100,2000,2100:3D電晶體
505,1104,2004,2104,2208,2219:3D半導體主體
602,1107,1207,2007,2107:閘極介電質
604,1109,1209,2009,2214,2215:閘電極
810,831,881:器件層
812,835:第一外圍電路
814,837:第二外圍電路
820,830,827,857:互連層
822,826,829,851:鍵合層
824,828,855,853:鍵合觸點
832,811,1906:記憶體堆疊體
834,813:導電層
836,815,1907:介電質層
848,833:半導體層
850,843:焊墊輸出互連層
852,845:觸點焊墊
854,847:觸點
882:公共極板
886:DRAM選擇電晶體
888:電容器
890:DRAM單元
901:低低電壓源(LLV)
902:LLV電路
903:低電壓源(LV)
904:LV電路
905:高電壓源(HV)
906:HV電路
d1,d2:距離
H:高度
L,L2,L1:通道長度
PW,PL:間距
T,t厚度
Vdd1,Vdd2,Vdd3:電平
W,W2':寬度
W1,W2:通道寬度
A-A,B-B:平面
x,y:方向
併入本文並形成說明書的一部分的圖式示出了本揭露內容的各方面,並且與說明書一起進一步用於解釋本揭露內容的原理並且使得相關領域技術人員能夠構成和使用本揭露內容。
圖1A示出了根據本揭露內容的一些方面的3D儲存裝置的截面的示意圖。
圖1B示出了根據本揭露內容的一些方面的另一3D儲存裝置的截面的示意圖。
圖2示出了根據本揭露內容的一些方面的包括外圍電路的儲存裝置的示意性電路圖。
圖3示出了根據本揭露內容的一些方面的包括儲存單元陣列和外圍電路的儲存裝置的方塊圖。
圖4示出了根據本揭露內容的一些方面的平面電晶體的透視圖。
圖5示出了根據本揭露內容的一些方面的3D電晶體的透視圖。
圖6A和6B示出了根據本揭露內容的一些方面的圖5中的3D電晶體的兩個截面的 側視圖。
圖7A-7I示出了根據本揭露內容的各個方面的各種3D電晶體的截面的側視圖。
圖8A示出了根據本揭露內容的一些方面的3D儲存裝置的截面的側視圖。
圖8B示出了根據本揭露內容的一些方面的另一3D儲存裝置的截面的側視圖。
圖8C示出了根據本揭露內容的一些方面的又一3D儲存裝置的截面的側視圖。
圖9示出了根據本揭露內容的一些方面的提供有各種電壓的外圍電路的方塊圖。
圖10示出了根據本揭露內容的一些方面的包括輸入/輸出(I/O)電路的儲存裝置的方塊圖。
圖11A和11B分別示出了根據本揭露內容的一些方面的圖10的I/O電路中的3D電晶體的透視圖和側視圖。
圖12A和12B分別示出了平面電晶體的透視圖和側視圖。
圖13示出了根據本揭露內容的一些方面的包括字元線驅動器和頁緩衝器的儲存裝置的方塊圖。
圖14示出了根據本揭露內容的一些方面的圖13中的字元線驅動器和頁緩衝器的示意性電路圖。
圖15示出了根據本揭露內容的一些方面的具有多個平面和頁緩衝器的儲存裝置的示意性平面圖。
圖16示出了根據本揭露內容的一些方面的具有儲存單元陣列和包括頁緩衝器和字元線驅動器的外圍電路的儲存裝置的示意性平面圖。
圖17示出了字元線驅動器或頁緩衝器中的平面電晶體的設計布局。
圖18示出了根據本揭露內容的一些方面的圖13中的字元線驅動器或頁緩衝器中的3D電晶體的設計布局。
圖19示出了根據本揭露內容的一些方面的包括具有3D電晶體的串驅動器的3D儲存裝置的截面的側視圖。
圖20A和20B分別示出了根據本揭露內容的一些方面的圖13的頁緩衝器中的3D電晶體的透視圖和側視圖。
圖21A和21B分別示出了根據本揭露內容的一些方面的圖13的字元線驅動器中的3D電晶體的透視圖和側視圖。
圖22A-22J示出了根據本揭露內容的一些方面的用於形成3D電晶體的製造過程。
圖23示出了根據本揭露內容的一些方面的用於形成示例性3D儲存裝置的方法的流程圖。
圖24A示出了根據本揭露內容的一些方面的用於形成3D電晶體的方法的流程圖。
圖24B示出了根據本揭露內容的一些方面的用於形成3D電晶體的另一種方法的流程圖。
圖25示出了根據本揭露內容的一些方面的具有儲存裝置的示例性系統的方塊圖。
圖26A示出了根據本揭露內容的一些方面的具有儲存裝置的示例性記憶卡的視圖。
圖26B示出了根據本揭露內容的一些方面的具有儲存裝置的示例性固態驅動器(SSD)的視圖。
將參考圖式來說明本揭露內容。
儘管討論了具體的配置和布置,但應該理解,這樣做僅僅是為了說明的目的。因此,在不脫離本揭露內容的範圍的情況下,可以使用其他配置和布置。此外,本揭露內容還可以用於各種其他應用。如本揭露內容中描述的功能和結構特徵可以彼此並以未在圖式中具體示出的方式組合、調整和修改,使 得這些組合、調整和修改在本揭露內容的範圍內。
通常,可以至少部分地從上下文中的用法理解術語。例如,如本文所用的術語“一個或多個”至少部分取決於上下文,可用於以單數意義描述任何特徵、結構或特性,或可用於以複數意義描述特徵、結構或特徵的組合。類似地,至少部分取決於上下文,諸如“一”、“一個”或“該”的術語同樣可以被理解為表達單數用法或表達複數用法。另外,術語“基於”可以被理解為不一定旨在傳達排他性的因素集合,而是可以允許存在不一定明確描述的其他因素,這同樣至少部分地取決於上下文。
應當容易理解的是,本揭露內容中的“在......上”、“在......上方”和“在......之上”的含義應以最寬泛的方式來解釋,使得“在......上”不僅意味著“直接在某物上”,而且還包括其間具有中間特徵或層的“在某物上”的含義,並且“在......上方”或“在......之上”不僅意味著“在某物上方”或“在某物之上”的含義,而且還可以包括其間沒有中間特徵或層的“在某物上方”或“在某物之上”的含義(即,直接在某物上)。
此外,為了便於描述,可以在本文使用諸如“在......之下”、“在......下方”、“下”、“在......上方”、“上”等的空間相對術語來描述如圖所示的一個元件或特徵與另一個元件或特徵的關係。除了圖式中所示的方向之外,空間相對術語旨在涵蓋設備在使用或操作中的不同方向。該裝置可以以其他方式定向(旋轉90度或在其他方向),並且同樣可以相應地解釋本文使用的空間相關描述詞。
如本文所使用的,術語“基底”是指在其上添加後續材料層的材料。 基底本身可以被圖案化。添加在基底頂部的材料可以被圖案化或可以保持未圖案化。此外,基底可以包括多種半導體材料,例如矽,鍺、砷化鎵、磷化銦等。可替換地,基底可以由非導電材料製成,例如玻璃、塑料或藍寶石晶圓。
如本文所使用的,術語“層”是指包括具有厚度的區域的材料部分。層可以在整個下層或上層結構上延伸,或者可以具有小於下層或上層結構範圍的範圍。此外,層可以是厚度小於連續結構的厚度的均勻或不均勻連續結構的區域。例如,層可以位於連續結構的頂表面和底表面之間或在頂表面和底表面處的任何一對橫向平面之間。層可以橫向、垂直和/或沿著錐形表面延伸。基底可以是層,其中可以包括一層或多層,和/或可以在其上、上方和/或其下具有一層或多層。層可以包括多個層。例如,互連層可以包括一個或多個導體和觸點層(其中形成有互連線和/或垂直互連接入(過孔)觸點)以及一個或多個介電質層。
與邏輯裝置(例如微處理器)相比,用於儲存裝置(例如NAND快閃記憶體)的外圍電路的互補金屬氧化物半導體(CMOS)技術節點不太先進(例如,60nm及以上),因為記憶體外圍電路需要低成本和低洩漏電流(又稱為截止狀態電流Ioff)。隨著3D儲存裝置(例如,3D NAND快閃記憶體裝置)的發展,更多堆疊的層(例如,字元線)需要更多的用於操作3D儲存裝置的外圍電路,進而要求外圍電路的單元大小更小。例如,頁緩衝器的數量和/或大小需要增加以與增加的儲存單元的數量相匹配。在一些情況下,由頁緩衝器占據的晶片面積在3D NAND快閃記憶體中可能變得占主導,例如,超過總晶片面積的50%。在另一示例中,字元線驅動器中的串驅動器的數量與3D NAND快閃記憶體中的字元線的數量成比例。因此,字元線的不斷增加還增加了字元線驅動器所占據 的面積,以及金屬布線的複雜性,有時甚至增加了金屬層的數量。此外,在一些3D儲存裝置中,其中將儲存單元陣列和外圍電路製造在不同的基底上並鍵合在一起,外圍電路面積的不斷增加,尤其是頁緩衝器面積的不斷增加,使得其成為減小總晶片尺寸的瓶頸。
然而,遵循用於邏輯裝置的先進技術節點趨勢而按比例縮小外圍電路尺寸將導致顯著的成本增加和較高的洩漏電流,這對於儲存裝置來說是不合需要的。此外,因為3D NAND快閃記憶體裝置在某些記憶體操作(例如,編程和擦除)中需要相對高的電壓(例如,高於5V),這與可以隨著CMOS技術節點的進步而降低其工作電壓的邏輯裝置不同,因此不能降低提供給記憶體外圍電路的電壓。因此,透過遵循發展CMOS技術節點的趨勢(如普通邏輯裝置)來按比例縮小記憶體外圍電路尺寸變得不可行。
另一方面,對於3D NAND快閃記憶體的更高I/O速度的需求日益增加,這需要在記憶體I/O電路中使用的電晶體的更高飽和汲極電流(Idsat,也稱為導通狀態電流Ion)。然而,隨著飽和汲極電流持續增加,通常現有記憶體外圍電路(例如I/O電路)中使用的平面電晶體將遭受高洩漏電流,這對於儲存裝置也是不合需要的。
總之,諸如3D NAND快閃記憶體的儲存裝置的持續進步同時要求記憶體外圍電路的高速、低洩漏電流、高電壓和小尺寸,而不增加成本,這已經變得越來越具有挑戰性。現有記憶體外圍電路中使用的全平面電晶體解決方案或邏輯裝置中使用的先進CMOS技術節點解決方案都不能同時滿足上述要求。
為了解決上述問題中的一個或多個,本揭露內容介紹了一種解決方案,其中至少在一些記憶體外圍電路中,諸如I/O電路、頁緩衝器和字元線驅動器,用3D電晶體(也稱為非平面電晶體)代替傳統的平面電晶體。在一些實施方式中,由於本文公開的3D電晶體的製造製程與平面電晶體兼容,因此平面電晶體和3D電晶體在相同的製程流程中製造以實現具有3D電晶體和平面電晶體兩者的記憶體外圍電路的混合配置。
與平面電晶體相比,3D電晶體可以具有更大的閘極控制面積,以用更小的亞閾值擺幅實現更好的通道控制。在截止狀態期間,由於通道完全耗盡,所以可以很好地顯著減小3D電晶體的洩漏電流。因此,使用3D電晶體代替平面電晶體的記憶體外圍電路(例如,I/O電路)可實現好得多的速度(飽和汲極電流)/洩漏電流性能。例如,根據發明人所作的一些研究,具有相同的尺寸和相同的洩漏電流的情況下,3D電晶體的飽和汲極電流可以是平面電晶體的飽和汲極電流的兩倍以上(例如,3倍)。
除了由於高飽和汲極電流而使開關速度增加之外,透過用3D電晶體代替平面電晶體,也可以減小記憶體外圍電路尺寸。例如,根據發明人所做的一些研究,在相同尺寸和洩漏電流下,3D電晶體的飽和汲極電流可以是平面電晶體的飽和汲極電流的兩倍以上(例如,3倍)。因此,對於尺寸減小比速度增加更合乎需要的某些記憶體外圍電路,例如頁緩衝器和字元線驅動器,外圍電路的尺寸可以減小,同時保持相同的洩漏電流和飽和汲極電流。此外,根據發明人的一些研究,減小平面電晶體的電晶體尺寸的簡單解決方案是不可行的,因為洩漏電流由於窄通道效應而急劇增加,例如,當閘極寬度低於180nm時。
另一方面,為了滿足記憶體外圍電路的低洩漏電流、高電壓和低成本要求,與邏輯裝置相比,可以使用不太先進的CMOS技術節點(例如,14nm以上)來製造本文公開的3D電晶體。例如,雖然先進的CMOS技術節點(例如,小於22nm)可以減小電晶體尺寸,但是必須降低電壓(例如,降低到0.9V)以避免增加洩漏電流。然而,對於在記憶體操作期間需要在某些電壓電平下操作的記憶體外圍電路來說,電壓降低是不可接受的。此外,先進CMOS技術節點以及相關聯的製程和結構,例如用於應變控制的應力源和高介電常數(高k)/金屬閘極(HKMG),可能增加製造複雜性並降低生産成品率,因此增加成本,這可能不適合於成本敏感的記憶體外圍電路。
與本揭露內容的範圍一致,根據本揭露內容的一些方面,具有3D電晶體的外圍電路和儲存單元陣列可形成在不同晶圓上且以面對面方式鍵合在一起。因此,製造儲存單元陣列的熱預算不會影響外圍電路的製造。對於外圍電路和儲存單元陣列在同一晶圓上製造的現有儲存裝置,電晶體尺寸的減小受到形成儲存單元陣列的熱預算的限制。相反,在本揭露內容中,可在不受儲存單元陣列熱預算限制的情況下減小形成記憶體外圍電路的電晶體(例如,3D電晶體)的尺寸。此外,在一些實施方式中,在鍵合之後,可以將具有減小的3D電晶體尺寸的某些外圍電路(例如,字元線驅動器的串驅動器)布置為面向形成在另一基底上的儲存單元陣列的階梯結構,從而簡化金屬布線。
圖1A示出了根據本揭露內容的一些方面的3D儲存裝置100的截面的示意圖。3D儲存裝置100代表經鍵合的晶片的示例。3D儲存裝置100的部件(例如,儲存單元陣列及外圍電路)可單獨形成在不同基底上且隨後接合以形成經鍵合的晶片。3D儲存裝置100可以包括第一半導體結構102,其包括儲存單元的 陣列(儲存單元陣列)。在一些實施方式中,儲存單元陣列包括NAND快閃記憶體單元陣列。為了便於描述,NAND快閃記憶體單元陣列可用作描述本揭露內容中的儲存單元陣列的示例。但是,應當理解,儲存單元陣列不限於NAND快閃記憶體單元陣列,且可包括任何其他合適類型的儲存單元陣列,例如動態隨機存取記憶體(DRAM)單元陣列、靜態隨機存取記憶體(SRAM)單元陣列、NOR快閃記憶體單元陣列、相變記憶體(PCM)單元陣列、電阻性儲存單元陣列、磁性儲存單元陣列、自旋轉移矩(STT)儲存單元陣列,僅舉幾個示例,或其任何組合。
第一半導體結構102可以是NAND快閃記憶體裝置,其中以3D NAND記憶體串的陣列和/或二維(2D)NAND儲存單元的陣列的形式提供儲存單元。可以將NAND儲存單元組織成頁或指狀物,所述頁或指狀物接著被組織成若干塊,其中每個NAND儲存單元電連接到被稱為位元線(BL)的單獨線。NAND儲存單元中具有相同垂直位置的所有單元可由字元線(WL)透過控制閘極電連接。在一些實施方式中,平面包含透過同一位元線電連接的某一數量的塊。第一半導體結構102可以包括一個或多個平面,而執行所有讀取/編程(寫入)/擦除操作所需的外圍電路可以包括在第二半導體結構104中。
在一些實施方式中,NAND儲存單元陣列是2D NAND儲存單元陣列,其中的每一個包括浮閘電晶體。根據一些實施方式,2D NAND儲存單元陣列包括多個2D NAND記憶體串,其中的每一個包括串聯連接的多個儲存單元(例如,32到128個儲存單元)(類似於NAND門)和兩個選擇電晶體。根據一些實施方式,每個2D NAND記憶體串布置在基底上的同一平面中(在2D中)。在一些實施方式中,NAND儲存單元陣列是3D NAND記憶體串陣列,其中的每一個在 基底上方垂直延伸穿過堆疊結構(例如,記憶體堆疊體)(在3D中)。取決於3D NAND技術(例如,記憶體堆疊體中的層/級的數量),3D NAND記憶體串通常包括32到256個NAND儲存單元,其中的每一個包括浮閘電晶體或電荷捕獲電晶體。
如圖1A所示,3D儲存裝置100還可以包括第二半導體結構104,其包括第一半導體結構102的儲存單元陣列的外圍電路。外圍電路(又稱控制和感測電路)可包括用於促進儲存單元陣列的操作的任何合適的數位、模擬和/或混合訊號電路。例如,外圍電路可以包括頁緩衝器、解碼器(例如,行解碼器和列解碼器)、讀出放大器、驅動器(例如,字元線驅動器)、I/O電路、電荷泵、電壓源或發生器、電流或電壓基準、上述功能電路的任何部分(例如,子電路)、或電路的任何主動或被動部件(例如,電晶體、二極體、電阻器或電容器)中的一個或多個。第二半導體結構104中的外圍電路使用CMOS技術,例如,其可以用邏輯製程來實現(例如,90nm、65nm、60nm、45nm、32nm、28nm等的技術節點)。如上文和下文詳細描述的,與本揭露內容的範圍一致,用於製造第二半導體結構104中的外圍電路的技術節點在22nm以上,以便減少洩漏電流、維持某些電壓電平(例如,1.2V和以上)並降低成本。
如圖1A所示,3D儲存裝置100進一步包括垂直地在第一半導體結構102與第二半導體結構104之間的鍵合界面106。如下文詳細描述的,第一半導體結構102和第二半導體結構104可以分開製造(並且在一些實施方式中並行製造),使得製造第一半導體結構102和第二半導體結構104之一的熱預算不限制製造第一半導體結構102和第二半導體結構104中的另一個的製程。此外,可以透過鍵合界面106形成大量互連(例如,鍵合觸點),以在第一半導體結構102和第 二半導體結構104之間進行直接的短距離(例如,微米級)電連接,而不是在諸如印刷電路板(PCB)的電路板上的長距離(例如,毫米或厘米級)晶片到晶片數據總線,從而消除晶片介面延遲並以降低的功耗實現高速I/O吞吐量。第一半導體結構102中的儲存單元陣列與第二半導體結構104中的外圍電路之間的數據傳送可透過跨越鍵合界面106的互連(例如,鍵合觸點)來執行。透過垂直集成第一半導體結構102和第二半導體結構104,可以減小晶片尺寸,並可以增加儲存單元密度。
應當理解,堆疊的第一半導體結構102和第二半導體結構104的相對位置不受限制。圖1B示出了根據一些實施方式的另一示例性3D儲存裝置101的截面的示意圖。與圖1A中的3D儲存裝置100不同,其中包括外圍電路的第二半導體結構104在包括儲存單元陣列的第一半導體結構102上方,在圖1B中的3D儲存裝置101中,包括儲存單元陣列的第一半導體結構102在包括外圍電路的第二半導體結構104上方。然而,根據一些實施方式,鍵合界面106垂直地形成在3D儲存裝置101中的第一半導體結構102和第二半導體結構104之間,並且第一半導體結構102和第二半導體結構104透過鍵合(例如,混合鍵合)垂直地接合。混合鍵合,也稱為“金屬/介電質混合鍵合”,是一種直接鍵合技術(例如,在不使用中間層(例如焊料或黏合劑)的情況下在表面之間形成鍵合),並且可以同時獲得金屬-金屬(例如,Cu-至-Cu)鍵合和介電質-介電質(例如,SiO2-至-SiO2)鍵合。第一半導體結構102中的儲存單元陣列與第二半導體結構104中的外圍電路之間的數據傳送可透過跨越鍵合界面106的互連(例如,鍵合觸點)來執行。
圖2示出了根據本揭露內容的一些方面的包括外圍電路的儲存裝置200的示意性電路圖。儲存裝置200可包括儲存單元陣列201和耦接到儲存單元陣 列201的外圍電路202。3D儲存裝置100和101可以是其中儲存單元陣列201和外圍電路202可分別包括在第一半導體結構102和第二半導體結構104中的儲存裝置200的示例。儲存單元陣列201可以是NAND快閃記憶體單元陣列,其中儲存單元206以3D NAND記憶體串208的陣列的形式提供,每一個3D NAND記憶體串在基底(未示出)上方垂直延伸。在一些實施方式中,每個3D NAND記憶體串208包括串聯耦接且垂直堆疊的多個儲存單元206。每個儲存單元206可保持連續模擬值,例如電壓或電荷,這取決於在儲存單元206的區域內捕獲的電子的數量。每個儲存單元206可以是包括浮閘電晶體的浮閘類型的儲存單元,或者是包括電荷捕獲電晶體的電荷捕獲類型的儲存單元。
在一些實施方式中,每個儲存單元206是具有兩個可能記憶體狀態且因此可儲存一位元數據的單電平單元(SLC)。例如,第一記憶體狀態“0”可對應於第一電壓範圍,而第二記憶體狀態“1”可對應於第二電壓範圍。在一些實施方式中,每個儲存單元206是能夠以四個或更多個記憶體狀態儲存多於單個資料位元的多電平單元(MLC)。例如,MLC可以每單元儲存兩位元、每單元儲存三位元(也稱為三電平單元(TLC))、或每單元儲存四位元(也稱為四電平單元(QLC))。每個MLC可被編程為採用可能的標稱儲存值的範圍。在一個示例中,如果每個MLC儲存兩位元數據,則可以透過將三個可能的標稱儲存值中的一個寫入單元來編程MLC以採取從擦除狀態起的三個可能的編程電平中的一個。第四標稱儲存值可用於擦除狀態。
如圖2中所示,每個NAND記憶體串208可包括在其源極端處的源極選擇閘極(SSG)210和在其汲極端處的汲極選擇閘極(DSG)212。SSG電晶體210和DSG電晶體212可被配置為在讀取和編程操作期間啟動所選NAND記憶體 串208(陣列的列)。在一些實施方式中,同一塊204中的3D NAND記憶體串208的SSG電晶體210的源極透過同一源極線(SL)214(例如,公共SL)耦接到地。根據一些實施方式,每個3D NAND記憶體串208的DSG電晶體212耦接到相應位元線216,可經由輸出總線(未示出)從所述位元線讀取或編程數據。在一些實施方式中,每個3D NAND記憶體串208被配置為透過經由一條或多條DSG線213將選擇電壓(例如,高於DSG電晶體212的閾值電壓)或不選擇電壓(例如,0V)施加到相應DSG電晶體212和/或透過經由一條或多條SSG線215將選擇電壓(例如,高於SSG電晶體210的閾值電壓)或不選擇電壓(例如,0V)施加到相應SSG電晶體210,而被選擇或不被選擇。
如圖2中所示,3D NAND記憶體串208可被組織成多個塊204,其中的每個塊可具有公共源極線214。在一些實施方式中,每個塊204是用於擦除操作的基本數據單位,即,同時擦除同一塊204上的所有儲存單元206。儲存單元206可透過字元線218耦接,所述字元線選擇儲存單元206的哪一行受讀取和編程操作影響。在一些實施方式中,每條字元線218耦接到儲存單元206的行220,其是用於編程和讀取操作的基本數據單位。每條字元線218可包括在相應行220中的每個儲存單元206處的多個控制閘極(閘電極)和耦接控制閘極的閘極線。
外圍電路202可透過位元線216、字元線218、源極線214、SSG線215和DSG線213耦接到儲存單元陣列201。如上所述,外圍電路202可包括任何合適的電路,用於透過經由字元線218、源極線214、SSG線215和DSG線213施加和感測經由位元線216往返於每個目標儲存單元206的電壓訊號和/或電流訊號來促進儲存單元陣列201的操作。外圍電路202可包括使用MOS技術形成的各種類型的外圍電路。例如,圖3示出了一些示例性外圍電路202,包括頁緩衝器304、列解 碼器/位元線驅動器306、行解碼器/字元線驅動器308、電壓發生器310、控制邏輯312、暫存器314、介面(I/F)316和數據總線318。應當理解,在一些示例中,也可包括額外外圍電路202。
頁緩衝器304可被配置為根據控制邏輯312的控制訊號來緩衝從儲存單元陣列201讀取或編程到其的數據。在一個示例中,頁緩衝器304可以儲存一頁編程數據(寫入數據),以編程到儲存單元陣列201的一行220中。在另一示例中,頁緩衝器304還執行編程驗證操作以確保數據已經被正確編程到耦接到所選字元線218的儲存單元206中。
行解碼器/字元線驅動器308可以被配置為由控制邏輯312控制,並且選擇或不選擇儲存單元陣列201的塊204,以及選擇或不選擇所選塊204的字元線218。行解碼器/字元線驅動器308可以進一步被配置為驅動儲存單元陣列201。例如,行解碼器/字元線驅動器308可以使用從電壓發生器310生成的字元線電壓來驅動耦接到所選字元線218的儲存單元206。在一些實施方式中,行解碼器/字元線驅動器308可包括耦接到局部字元線和字元線218的解碼器和串驅動器(驅動電晶體)。
電壓發生器310可被配置為由控制邏輯312控制且生成待提供到儲存單元陣列201的字元線電壓(例如,讀取電壓、編程電壓、通過電壓、局部電壓和檢驗電壓)。在一些實施方式中,電壓發生器310是電壓源的一部分,所述電壓源提供不同外圍電路202的各種電平的電壓,如下文詳細描述。與本揭露內容的範圍一致,在一些實施方式中,由電壓發生器310提供到(例如)行解碼器/字元線驅動器308和頁緩衝器304的電壓高於足以執行記憶體操作的某些電平。 例如,提供到頁緩衝器304的電壓可在2V與3.3V之間,例如3.3V,且提供到行解碼器/字元線驅動器308的電壓可大於3.3V,例如在3.3V與30V之間。
列解碼器/位元線驅動器306可被配置為由控制邏輯312控制且透過施加從電壓發生器310生成的位元線電壓來選擇一個或多個3D NAND記憶體串208。例如,列解碼器/位元線驅動器306可施加列訊號,以用於從頁緩衝器304選擇將在讀取操作中輸出的N個資料位元集合。
控制邏輯312可以耦接到每個外圍電路202,並且被配置為控制外圍電路202的操作。暫存器314可以耦接到控制邏輯312,並且包括用於儲存狀態信息、命令操作碼(OP碼)和用於控制每個外圍電路202的操作的命令地址的狀態暫存器、命令暫存器和地址暫存器。
介面316可耦接到控制邏輯312且被配置為將儲存單元陣列201與記憶體控制器(未示出)介面連接。在一些實施方式中,介面316充當控制緩衝器,以將從記憶體控制器和/或主機(未示出)接收的控制命令緩衝並中繼到控制邏輯312,並將從控制邏輯312接收的狀態訊息緩衝並中繼到記憶體控制器和/或主機。介面316還可經由數據總線318耦接到頁緩衝器304和列解碼器/位元線驅動器306,且充當I/O介面和數據緩衝器以將從記憶體控制器和/或主機接收的編程數據緩衝並中繼到頁緩衝器304,且將來自頁緩衝器304的讀取數據緩衝並中繼到記憶體控制器和/或主機。在一些實施方式中,介面316和數據總線318是外圍電路202的I/O電路的一部分。
與本揭露內容的範圍一致,儲存裝置200的至少一個外圍電路202可 具有3D電晶體而非平面電晶體,以便同時實現高速、低洩漏電流、高電壓及小尺寸,而不增加成本。在一些實施方式中,用3D電晶體替換每個外圍電路202中的所有平面電晶體。即,外圍電路202可以根本不具有平面電晶體。在一些實施方式中,由於本文公開的3D電晶體的製造製程與平面電晶體兼容,因此平面電晶體和3D電晶體在相同的製程流程中製造以實現具有3D電晶體和平面電晶體兩者的記憶體外圍電路的混合配置。即,外圍電路202也可具有平面電晶體。例如,一個或多個外圍電路202可以具有3D電晶體,而其他外圍電路202仍然可以具有平面電晶體。應當理解,在一些示例中,3D電晶體和平面電晶體兩者可用於同一外圍電路202中。例如,圖4示出了根據本揭露內容的一些方面的平面電晶體的透視圖,圖5示出了根據本揭露內容的一些方面的3D電晶體的透視圖。
如圖4所示,平面電晶體400可以是基底402上的MOS場效應電晶體(MOSFET),其可以包括矽(例如,單晶矽、c-Si)、矽鍺(SiGe)、砷化鎵(GaAs)、鍺(Ge)、絕緣體上矽(SOI)或任何其他合適的材料。注意,在圖4中添加了x軸和y軸,以進一步示出半導體器件(例如,平面電晶體400)的部件的空間關係。基底402包括在x方向(橫向方向或寬度方向)上橫向延伸的兩個橫向表面(例如,頂表面和底表面)。如本文所使用的,當基底(例如,基底402)在y方向上位於半導體器件(例如,平面電晶體400)的最低平面中時,在y方向(垂直方向或厚度方向)上相對於半導體器件的基底確定半導體器件的一個部件(例如,層或器件)是在另一個部件(例如,層或器件)“上”、“上方”還是“下方”(例如,層或器件)。在本揭露內容中應用了用於描述空間關係的相同概念。
可以在基底402中以及相鄰的平面電晶體400之間形成溝槽隔離404,例如淺溝槽隔離(STI),以減小電流洩漏。溝槽隔離404可以包括任何合 適的介電材料,諸如氧化矽、氮化矽、氮氧化矽或高k介電質(例如,氧化鋁、氧化鉿、氧化鋯等)。在一些實施方式中,高k介電質材料包括具有高於氮化矽的介電常數或k值的介電常數或k值(k>7)的任何介電質。在一些實施方式中,溝槽隔離404包括氧化矽。
如圖4所示,平面電晶體400還可以包括基底402上的閘極結構408。在一些實施方式中,閘極結構408在基底402的頂表面上。雖然未示出,但是閘極結構408可以包括在基底402上,即在基底402的頂表面上方並與其接觸的閘極介電質。閘極結構408也可以包括在閘極介電質上的閘電極,即在閘極介電質上方並與其接觸。閘極介電質可以包括任何合適的介電質材料,諸如氧化矽、氮化矽、氮氧化矽或高k介電質。在一些實施方式中,閘極介電質包括氧化矽,即,閘極氧化物。閘電極可以包括任何合適的導電材料,例如多晶矽、金屬(例如,鎢(W)、銅(Cu)、鋁(Al)等)、金屬化合物(例如,氮化鈦(TiN)、氮化鉭(TaN)等)或矽化物。在一些實施方式中,閘電極包括摻雜多晶矽,即,閘極多晶矽。
如圖4所示,平面電晶體400還可以包括在基底402中的一對源極和汲極406。源極和汲極406可以摻雜有任何合適的P型摻雜劑,例如硼(B)或鎵(Ga),或者任何合適的N型摻雜劑,例如磷(P)或砷(As)。在平面圖中,源極和汲極406可以由閘極結構408隔開。即,根據一些實施方式,在平面圖中,閘極結構408形成在源極與汲極406之間。當施加到閘極結構408的閘電極的閘極電壓高於平面電晶體400的閾值電壓時,可以在閘極結構408下方的源極和汲極406之間橫向地形成基底402中的平面電晶體400的通道410。如圖4所示,閘極結構408可以在其中可以形成通道410的部分基底402(主動區)的頂表面上方並與其接觸。 即,根據一些實施方式,閘極結構408僅與主動區的一側接觸,即,在基底402的頂表面的平面中接觸。閘極結構408還包括在閘電極和通道410之間的閘極介電質(例如,閘極氧化物,圖4中未示出)。應當理解,儘管圖4中未示出,但是平面電晶體400可以包括附加的部件,例如阱和間隔物。
如圖5所示,3D電晶體500可以是基底502上的MOSFET,其可以包括矽(例如,單晶矽、c-Si)、SiGe、GaAs、Ge、絕緣體上矽SOI或任何其他合適的材料。在一些實施方式中,基底502包括單晶矽。可以在基底502中以及在相鄰3D電晶體500之間形成諸如STI的溝槽隔離504以減少電流洩漏。溝槽隔離504可以包括任何合適的介電質材料,諸如氧化矽、氮化矽、氮氧化矽或高k介電質(例如,氧化鋁、氧化鉿、氧化鋯等)。在一些實施方式中,高k介電質材料包括具有高於氮化矽的介電常數或k值的介電常數或k值(k>7)的任何介電質。在一些實施方式中,溝槽隔離404包括氧化矽。
如圖5所示,與平面電晶體400不同,3D電晶體500還可以包括在基底502上方的3D半導體主體505。即,在一些實施方式中,3D半導體主體505至少部分地在基底502的頂表面上方延伸,以不僅暴露3D半導體主體505的頂表面,還暴露兩個側表面。如圖5所示,例如,3D半導體主體505可以是3D結構,其也被稱為“鰭狀物”,以暴露其三個側面。如下面關於3D電晶體500的製造製程所描述的,根據一些實施方式,3D半導體主體505由基底502形成,並且因此具有與基底502相同的半導體材料。在一些實施方式中,3D半導體主體505包括單晶矽。由於通道可以形成在3D半導體主體505中,因此與基底502相對的3D半導體主體505(例如,鰭狀物)可以被視為3D電晶體500的主動區。
圖6A示出了根據本揭露內容的一些方面的圖5中的3D電晶體500在平面A-A中的截面的側視圖。圖6B示出了根據本揭露內容的一些方面的圖5中的3D電晶體500在平面B-B中的截面的側視圖。如圖5和6B所示,3D電晶體500還可以包括在基底502上的閘極結構508。與閘極結構408僅與主動區的一側接觸,即在基底402的頂表面的平面中接觸的平面電晶體400不同,3D電晶體500的閘極結構508可以與主動區的多個側面接觸,即在3D半導體主體505的頂表面和側表面的多個平面中接觸。即,3D電晶體500的主動區,即3D半導體主體505,可以至少部分地被閘極結構508包圍。
閘極結構508可以包括在3D半導體主體505之上的閘極介電質602,例如,與3D半導體主體505的頂表面和兩個側表面接觸。閘極結構508還可以包括在閘極介電質602之上並與其接觸的閘電極604。閘極介電質602可以包括任何合適的介電質材料,例如氧化矽、氮化矽、氮氧化矽或高k介電質。在一些實施方式中,閘極介電質602包括氧化矽,即,閘極氧化物。閘電極604可以包括任何合適的導電材料,例如多晶矽、金屬(例如W、Cu、Al等)、金屬化合物(例如TiN、TaN等)或矽化物。在一些實施方式中,閘電極604包括摻雜多晶矽,即,閘極多晶矽。
如圖5和6A所示,3D電晶體500還可以包括在基底502中的一對源極和汲極506(摻雜區,又稱源電極和汲電極)。源極和汲極506可以摻雜有任何合適的P型摻雜劑,例如B或Ga,或者任何合適的N型摻雜劑,例如P或Ar。在平面圖中,源極和汲極506可以被閘極結構508隔開。即,根據一些實施方式,在平面圖中,閘極結構508形成在源極與汲極506之間。結果,當施加到閘極結構508的閘電極604的閘極電壓高於3D電晶體500的閾值電壓時,可以在由閘極結構508 圍繞的源極和汲極506之間橫向地形成3D半導體主體505中的3D電晶體500的多個通道。與其中僅可以在基底402的頂表面上形成單個通道的平面電晶體400不同,在3D電晶體500中的3D半導體主體505的頂表面和側表面上可以形成多個通道。在一些實施方式中,3D電晶體500包括多閘極電晶體。即,與僅包括單個閘極的平面電晶體400不同,由於3D半導體主體505的3D結構和圍繞3D半導體主體505的多個側面的閘極結構508,3D電晶體500可以包括在3D半導體主體505的多個側面上的多個閘極。結果,與平面電晶體400相比,3D電晶體500可以具有更大的閘極控制面積,而以更小的亞閾值擺幅實現更好的通道控制。在截止狀態期間,由於通道完全耗盡,因此可以很好地顯著減小3D電晶體500的洩漏電流(Ioff)。另一方面,3D電晶體500的尺寸可以從平面電晶體400顯著減小,同時仍然保持與平面電晶體400相同的電性能(例如,通道控制、亞閾值擺幅和/或洩漏電流)。
應當理解,雖然如上所述,3D電晶體(例如,FinFET)也用於使用先進技術節點(例如,小於22nm)的邏輯裝置(例如,微處理器)中,但由於對邏輯裝置與記憶體外圍電路之間的電晶體的不同要求,3D電晶體500的設計也可展現邏輯裝置中使用的3D電晶體中所未見的獨特特徵。從材料角度來看,在一些實施方式中,不同於使用先進技術節點(例如,小於22nm)的邏輯裝置中的3D電晶體(例如,FinFET),其使用HKMG(即,用於閘極介電質的高k介電質,及用於閘電極的金屬),記憶體外圍電路中的3D電晶體500使用閘極多晶矽和閘極氧化物而不是HKMG,以降低製造成本和複雜性。
從電晶體尺寸的角度來看,記憶體外圍電路中的3D電晶體500可能不會遵循使用先進技術節點(例如,小於22nm)的邏輯裝置(例如,微處理器) 的相同趨勢而按比例縮小。尺寸的差異可允許3D電晶體500在較高電壓(例如,3.3V及以上)下使用,所述較高電壓對於使用先進技術節點(例如,小於22nm)的邏輯裝置中的3D電晶體(例如,FinFET)來說通常不使用且不合需要。尺寸上的差異也可顯著地降低記憶體外圍電路中的3D電晶體500的製造成本和複雜性。
例如,在一些實施方式中,如圖6B所示,3D半導體主體505的寬度W大於10nm。例如,3D半導體主體505的寬度可以在30nm和1000nm之間(例如,30nm、40nm、50nm、60nm、70nm、80nm、90nm、100nm、200nm、300nm、400nm、500nm、600nm、700nm、800nm、900nm、1000nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D電晶體500的寬度可以顯著大於(例如,一倍或多倍或者甚至一個或多個數量級)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的寬度。
在一些實施方式中,如圖6B所示,3D半導體主體505的高度H大於40nm。例如,3D半導體主體505的高度可以在50nm和1000nm之間(例如,50nm、60nm、70nm、80nm、90nm、100nm、200nm、300nm、400nm、500nm、600nm、700nm、800nm、900nm、1000nm,由這些值中的任何一個值為下限所界定的任何範圍,或者在由這些值中的任何兩個值所限定的任何範圍中)。3D電晶體500的高度可以顯著大於(例如,一倍或多倍或者甚至一個或多個數量級)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的高度。
在一些實施方式中,如圖6B中所示,閘極介電質602的厚度T大於1.8nm。例如,閘極介電質602的厚度可以在2nm和100nm之間(例如,2nm、3nm、4nm、5nm、6nm、7nm、8nm、9nm、10nm、20nm、30nm、40nm、50nm、60nm、70nm、80nm、90nm、100nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。閘極介電質602的厚度可以顯著大於(例如,一倍或多倍或者甚至一個或多個數量級)用於使用先進技術節點(例如,小於22nm)的邏輯裝置中的3D電晶體(例如,FinFET)的厚度。結果,利用較厚的閘極介電質602,3D電晶體500可以比在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)承受更高的電壓(例如,3.3V和更高)。
在一些實施方式中,如圖6A所示,3D電晶體500的通道長度L大於30nm。例如,3D電晶體500的通道長度可以在50nm和1500nm之間(例如,50nm、60nm、70nm、80nm、90nm、100nm、200nm、300nm、400nm、500nm、600nm、700nm、800nm、900nm、1000nm、1100nm、1200nm、1300nm、1400nm、1500nm、由這些值中的任何一個值為下限界定的任何範圍、或在由這些值中的任何兩個值限定的任何範圍中)。3D電晶體500的通道長度可以顯著大於(例如,一倍或多倍或者甚至一個或多個數量級)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的通道長度。
應當理解,儘管在圖5、6A和6B中未示出,但是3D電晶體500可以包括附加部件,例如阱和間隔物。還應當理解,與在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)不同,其包括在源極和汲極處包括GaAs或SiGe(又稱應變元件)的應力源或者使用應變矽技術在 通道中施加應變以便增加載流子遷移率,3D電晶體500可以由於其相對大的尺寸以及為了降低製造複雜性和成本而不包括在源極和汲極506處的應力源和/或可以不在3D半導體主體505中使用應變半導體材料。
還應當理解,圖5、6A和6B示出了可以在記憶體外圍電路中使用的3D電晶體(例如,FinFET)的一個示例,並且任何其他合適的3D電晶體(例如,全環閘(GAA)FET)也可以在記憶體外圍電路中使用。例如,圖7A-7I示出了根據本揭露內容的各個方面的各種3D電晶體的截面的側視圖。類似於圖5、6A和6B中的3D電晶體500,圖7A-7I中的每個3D電晶體可以是具有在基底上方的3D半導體主體和與3D半導體主體的多於一個側面接觸的閘極結構的多閘極電晶體。閘極結構可以包括閘極介電質和閘電極。例如,圖7A、7B和7C分別示出了全環閘(GAA)無矽(SON)電晶體、多獨立閘極FET(MIGET)和FinFET,其中的每一個都被認為是雙閘極電晶體。例如,圖7D、7E和7F分別示出三閘極FET、Π-閘極FET和Ω-FET,其中的每一個都被認為是三閘極電晶體。例如,圖7G、7H和7I分別示出了四閘極FET、圓柱形FET和多橋/堆疊奈米線FET,其中的每一個都被認為是環繞型閘極電晶體。如圖7A-7I中可以看到的,3D半導體主體的截面在側視圖中可以具有正方形形狀、矩形形狀(或梯形形狀)、圓形(或橢圓形形狀)或任何其他合適的形狀。應當理解,與本揭露內容的範圍一致,對於其截面具有圓形或橢圓形形狀的3D半導體主體,3D半導體主體仍然可以被認為具有多個側面,使得閘極結構與3D半導體主體的多於一個側面接觸。應當理解,在一些示例中,多個3D電晶體(例如,多個FinFET)可以共享單個3D半導體主體(例如,鰭狀物),即,形成在單個3D半導體主體上。例如,多個FinFET可以平行地布置在同一鰭狀物上,並且在共享同一鰭狀物的多個FinFET之間可以沒有形成任何溝槽隔離(例如STI)來分離FinFET。
如上文關於圖1A和1B所述的,3D電晶體500可以是與具有儲存單元陣列的第一半導體結構102鍵合的第二半導體結構104的外圍電路中的電晶體的一個示例。例如,圖8A示出了根據一些實施方式的示例性3D儲存裝置800的截面的側視圖。應當理解,圖8A僅用於說明性目的,並且實際上可以不一定反映實際器件結構(例如,互連)。作為以上關於圖1A描述的3D儲存裝置100的一個示例,3D儲存裝置800是包括第一半導體結構802和堆疊在第一半導體結構802之上的第二半導體結構804的鍵合晶片。根據一些實施方式,第一半導體結構802和第二半導體結構804在其間的鍵合界面806處接合。如圖8A所示,第一半導體結構802可以包括基底808,其可以包括矽(例如,單晶矽,c-Si)、SiGe、GaAs、Ge、SOI或任何其他合適的材料。
第一半導體結構802可以包括在基底808上方的器件層810。在一些實施方式中,器件層810包括第一外圍電路812(例如,頁緩衝器304、字元線驅動器308、和/或I/O電路316和318)、以及第二外圍電路814(例如,控制邏輯312、暫存器314等)。在一些實施方式中,第一外圍電路812包括多個3D電晶體816(例如,對應於3D電晶體500),且第二外圍電路814包括多個平面電晶體818(例如,對應於平面電晶體400)。溝槽隔離860和862(例如STI)和摻雜區(例如,電晶體816和818的阱、源極和汲極)也可以形成在基底808上或其中。在一些實施方式中,在平面圖中,溝槽隔離860在基底808上並且橫向地在兩個相鄰的3D電晶體816之間,並且溝槽隔離862延伸到基底808中並且橫向地在兩個相鄰的平面電晶體818之間。在一些實施方式中,溝槽隔離862和溝槽隔離860具有不同深度(例如,其底表面在y方向上處於不同平面中),因為它們分別分離不同類型的電晶體(平面電晶體818和3D電晶體816)。例如,如圖8A所示,溝槽隔離862可以具 有比溝槽隔離860更大的深度。應當理解,取決於不同的製造製程,在一些示例中,溝槽隔離862和溝槽隔離860具有相同的深度(例如,其底表面在y方向上處於相同平面中)。
在一些實施方式中,第一半導體結構802進一步包括在器件層810上方的互連層820以往返於外圍電路812和814傳輸電訊號。互連層820可以包括多個互連(本文也稱為“觸點”),包括橫向互連線和垂直互連通路(VIA)觸點。如本文所使用的,術語“互連”可以廣泛地包括任何適當類型的互連,例如中段製程(MEOL)互連和後段製程(BEOL)互連。互連層820還可以包括一個或多個層間介電質(ILD)層(又稱為“金屬間介電質(IMD)層”),其中可以形成互連線和通孔觸點。即,互連層820可以包括多個ILD層中的互連線和通孔觸點。在一些實施方式中,器件層810中的器件透過互連層820中的互連彼此耦接。例如,外圍電路812可透過互連層820耦接到外圍電路814。
如圖8A所示,第一半導體結構802還可以包括在鍵合界面806處並且在互連層820和器件層810上方的鍵合層822。鍵合層822可以包括多個鍵合觸點824和電隔離鍵合觸點824的介電質。鍵合觸點824可包括導電材料。鍵合層822的剩餘區域可以由介電質材料形成。鍵合層822中的鍵合觸點824和周圍介電質可以用於混合鍵合。類似地,如圖8A所示,第二半導體結構804還可以包括在第一半導體結構802的鍵合界面806處和鍵合層822上方的鍵合層826。鍵合層826可以包括多個鍵合觸點828和電隔離鍵合觸點828的介電質。鍵合觸點828可包括導電材料。鍵合層826的剩餘區域可以由介電質材料形成。鍵合層826中的鍵合觸點828和周圍介電質可用於混合鍵合。根據一些實施方式,鍵合觸點828在鍵合界面806處與鍵合觸點824接觸。
第二半導體結構804可以在鍵合界面806處以面對面的方式鍵合在第一半導體結構802的頂部上。在一些實施方式中,作為混合鍵合(也稱為“金屬/介電質混合鍵合”)的結果,鍵合界面806設置在鍵合層822和826之間,混合鍵合是一種直接鍵合技術(例如,在表面之間形成鍵合而不使用諸如焊料或黏合劑的中間層)並且可以同時獲得金屬-金屬鍵合和介電質-介電質鍵合。在一些實施方式中,鍵合界面806是鍵合層822和826相遇並鍵合的位置。實際上,鍵合界面806可以是具有一定厚度的層,其包括第一半導體結構802的鍵合層822的頂表面和第二半導體結構804的鍵合層826的底表面。
在一些實施方式中,第二半導體結構804還包括鍵合層826上方的互連層830以傳輸電信號。互連層830可以包括多個互連,例如MEOL互連和BEOL互連。在一些實施方式中,互連層830中的互連還包括局部互連,諸如位元線、位元線觸點和字元線觸點。互連層830還可以包括一個或多個ILD層,其中可以形成互連線和和通孔觸點。在一些實施方式中,第一外圍電路812是頁緩衝器304,且第一外圍電路812的3D電晶體816耦接到第二半導體結構804的位元線。在一些實施方式中,第一外圍電路812是字元線驅動器308,且第一外圍電路812的3D電晶體816耦接到第二半導體結構804的字元線(例如,導電層834)。
在一些實施方式中,第二半導體結構804包括NAND快閃記憶體裝置,其中儲存單元以3D NAND記憶體串838陣列形式提供在互連層830和鍵合層826上方。根據一些實施方式,每個3D NAND記憶體串838垂直延伸穿過各自包括導電層834和介電質層836的多個對。堆疊且交錯的導電層834和介電質層836在本文中還被稱為堆疊結構,例如,記憶體堆疊體832。根據一些實施方式,記 憶體堆疊體832中的交錯導電層834和介電質層836在垂直方向上交替。每個導電層834可包括由黏合層和閘極介電質層圍繞的閘電極(閘極線)。堆疊導電層834的閘電極可以橫向延伸為字元線,終止於記憶體堆疊體832的一個或多個階梯結構處。
在一些實施方式中,每個3D NAND記憶體串838是包括半導體通道和記憶體膜的“電荷捕獲”類型的NAND記憶體串。在一些實施方式中,半導體通道包括矽,例如非晶矽、多晶矽或單晶矽。在一些實施方式中,記憶體膜是包括穿隧層、儲存層(也稱為“電荷捕獲/儲存層”)和阻擋層的複合介電質層。每個3D NAND記憶體串838可以具有圓柱形狀(例如,柱形)。根據一些實施方式,儲存膜的半導體通道、穿隧層、儲存層和阻擋層沿著從柱的中心向外表面的方向以該順序排列。在一些實施方式中,3D NAND記憶體串838還包括多個控制閘極(各自是字元線的一部分)。記憶體堆疊體832中的每個導電層834可充當3D NAND記憶體串838的每個儲存單元的控制閘極。
在一些實施方式中,第二半導體結構804還包括設置在記憶體堆疊體832和3D NAND記憶體串838上方的半導體層848。半導體層848可以是其上形成記憶體堆疊體832和3D NAND記憶體串838的減薄基底。在一些實施方式中,半導體層848包括單晶矽。半導體層848還可包括隔離和摻雜區域(例如,用作3D NAND記憶體串838的陣列公共源極(ACS),未示出)。應當理解,3D NAND記憶體串838不限於“電荷捕獲”類型的3D NAND記憶體串,並且在其他示例中可以是“浮閘”類型的3D NAND記憶體串。半導體層848可以包括多晶矽作為“浮閘”類型的3D NAND記憶體串的源極板。
如圖8A所示,第二半導體結構804還可以包括半導體層848上方的焊墊輸出互連層850。焊墊輸出互連層850可以包括一個或多個ILD層中的互連,例如觸點焊墊852。焊墊輸出互連層850和互連層830可以形成在半導體層848的相對側。在一些實施方式中,例如出於焊墊輸出的目的,焊墊輸出互連層850中的互連可以在3D儲存裝置800和外部電路之間傳輸電訊號。在一些實施方式中,第二半導體結構804還包括延伸穿過半導體層848以電連接焊墊輸出互連層850以及互連層830和820的一個或多個觸點854。因此,外圍電路812和814可透過互連層830和820以及鍵合觸點828和824耦接到3D NAND記憶體串838的陣列。即,3D NAND記憶體串838的陣列可以跨越鍵合界面806耦接到3D電晶體816和平面電晶體818。此外,外圍電路812和814及3D NAND記憶體串838的陣列可透過觸點854和焊墊輸出互連層850耦接到外部電路。
圖8B示出了根據本揭露內容的一些方面的另一示例性3D儲存裝置801的截面。應當理解,圖8B僅用於說明性目的,並且實際上可以不一定反映實際器件結構(例如,互連)。作為以上關於圖1B描述的3D儲存裝置101的一個示例,3D儲存裝置801是包括第二半導體結構803和堆疊在第二半導體結構803之上的第一半導體結構805的鍵合晶片。類似於以上在圖8A中描述的3D儲存裝置800,3D儲存裝置801代表其中第一半導體結構805和第二半導體結構803單獨形成且在鍵合界面807處以面對面方式鍵合的鍵合晶片的示例。應當理解,以下可不重複3D儲存裝置800和801兩者中的類似結構(例如,材料、製造製程、功能等)的細節。
第二半導體結構803可以包括基底809和記憶體堆疊體811,該記憶體堆疊體811包括在基底809上方的交錯導電層813和介電質層815。在一些實施方 式中,3D NAND記憶體串817的陣列各自垂直延伸穿過基底809上方的記憶體堆疊體811中的交錯導電層813和介電質層815。每個3D NAND記憶體串817可包括半導體通道和記憶體膜。3D NAND記憶體串817可以是“電荷捕獲”類型的3D NAND記憶體串或“浮閘”類型的3D NAND記憶體串。
在一些實施方式中,第二半導體結構803還包括在記憶體堆疊體811和3D NAND記憶體串817上方的互連層827,以往返於3D NAND記憶體串817傳輸電訊號。互連層827可以包括多個互連,包括互連線和通孔觸點。在一些實施方式中,互連層827中的互連還包括局部互連,諸如位元線、位元線觸點和字元線觸點。在一些實施方式中,第二半導體結構803還包括在鍵合界面807處和在互連層827和記憶體堆疊體811及3D NAND記憶體串817上方的鍵合層829。鍵合層829可以包括多個鍵合觸點855以及圍繞並且電隔離鍵合觸點855的介電質。
如圖8B所示,第一半導體結構805包括在鍵合界面807處和鍵合層829上方的另一鍵合層851。鍵合層851可以包括多個鍵合觸點853和圍繞並電隔離鍵合觸點853的介電質。根據一些實施方式,鍵合觸點853在鍵合界面807處與鍵合觸點855接觸。在一些實施方式中,第一半導體結構805還包括鍵合層851上方的互連層857以傳輸電訊號。互連層857可包括多個互連,包括互連線和通孔觸點。
第一半導體結構805還可以包括互連層857和鍵合層851上方的器件層831。在一些實施方式中,器件層831包括第一外圍電路835(例如,頁緩衝器304、字元線驅動器308和/或I/O電路316和318)和第二外圍電路837(例如,控制邏輯312、暫存器314等)。在一些實施方式中,外圍電路835包括多個3D電晶體839(例如,對應於3D電晶體500),且外圍電路837包括多個平面電晶體841 (例如,對應於平面電晶體400)。溝槽隔離861和863(例如,STI)以及摻雜區(例如,電晶體839和841的阱、源極和汲極)也可以形成在半導體層833(例如,減薄基底)上或其中。在一些實施方式中,在平面圖中,溝槽隔離861在半導體層833下方並且橫向地在兩個相鄰的3D電晶體839之間,並且溝槽隔離863延伸到半導體層833中並且橫向地在兩個相鄰的平面電晶體841之間。在一些實施方式中,溝槽隔離861和溝槽隔離863具有不同深度(例如,其頂表面在y方向上處於不同平面中),因為它們分別分離不同類型的電晶體(平面電晶體841和3D電晶體839)。例如,如圖8B所示,溝槽隔離863可以具有比溝槽隔離861更大的深度。應當理解,取決於不同製造製程,在一些示例中,溝槽隔離863和溝槽隔離861具有相同深度(例如,其頂表面在y方向上處於相同平面中)。
在一些實施方式中,第一外圍電路835是頁緩衝器304,且第一外圍電路835的3D電晶體839耦接到第二半導體結構803的位元線。在一些實施方式中,第一外圍電路835是字元線驅動器308,且第一外圍電路835的3D電晶體839耦接到第二半導體結構803的字元線(例如,導電層834)。
在一些實施方式中,第一半導體結構805進一步包括設置在器件層831上方的半導體層833。半導體層833可位於外圍電路835和837上方且與其接觸。半導體層833可以是其上形成電晶體839和841的減薄基底。在一些實施方式中,半導體層833包括單晶矽。半導體層833還可以包括隔離區與摻雜區。
如圖8B所示,第一半導體結構805可以進一步包括半導體層833上方的焊墊輸出互連層843。焊墊輸出互連層843可以包括在一個或多個ILD層中的互連,例如,觸點焊墊845。在一些實施方式中,例如出於焊墊輸出目的,焊墊輸 出互連層843中的互連可在3D儲存裝置801與外部電路之間傳輸電訊號。在一些實施方式中,第一半導體結構805進一步包括延伸穿過半導體層833以耦合焊墊出互連層843以及互連層857和827的一個或多個觸點847。結果,外圍電路835和837也可透過互連層857和827以及鍵合觸點853和855耦接到3D NAND記憶體串817的陣列。即,3D NAND記憶體串817的陣列可跨越鍵合界面807耦接到3D電晶體839和平面電晶體841。此外,外圍電路835和837以及3D NAND記憶體串817的陣列可透過觸點847和焊墊輸出互連層843電連接到外部電路。
如上所述,半導體結構102中的儲存單元陣列不限於如圖8A和8B所示的NAND快閃記憶體單元陣列,並且可以包括任何其他合適的儲存單元陣列,例如DRAM單元陣列。例如,圖8C示出了根據本揭露內容的一些方面的另一示例性3D儲存裝置899的截面。應當理解,圖8C僅用於說明性目的,並且實際上可以不一定反映實際器件結構(例如,互連)。3D儲存裝置899類似於圖8A中的3D儲存裝置800,除了儲存單元陣列包括DRAM單元890的陣列,與NAND記憶體串838的陣列不同。應當理解,以下可以不重複3D儲存裝置800和899兩者中的類似結構(例如,第一半導體結構802的材料、製造製程、功能等)的細節。
如圖8C所示,第二半導體結構804可以在鍵合界面806處以面對面的方式鍵合在包括3D電晶體816的第一半導體結構802的頂部上。在一些實施方式中,作為混合鍵合的結果,鍵合界面806設置在鍵合層822和826之間。
在一些實施方式中,3D儲存裝置899的第二半導體結構804還包括鍵合層826上方的互連層830,以便往返於DRAM單元890傳輸電訊號。互連層830可以包括多個互連,例如MEOL互連和BEOL互連。在一些實施方式中,互連層 830中的互連還包括局部互連,諸如位元線觸點和字元線觸點。互連層830還可以包括一個或多個ILD層,其中可以形成互連線和通孔觸點。
3D儲存裝置899的第二半導體結構804還可以包括在互連層830和鍵合層826上方的器件層881。在一些實施例中,器件層881包括在互連層830和鍵合層826上方的DRAM單元890的陣列。在一些實施例中,每個DRAM單元890包括DRAM選擇電晶體886和電容器888。DRAM單元890可以是由一個電晶體和一個電容器組成的1T1C單元。應當理解,DRAM單元890可以具有任何適當的配置,例如2T1C單元、3T1C單元等。在一些實施方式中,DRAM選擇電晶體886形成在半導體層848“上”,其中DRAM選擇電晶體886的全部或部分形成在半導體層848中(例如,在半導體層848的頂表面下方)和/或直接形成在半導體層848上。隔離區(例如STI)和摻雜區(例如,DRAM選擇電晶體886的源極區和汲極區)也可以形成在半導體層848中。在一些實施方式中,電容器888設置在DRAM選擇電晶體886下方。根據一些實施方式,每個電容器888包括兩個電極,其中的一個電極電連接到相應DRAM選擇電晶體886的一個節點。根據一些實施方式,每個DRAM選擇電晶體886的另一節點耦接到DRAM的位元線880。每個電容器888的另一電極可以耦接到公共極板882,例如公共地。應當理解,DRAM單元890的結構和配置不限於圖8C中的示例,並且可包括任何合適的結構和配置。例如,電容器888可以是平面電容器、堆疊電容器、多鰭電容器、圓柱電容器、溝槽電容器、或基底-平板電容器。
在一些實施方式中,第二半導體結構804還包括設置在器件層881上方的半導體層848。半導體層848可在DRAM單元陣列890上方並與其接觸。半導體層848可以是其上形成DRAM選擇電晶體886的減薄基底。在一些實施方式 中,半導體層848包括單晶矽。在一些實施方式中,半導體層848可以包括多晶矽、非晶矽、SiGe、GaAs、Ge或任何其他合適的材料。半導體層848還可以包括隔離區和摻雜區(例如,作為DRAM選擇電晶體886的源極和汲極)。
如上所述,不同於邏輯裝置,儲存裝置(例如,3D NAND快閃記憶體)需要將寬範圍的電壓提供到不同記憶體外圍電路,包括不適合於邏輯裝置(例如,微處理器)(尤其是使用先進CMOS技術節點(例如,小於22nm))但需要用於記憶體操作的較高電壓(例如,3.3V或以上)。例如,圖9示出了根據本揭露內容的一些方面的提供有各種電壓的外圍電路的方塊圖。在一些實施方式中,儲存裝置(例如,儲存裝置200)包括低低電壓(LLV)源901、低電壓(LV)源903和高電壓(HV)源905,其中的每一個被配置為提供處於相應電平(Vdd1、Vdd2或Vdd3,其中Vdd1<Vdd2<Vdd3)的電壓。每個電壓源901、903或905可以從外部電源(例如,電池)接收處於適當電平的電壓輸入。每個電壓源901、903或905還可包括電壓轉換器和/或電壓調節器以將外部電壓輸入轉換為相應電平(Vdd1、Vdd2或Vdd3)且維持在相應電平(Vdd1、Vdd2或Vdd3)下的電壓並透過對應電源軌輸出該電壓。在一些實施方式中,儲存裝置200的電壓發生器310是電壓源901、903和905的部分。
在一些實施方式中,LLV源901被配置為提供0.9V與2.0V之間的電壓(例如,0.9V、0.95V、1V、1.05V、1.1V、1.15V、1.2V、1.25V、1.3V、1.35V、1.4V、1.45V、1.5V、1.55V、1.6V、1.65V、1.7V、1.75V、1.8V、1.85V、1.9V、1.95V、2.0V、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。在一個示例中,電壓為1.2V。在一些實施方式中,LV源903被配置為提供2V和3.3V之間的電壓(例如,2V、2.1V、 2.2V、2.3V、2.4V、2.5V、2.6V、2.7V、2.8V、2.9V、3V、3.1V、3.2V、3.3V、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。在一個示例中,電壓為3.3V。在一些實施方式中,HV源905被配置為提供大於3.3V的電壓。在一個示例中,電壓在5V與30V之間(例如,5V、6V、7V、8V、9V、10V、11V、12V、13V、14V、15V、16V、17V、18V、19V、20V、21V、22V、23V、24V、25V、26V、27V、28V、29V、30V、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。應當理解,上面關於HV源905、LV源903和LLV源901描述的電壓範圍是出於說明性目的而非限制性的,並且HV源905、LV源903和LLV源901可以提供任何其他合適的電壓範圍。然而,至少由LV源903和HV源905提供的電壓電平(例如,2V及以上)可能不適合於使用先進CMOS技術節點(例如,小於22nm)的邏輯裝置中的3D電晶體(例如,FinFET)。
基於它們合適的電壓電平(Vdd1、Vdd2或Vdd3),記憶體外圍電路(例如,外圍電路202)可以被分類為LLV電路902、LV電路904和HV電路906,它們可以分別耦接到LLV源901、LV源903和HV源905。在一些實施方式中,HV電路906包括一個或多個驅動器,所述一個或多個驅動器透過字元線、位元線、SSG線、DSG線、源極線等耦接到儲存單元陣列(例如,儲存單元陣列201),且被配置為在執行記憶體操作(例如,讀取、編程或擦除)時透過將處於合適電平的電壓施加到字元線、位元線、SSG線、DSG線、源極線等來驅動儲存單元陣列。在一個示例中,HV電路906可包括在編程操作期間將在例如5V與30V範圍內的編程電壓(Vprog)或通過電壓(Vpass)施加到字元線的字元線驅動器(例如,行解碼器/字元線驅動器308)。在另一示例中,HV電路906可包括在擦除操作期間將在例如5V與30V範圍內的擦除電壓(Veras)施加到位元線的位元線驅 動器(例如,列解碼器/位元線驅動器306)。在一些實施方式中,LV電路904包括被配置為緩衝從儲存單元陣列讀取的數據或編程到儲存單元陣列的數據的頁緩衝器(例如,頁緩衝器304)。例如,可以由LV源903向頁緩衝器提供例如3.3V的電壓。在一些實施方式中,LLV電路902包括被配置為將儲存單元陣列與記憶體控制器介面連接的I/O電路(例如,介面316和/或數據總線318)。例如,可以由LLV源901向I/O電路提供例如1.2V的電壓。
LLV電路902、LV電路904或HV電路906中的至少一個可以包括本文公開的3D電晶體(例如,3D電晶體500)。在一些實施方式中,LLV電路902、LV電路904和HV電路906中的每一個包括3D電晶體。在一些實施方式中,LLV電路902和LV電路904中的每一個包括3D電晶體,而HV電路906包括本文公開的平面電路(例如,平面電晶體400)。此外,LLV電路902、LV電路904或HV電路906可以以本文公開的任何合適的組合用3D電晶體和/或平面電晶體實現為圖8A-8C中的外圍電路812、814、835和837。
與本揭露內容的範圍一致,下面詳細描述了分別適用於LLV電路902、LV電路904和HV電路906的3D電晶體的各種設計。根據本揭露內容的一些方面,如圖10所示,儲存裝置200的LLV電路902可以由包括例如介面316和數據總線318的I/O電路來表示。I/O電路可被配置為將儲存單元陣列201與記憶體控制器介面連接。在一些實施方式中,由LLV源901向I/O電路提供0.9V和2.0V之間的電壓,例如1.2V。
圖11A和11B分別示出了根據本揭露內容的一些方面的圖10的I/O電路中的3D電晶體1100的透視圖和側視圖。3D電晶體1100可以是圖5、6A和6B中 的3D電晶體500的一個示例,並且被設計為滿足I/O電路或任何其他合適的LLV電路902的特定要求,如下面詳細描述的。圖11B示出了圖11A中的3D電晶體1100在平面B-B中的截面的側視圖。如圖11A和11B所示,3D電晶體1100可以包括在基底1102上方的3D半導體主體1104,以及與3D半導體主體1104的多個側面(例如,頂表面和兩個側表面)接觸的閘極結構1108。應當理解,3D電晶體1100可以是任何合適的多閘極電晶體,例如,如圖7A-71所示。在一些實施方式中,閘極結構1108包括與3D半導體主體1104的多個側面接觸的閘極介電質1107和與閘極介電質1107接觸的閘電極1109。如圖11A和11B所示,閘極結構1108的頂表面(例如,閘電極1109)是彎曲的。
如圖11A和11B所示,3D電晶體1100還可以包括在3D半導體主體1104中並且在平面圖中由閘極結構1108分離的一對源極和汲極1106。如圖11B所示,溝槽隔離1103(例如STI)可以形成在基底1102中,使得閘極結構1108可以形成在溝槽隔離1103上。在一些實施方式中,溝槽隔離1103還橫向地形成在相鄰的3D電晶體1100之間以減少洩漏電流。應當理解,為了易於說明,在圖11B中示出了溝槽隔離1103,但在圖11A中沒有示出。還應當理解,3D電晶體1100可以包括圖11A和11B中未示出的附加部件,例如阱和間隔物。
對於用在儲存裝置200的I/O電路中的3D電晶體1100,開關速度是重要的特性。特別地,當儲存裝置200是鍵合晶片時,如3D儲存裝置800和801,其可透過在兩個鍵合半導體結構之間使用直接、短距離(例如,微米級)電連接而以降低的功率消耗實現高速I/O吞吐量,形成I/O電路的電晶體的開關速度可成為I/O電路的性能瓶頸。為了提高開關速度,如上所述,需要提高電晶體的導通狀態電流(Ion或Idsat)。然而,同時,截止狀態洩漏電流(Ioff)也不能增加,這是透過平面電晶體難以實現的。
例如,圖12A和12B分別示出了平面電晶體1200的透視圖和側視圖。平面電晶體1200可以是圖4中的平面電晶體400的一個示例。平面電晶體1200包括在基底1202上,即在基底1202的頂表面上方並與其接觸的閘極結構1208。閘極結構1208包括在基底1202的頂表面上方並與其接觸的平面閘極介電質1207,以及在平面閘極介電質1207上的閘電極1209。平面電晶體1200還包括在基底1202中並且在平面圖中由閘極結構1208分離的一對源極和汲極1206。溝槽隔離1203(例如,STI)形成在基底1202中並且橫向地形成在相鄰的平面電晶體1200之間。應當理解,為了便於說明,圖12B中示出了溝槽隔離1203,但圖12A中未示出。由於與3D電晶體1100相比通道和閘極的數量較少,所以平面電晶體1200的通道控制和亞閾值擺幅可能較差。結果,根據發明人所進行的研究,在相同的尺寸和洩漏電流(截止狀態電流)下,3D電晶體1100的飽和汲極電流(導通狀態電流)可以比平面電晶體1200的飽和汲極電流高幾倍(例如,超過兩倍)。另一方面,為了保持與平面電晶體1200相同的開關速度和洩漏電流,可以減小3D電晶體1100的尺寸。此外,為了進一步改善I/O電路的電性能,在3D電晶體1100的閘極結構1108中可以使用HKMG,而具有較大尺寸的平面電晶體1200並未使用它。
返回參考圖11A和11B,在一些實施方式中,儲存裝置200的I/O電路中的3D電晶體1100的閘電極1109包括金屬,例如Cu。在一些實施方式中,3D電晶體1100的閘極介電質1107包括高k介電質,諸如二氧化鉿、二氧化鋯、二氧化鈦、或具有高於氮化矽的介電常數(例如,高於3.9)的任何其他介電質。即,HKMG可以用於在儲存裝置200的I/O電路中形成3D電晶體1100的閘極結構1108。應當理解,在一些示例中,閘極多晶矽和閘極氧化物也可用作閘極結構 1108。
在一些實施方式中,如圖11B中所示,閘極介電質1107的厚度T在1.8nm和10nm之間。例如,閘極介電質1107的厚度可以在2nm和4nm之間(例如,2nm、2.1nm、2.2nm、2.3nm、2.4nm、2.5nm、2.6nm、2.7nm、2.8nm、2.9nm、3nm、3.1nm、3.2nm、3.3nm、3.4nm、3.5nm、3.6nm、3.7nm、3.8nm、3.9nm、4nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。閘極介電質1107的厚度可大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中所使用的3D電晶體(例如,FinFET)的厚度,且可與施加到I/O電路的LLV電壓範圍相當,如上文詳細描述的,例如在0.9V與2.0V之間(例如,1.2V)。
在一些實施方式中,如圖11B所示,3D半導體主體1104的寬度W在10nm和180nm之間。3D半導體主體1104的寬度可以指3D半導體主體1104的頂部處的寬度(例如,頂部臨界尺寸(CD)),如圖11B所示。例如,3D半導體主體1104的寬度可以在30nm和100nm之間(例如,30nm、40nm、50nm、60nm、70nm、80nm、90nm、100nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D電晶體1100的寬度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的寬度。另一方面,3D電晶體1100的寬度可以小於在現有儲存裝置的I/O電路中使用的平面電晶體1200的寬度。應當理解,在一些示例中,3D半導體主體1104可以具有“啞鈴”形狀,其中由於3D半導體主體1104的不足以形成源極和汲極1106的相對小的寬度,3D半導體主體1104在形成源極和汲極1106的兩側處的寬度大於半導體主體1104在源極和汲極1106之間的寬度。
在一些實施方式中,3D電晶體1100在源極和汲極1106之間的通道長度在30nm和180nm之間。3D電晶體1100的通道長度可以指源極和汲極1106之間的距離,即,與通道的頂表面接觸的閘極結構1104的尺寸。例如,3D電晶體1100的通道長度可以在50nm和120nm之間(例如,50nm、60nm、70nm、80nm、90nm、100nm、110nm、120nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D電晶體1100的通道長度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的通道長度。另一方面,3D電晶體1100的通道長度可以小於在現有儲存裝置的I/O電路中使用的平面電晶體1200的通道長度。
在一些實施方式中,如圖11B所示,3D半導體主體1104的高度H在40nm和300nm之間。例如,3D半導體主體1104的高度可以在50nm和100nm之間(例如,50nm、60nm、70nm、80nm、90nm、100nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D半導體主體1104的高度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的高度。
在一些實施方式中,如圖11B所示,溝槽隔離1103的厚度t與3D半導體主體1104的高度相同。例如,溝槽隔離1103的厚度可以在50nm和100nm之間(例如,50nm、60nm、70nm、80nm、90nm、100nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何 範圍中)。溝槽隔離1103的厚度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的厚度。
根據本揭露內容的一些方面,如圖13所示,儲存裝置200的LV電路904可以由例如頁緩衝器304來表示。頁緩衝器304可被配置為緩衝從儲存單元陣列201讀取或編程到儲存單元陣列201的數據。在一些實施方式中,由LV源903向頁緩衝器304提供2V和3.3V之間的電壓,例如3.3V。根據本揭露內容的一些方面,如圖13中所示,儲存裝置200的HV電路906可由例如字元線驅動器308來表示。字元線驅動器308可以被配置為透過字元線驅動儲存單元陣列201。在一些實施方式中,由HV源905向字元線驅動器308提供大於3.3V(例如,在5V與30V之間)的電壓。
圖14示出了根據本揭露內容的一些方面的圖13中的字元線驅動器308和頁緩衝器304的示意性電路圖。在一些實施方式中,頁緩衝器304包括多個子頁緩衝器電路1402,各自經由相應位元線216耦接到一個3D NAND記憶體串208。即,儲存裝置200可包括分別耦接到3D NAND記憶體串208的位元線216,且頁緩衝器304可包括分別耦接到位元線216和3D NAND記憶體串208的子頁緩衝器電路1402。每個子頁緩衝器電路1402可以包括一個或多個鎖存器、開關、電源、節點(例如,數據節點和I/O節點)、電流鏡、驗證邏輯、感測電路等。在一些實施方式中,每個子頁緩衝器電路1402被配置為儲存從相應位元線216接收的感測數據,例如,對應於讀取數據的感測電流。每個子頁緩衝器電路1402可被配置為在讀取操作時還輸出所儲存的感測數據。每個子頁緩衝器電路1402還可以被配置為儲存編程數據,並且在編程操作時將所儲存的編程數據輸出到相 應的位元線216。
如圖14所示,每個子頁緩衝器電路1402可以包括多個電晶體,例如下面參考圖20A和20B詳細公開的3D電晶體2000。3D電晶體2000可以是適合於在頁緩衝器304中形成子頁緩衝器電路1402的元件的3D電晶體500的一個示例。在一些實施方式中,頁緩衝器304中的3D電晶體2000耦接到位元線216。因此,頁緩衝器304中的3D電晶體2000可以透過位元線216耦接到儲存單元陣列201。
在一些實施方式中,字元線驅動器308包括分別耦接到字元線218的多個串驅動器1404(又稱驅動元件)。字元線驅動器308還可以包括分別耦接到串驅動器1404的多條局部字元線1406(LWL)。每個串驅動器1404可包括耦接到解碼器(未示出)的閘極、耦接到相應局部字元線1406的源極/汲極,以及耦接到相應字元線218的另一源極/汲極。在一些記憶體操作中,解碼器可以例如透過施加大於串驅動器1404的閾值電壓的電壓訊號並向每條局部字元線1406施加電壓(例如,編程電壓、通過電壓或擦除電壓)來選擇某些串驅動器1404,使得電壓由每個所選擇的串驅動器1404施加到相應的字元線218。相反,解碼器還可以例如透過施加小於串驅動器1404的閾值電壓的電壓訊號來不選擇某些串驅動器1404,使得每個未被選擇的串驅動器1404在記憶體操作期間浮置相應的字元線218。
如圖14所示,每個串驅動器1404可以包括一個或多個電晶體,諸如下面參考圖21A和21B詳細公開的3D電晶體2100。3D電晶體2100可以是適合於形成字元線驅動器308中的串驅動器1404的元件的3D電晶體500的一個示例。在一些實施方式中,字元線驅動器308中的3D電晶體2100耦接到字元線218。因此, 字元線驅動器308中的3D電晶體2100可以透過字元線218耦接到儲存單元陣列201。
如圖15所示,在一些實施方式中,儲存單元陣列201被布置在多個平面1502中,每個平面具有多個塊204和其自己的頁緩衝器304。即,儲存裝置200可包括儲存單元206的多個平面1502及分別耦接到多個平面1502的多個頁緩衝器304。雖然圖15中未示出,但是應當理解,在一些示例中,每個平面1502可以具有其自己的頁緩衝器304、行解碼器/字元線驅動器308和列解碼器/位元線驅動器306的集合,使得控制邏輯312可以以同步方式或不同步方式並行地控制多個平面1502的操作,以增加儲存裝置200的操作速度。如以上關於圖2和14所描述的,應當理解,頁緩衝器304的數量和每個頁緩衝器304中的子頁緩衝器電路1402的數量可隨著由於平面1502、塊204和/或3D NAND記憶體串208(位元線216)的數量增加所導致的儲存單元的數量的增加而增加。因此,如果形成子頁緩衝器電路1402的每個電晶體的器件尺寸不減小,則頁緩衝器304的總面積就持續增加。類似地,串驅動器1404的數量可隨著由於平面1502、塊204和/或行220(字元線218)的數量增加所導致的儲存單元的數量的增加而增加。因此,如果形成串驅動器1404的每個電晶體的器件尺寸不減小,則字元線驅動器308的總面積就持續增加。
此外,在外圍電路和儲存單元陣列在鍵合晶片中彼此垂直堆疊的3D儲存裝置100或101中,3D儲存裝置100或101的尺寸取決於第一半導體結構102或第二半導體結構104的較大尺寸。如圖16所示,隨著頁緩衝器304的面積不斷增加,具有頁緩衝器304、字元線驅動器308和其他外圍電路1600(例如,I/O電路等)的第二半導體結構104(例如,圖1A和1B所示)的尺寸可能最終變得大於 具有儲存單元陣列的第一半導體結構102的尺寸,並且因此支配3D儲存裝置100或101的尺寸。結果,為了補償儲存裝置200(並且具體地,3D儲存裝置100或101)的尺寸增加,形成頁緩衝器304和字元線驅動器308的每個電晶體的器件尺寸需要在不犧牲太多性能(諸如電晶體電流洩漏)以及産品成品率和成本的情況下減小,如上所述。
如上所述,與用於形成現有記憶體外圍電路(例如子頁緩衝器電路和串驅動器)的平面電晶體相比,3D電晶體可以縮小器件尺寸,而不會由於較大的閘極控制面積、較高的導通狀態電流和較低的截止狀態電流而犧牲太多性能(例如洩漏電流)、以及製造複雜性和成本。例如,圖17示出了字元線驅動器或頁緩衝器中的平面電晶體的設計布局,並且作為比較,圖18示出了根據本揭露內容的一些方面的圖13中的字元線驅動器308或頁緩衝器304中的3D電晶體的設計布局。
如圖17和18所示,主動區的寬度W(即通道寬度)和/或閘極結構的長度(長度L1、L2)(即通道長度)可能透過從平面電晶體轉換到3D電晶體而受到影響。因此,可以減小字元線驅動器308或頁緩衝器304中的寬度方向上的間距(間距PW1、PW2)和/或長度方向上的間距(間距PL1、PL2)。在一些實施方式中,對於頁緩衝器304,使用平面電晶體來形成子頁緩衝器電路1402在不引入顯著的洩漏電流增加的情況下僅可實現180nm的最小通道寬度W1。相反,根據發明人的研究,使用3D電晶體來形成子頁緩衝器電路1402,在不引入顯著的洩露電流增加的情況下,通道寬度W2可以減小到180nm以下。例如,在相同的洩漏電流下,透過在形成子頁緩衝器電路1402時用3D電晶體代替平面電晶體,寬度方向上的間距可以減小5%到50%(例如25%),從而減小頁緩衝器304的總面積。 此外,由於位元線216可以沿寬度方向排列,所以子頁緩衝器電路1402的沿寬度方向的間距的減小還可以容納更多的位元線216和3D NAND記憶體串208。
在一些實施方式中,對於字元線驅動器308,類似於頁緩衝器304,使用3D電晶體代替平面電晶體來形成串驅動器1404,可在不引入顯著洩漏電流增加的情況下減小通道寬度,例如從1900nm減小到500nm,從而減小字元線驅動器308的總面積。此外,也可透過在串驅動器1404中用3D電晶體代替平面電晶體來減小通道長度。因此,透過使用3D電晶體,可增加閘極結構至阱邊界之間的距離,從而擴大為HV電路906(例如字元線驅動器308)的重要特性的擊穿電壓(BV)的裕度。此外,由於字元線218可沿長度方向排列,所以串驅動器1404沿長度方向的間距的減小也可容納更多字元線218。串驅動器1404的尺寸減小可以允許更多的串驅動器1404面向鍵合的3D儲存裝置(例如,3D儲存裝置800和801)的階梯結構,並且因此減少金屬布線和金屬層。在一些實施方式中,對於字元線驅動器308或任何其他HV電路906,通道長度L2大於如圖18中所示的3D電晶體的通道寬度W2,其不同於形成字元線驅動器308的平面電晶體(例如,圖17中所示)。應當理解,對於字元線驅動器308或任何其他HV電路906,不同於圖18中所示的,3D電晶體的源極/汲極的寬度W2'可與3D電晶體的通道寬度(W2,即3D半導體主體/主動區在源極與汲極之間的寬度)相同,使得3D電晶體的3D半導體主體可在平面圖中不具有啞鈴形狀,而是沿通道長度方向具有均勻寬度。
例如,圖19示出了根據本揭露內容的一些方面的包括具有3D電晶體的串驅動器的3D儲存裝置1900的截面的側視圖。3D儲存裝置1900可以是3D儲存裝置800的一個示例。如圖19所示,3D儲存裝置1900可以包括在鍵合界面1915 處以面對面的方式彼此鍵合的第一半導體結構1902和第二半導體結構1904。應當理解,在其他示例中,第一和第二半導體結構的相對位置可以切換。第一半導體結構1902可以包括堆疊結構,例如記憶體堆疊體1906,其包括交錯的字元線1905和介電質層1907。在一些實施方式中,交錯的字元線1905和介電質層1907的邊緣在記憶體堆疊體1906的一側或多側上限定一個或多個階梯結構1908。階梯結構1908可用於透過字元線觸點1912互連字元線1905。第一半導體結構1902還可以包括儲存單元陣列,諸如3D NAND記憶體串1910的陣列,每個串垂直延伸穿過記憶體堆疊體1906。
第二半導體結構1904可以包括分別對應於字元線1905的多個串驅動器1914。每個串驅動器1914可包括用於本文中所公開的HV電路906的3D電晶體。如圖19所示,透過使用3D電晶體減小每個電晶體的尺寸,串驅動器1914可以跨越鍵合界面1915面對階梯結構1908,以允許每個字元線觸點1912電連接一對字元線1905和串驅動器1914,而不在平面圖中的階梯區域外部布線。即,所有串驅動器1914可以被直接布置在階梯結構1908的下方或上方。因此,透過在串驅動器1914中用3D電晶體代替平面電晶體,可以避免階梯區域外部的額外金屬布線和所産生的額外金屬層。應當理解,圖19中的字元線觸點1912僅用於說明性目的,且可包括3D儲存裝置1900的各種互連層和鍵合層(未示出)中的互連。如圖8A和8B所示,第一半導體結構1902和第二半導體結構1904還可以包括它們自己的互連層和鍵合層,使得串驅動器1914的3D電晶體可以透過第一和第二互連層以及第一和第二鍵合層分別耦接到字元線1905。
圖20A和20B分別示出了根據本揭露內容的一些方面的圖13的頁緩衝器304中的3D電晶體2000的透視圖和側視圖。3D電晶體2000可以是圖5、6A和 6B中的3D電晶體500的一個示例,並且被設計為滿足頁緩衝器304或任何其他合適的LV電路904的特定要求,如以下詳細描述的。圖20B示出了圖20A中的3D電晶體2000在平面B-B中的截面的側視圖。如圖20A和20B所示,3D電晶體2000可以包括在基底2002上方的3D半導體主體2004,以及與3D半導體主體2004的多個側面(例如,頂表面和兩個側表面)接觸的閘極結構2008。應當理解,3D電晶體2000可以是任何合適的多閘極電晶體,例如,如圖7A-7I所示。在一些實施方式中,閘極結構2008包括與3D半導體主體2004的多個側面接觸的閘極介電質2007以及與閘極介電質2007接觸的閘電極2009。如圖20A和20B所示,閘極結構2008的頂表面(例如,閘電極2009)是彎曲的。
如圖20A和20B所示,3D電晶體1100還可以包括3D半導體主體2004中且在平面圖中由閘極結構2008分隔開的一對源極和汲極2006。如圖20B所示,溝槽隔離2003(例如,STI)可以形成在基底2002中,使得閘極結構2008可以形成在溝槽隔離2003上。在一些實施方式中,溝槽隔離2003也橫向形成在相鄰3D電晶體2000之間以減小洩漏電流。應當理解,為了便於說明,圖20B中示出了溝槽隔離2003,但圖20A中未示出。還應當理解,3D電晶體2000可以包括圖20A和20B中未示出的附加部件,例如阱和間隔物。
如上所述,對於在儲存裝置200的頁緩衝器304中使用的3D電晶體2000,器件尺寸是重要的特性。另一方面,也不能增加截止狀態洩漏電流(Ioff)以減少電流洩漏,這透過平面電晶體是難以實現的。此外,由於LV電路904在例如2V和3.3V之間(例如3V)的電壓下工作,因此3D電晶體2000的尺寸減小不能依賴於電壓降低,而這是透過在使用先進CMOS技術節點(例如,低於22nm)的邏輯裝置中使用的3D電晶體難以實現的。應當理解,頁緩衝器304可以包括 HV電路906和LV電路904。在一個示例中,頁緩衝器304的LV電路904可以包括3D電晶體2000,而頁緩衝器304的HV電路906可以包括平面電晶體(例如,平面電晶體400)。在另一個示例中,頁緩衝器304中的LV電路904中的一個可以包括具有類似於圖11A和11B中的結構的3D電晶體。頁緩衝器中的HV電路906中的一個包括具有類似於圖21A和21B的結構的3D電晶體。頁緩衝器中的兩個3D電晶體具有不同的結構和不同的尺寸。HV電路906中的3D電晶體的尺寸大於LV電路904中的3D電晶體的尺寸。3D電晶體的尺寸包括3D電晶體的通道長度、3D電晶體的3D半導體主體的高度、3D電晶體的3D半導體主體的寬度、或3D電晶體的面積中的至少一個。在一些實施方式中,在外圍電路中,頁緩衝器和其他電路都包括3D電晶體,頁緩衝器中的3D電晶體包括單個鰭狀物,而其他外圍電路中的3D電晶體包括多於一個鰭狀物。
在一些實施方式中,如圖20B中所示,閘極介電質2007的厚度T在1.8nm與10nm之間。例如,閘極介電質2007的厚度可以在2nm和8nm之間(例如,2nm、2.1nm、2.2nm、2.3nm、2.4nm、2.5nm、2.6nm、2.7nm、2.8nm、2.9nm、3nm、3.1nm、3.2nm、3.3nm、3.4nm、3.5nm、3.6nm、3.7nm、3.8nm、3.9nm、4nm、4.5nm、5nm、5.5nm、6nm、6.5nm、7nm、7.5nm、8nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。閘極介電質2007的厚度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的厚度,並且可以與施加到頁緩衝器304的LV電壓範圍相當,如上文詳細描述的,諸如在2V和3.3V之間(例如,3.3V)。此外,與LLV電路902中的3D電晶體1100(諸如I/O電路)相比,在一些實施方式中,3D電晶體2000的閘極介電質2007的厚度由於較高的工作電壓而較厚,例如在4nm和8nm之 間,諸如在5nm和8nm之間。
在一些實施方式中,如圖20B所示,3D半導體主體2004的寬度W在10nm和180nm之間。3D半導體主體2004的寬度可以指3D半導體主體2004頂部(例如,頂部CD)處的寬度,如圖20B所示。例如,3D半導體主體1104的寬度可以在30nm和100nm之間(例如,30nm、40nm、50nm、60nm、70nm、80nm、90nm、100nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D電晶體2000的寬度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的寬度。另一方面,3D電晶體2000的寬度可以小於現有儲存裝置的頁緩衝器中使用的平面電晶體的寬度,例如大於180nm,如上所述。應當理解,在一些示例中,3D半導體主體2004可以具有“啞鈴”形狀,其中由於3D半導體主體2004的不足以形成源極和汲極2006的相對小的寬度,3D半導體主體2004在形成源極和汲極2006的兩側處的寬度大於3D半導體主體2004在源極和汲極2006之間的寬度。例如,如圖18所示,3D電晶體的源極/汲極的寬度W2'可以大於3D電晶體的通道寬度(W2,即,3D半導體主體/主動區在源極和汲極之間的寬度)。
在一些實施方式中,3D電晶體2000在源極和汲極2006之間的通道長度在30nm和180nm之間。3D電晶體2000的通道長度可以指源極和汲極2006之間的距離,即,與通道的頂表面接觸的閘極結構2008的尺寸。例如,3D電晶體2000的通道長度可以在50nm和120nm之間(例如,50nm、60nm、70nm、80nm、90nm、100nm、110nm、120nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D電晶體2000 的通道長度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的通道長度。另一方面,3D電晶體2000的通道長度可以小於在現有儲存裝置的頁緩衝器中使用的平面電晶體的通道長度,例如大於180nm。
在一些實施方式中,如圖20B所示,3D半導體主體2004的高度H在40nm和300nm之間。例如,3D半導體主體2004的高度可以在50nm和100nm之間(例如,50nm、60nm、70nm、80nm、90nm、100nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D半導體主體2004的高度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的高度。
在一些實施方式中,如圖20B所示,溝槽隔離2003的厚度t與3D半導體主體2004的高度相同。例如,溝槽隔離2003的厚度可以在50nm和100nm之間(例如,50nm、60nm、70nm、80nm、90nm、100nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。溝槽隔離2003的厚度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的厚度。
與使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)相比,例如透過改變材料和/或簡化結構和製程,也可以改進3D電晶體2000的生産成品率和成本。在一些實施方式中,代替使用 HKMG,儲存裝置200的頁緩衝器304中的3D電晶體2000的閘電極2009包括多晶矽,例如,摻雜有氮化物(N)的多晶矽。在一些實施方式中,3D電晶體2000的閘極介電質2007包括氧化矽。即,閘極多晶矽和閘極氧化物可以用作閘極結構2008,以降低製造複雜性和成本。在一些實施方式中,3D電晶體2000不包括在源極和汲極2006處的應力源和/或在3D半導體主體2004中不使用應變半導體材料,以降低製造複雜性和成本。
圖21A和21B分別示出了根據本揭露內容的一些方面的圖13的字元線驅動器308中的3D電晶體2100的透視圖和側視圖。3D電晶體2100可以是圖5、6A和6B中的3D電晶體500的一個示例,且被設計為滿足字元線驅動器308或任何其他合適的HV電路906的特定要求,如以下所詳細描述的。圖21B示出了圖21A中的3D電晶體2100在平面B-B中的截面的側視圖。如圖21A和21B所示,3D電晶體2100可以包括在基底2102上方的3D半導體主體2104以及與3D半導體主體2104的多個側面(例如,頂表面和兩個側表面)接觸的閘極結構2108。應當理解,3D電晶體2100可以是任何合適的多閘極電晶體,例如,如圖7A-7I所示。在一些實施方式中,閘極結構2108包括與3D半導體主體2104的多個側面接觸的閘極介電質2107和與閘極介電質2107接觸的閘電極2109。
如圖21A和21B所示,3D電晶體2100還可以包括在3D半導體主體2104中並在平面圖中由閘極結構2108分隔開的一對源極和汲極2106。由於施加到HV電路906中所使用的3D電晶體2100的相對高的電壓,3D電晶體2100可進一步包括3D半導體主體2104中的漂移區2110。源極和汲極2106可以與漂移區2110接觸。應當理解,在一些示例中,由於施加到3D電晶體1100和2000的較低電壓以及較少的擊穿問題,在LLV電路902和LV電路904中使用的3D電晶體1100和 2000可以不包括漂移區2110。漂移區2110可以是3D半導體主體2104中的摻雜區,類似於源極和汲極2106,但是具有比源極和汲極2106小的摻雜濃度。即,源極和汲極2106可以是形成在3D電晶體2100中的輕摻雜區(即,漂移區2110)中的重摻雜區。在一些實施方式中,漂移區2110與源極和汲極2106摻雜有N型摻雜劑,使得源極和汲極2106成為輕N型摻雜區(N,即,漂移區2110)中的重N型摻雜區(N+)。為了維持施加到HV電路906中所使用的3D電晶體2100的相對高的電壓且避免擊穿,在一些實施方式中,源極/汲極2106與閘極結構2108之間的距離d1大於源極/汲極2106與3D半導體結構2104的邊緣之間的距離d2。例如,d1可以比d2大兩倍或更多倍。如圖21B所示,可以在基底2102中形成溝槽隔離2103(例如STI),使得可以在溝槽隔離2103上形成閘極結構2108。在一些實施方式中,溝槽隔離2103也橫向地形成在相鄰的3D電晶體2100之間以減少洩漏電流。應當理解,為了便於說明,圖21B中示出溝槽隔離2103,但圖21A中未示出。還應當理解,3D電晶體2100可以包括圖21A和21B中未示出的附加部件,諸如阱和間隔物。
如上所述,對於在儲存裝置200的字元線驅動器308中使用的3D電晶體2100,器件尺寸是重要的特性。另一方面,也不能增加截止狀態洩漏電流(Ioff)以減少電流洩漏,而這是透過平面電晶體難以實現的。而且,由於HV電路906在例如大於3.3V(例如,在5V與30V之間)的電壓下操作,因此3D電晶體2100的尺寸減小不能依賴於電壓降低,這透過在使用先進CMOS技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體難以實現。
在一些實施方式中,如圖21B中所示,閘極介電質2107的厚度T大於10nm。例如,閘極介電質2107的厚度可以在20nm和80nm之間(例如,20nm、 21nm、22nm、23nm、24nm、25nm、26nm、27nm、28nm、29nm、30nm、31nm、32nm、33nm、34nm、35nm、36nm、37nm、38nm、39nm、40nm、45nm、50nm、55nm、60nm、65nm、70nm、75nm、80nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。閘極介電質2107的厚度可顯著大於(例如,一個或多個數量級)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的厚度,且可與施加到字元線驅動器308的HV電壓範圍相當,如上文詳細描述的,例如大於3.3V(例如,在5V與30V之間)。此外,與LLV電路902中的3D電晶體1100(諸如I/O電路)以及LV電路904中的3D電晶體2000(諸如頁緩衝器304)相比,在一些實施方式中,3D電晶體2100的閘極介電質2107的厚度由於較高的工作電壓而較厚。
在一些實施方式中,如圖21B所示,3D半導體主體2104的寬度W大於100nm。3D半導體主體2104的寬度可以指3D半導體主體2104的頂部(例如,頂部CD)處的寬度,如圖21B所示。例如,3D半導體主體2104的寬度可以在300nm和1000nm之間(例如,300nm、400nm、500nm、600nm、700nm、800nm、900nm、1000nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D電晶體2100的寬度可以顯著大於(例如,一個或多個數量級)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的寬度。另一方面,3D電晶體2100的寬度可以小於現有儲存裝置的字元線驅動器中使用的平面電晶體的寬度,例如1900nm,如上所述。此外,與LLV電路902中的3D電晶體1100(諸如I/O電路)以及LV電路904中的3D電晶體2000(諸如頁緩衝器304)相比,在一些實施方式中,3D電晶體2100的3D半導體主體2104的寬度由於較高的工作電壓而較大。應 當理解,在一些示例中,與3D半導體主體1104和2004在平面圖中具有啞鈴形狀的一些示例不同,由於3D半導體主體1104可以具有足以形成源極和汲極2106的相對大的寬度,因此3D半導體主體2104可以在平面圖中不具有啞鈴形狀,即具有均勻的寬度。
在一些實施方式中,3D電晶體2100在源極與汲極2106之間的通道長度大於120nm。3D電晶體2100的通道長度可以指源極和汲極2106之間的距離,即,與通道的頂表面接觸的閘極結構2108的尺寸。例如,3D電晶體2100的通道長度可以在500nm和1200nm之間(例如,500nm、600nm、700nm、800nm、900nm、1000nm、1100nm、1200nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D電晶體2100的通道長度可以顯著大於(例如,一個或多個數量級)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的通道長度。另一方面,3D電晶體2100的通道長度可以小於在現有儲存裝置的字元線驅動器中使用的平面電晶體的通道長度,例如900nm。此外,與LLV電路902中的3D電晶體1100(諸如I/O電路)以及LV電路904中的3D電晶體2000(諸如頁緩衝器304)相比,在一些實施方式中,3D電晶體2100的通道長度由於較高的工作電壓而較大。
在一些實施方式中,如圖21B所示,3D半導體主體2104的高度H大於50nm。例如,3D半導體主體2104的高度可以在300nm和500nm之間(例如,300nm、350nm、400nm、450nm、500nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。3D半導體主體2104的高度可以顯著大於(例如,一個或多個數量級)在使用先進技術 節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的高度。此外,與LLV電路902中的3D電晶體1100(諸如I/O電路)以及LV電路904中的3D電晶體2000(諸如頁緩衝器304)相比,在一些實施方式中,3D電晶體2100的3D半導體主體2104的高度由於較高的工作電壓而較大。
在一些實施方式中,如圖21B所示,溝槽隔離2103的厚度t小於,例如不大於3D半導體主體2104高度的三分之一(1/3)。例如,溝槽隔離2103的厚度可以在100nm和200nm之間(例如,100nm、110nm、120nm、130nm、140nm、150nm、160nm、170nm、180nm、190nm、200nm、由這些值中的任何一個值為下限所界定的任何範圍、或者在由這些值中的任何兩個值所限定的任何範圍中)。溝槽隔離2103的厚度可以大於(例如,一倍或多倍)在使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)的厚度。此外,與LLV電路902中的3D電晶體1100(諸如I/O電路)以及LV電路904中的3D電晶體2000(諸如頁緩衝器304)相比,在一些實施方式中,3D電晶體2100的溝槽隔離2103的厚度由於較高的工作電壓而較小。
與使用先進技術節點(例如,小於22nm)的邏輯裝置中使用的3D電晶體(例如,FinFET)相比,3D電晶體2100的産品成品率和成本也可以例如透過改變材料和/或簡化結構和製程而得到改善。在一些實施方式中,代替使用HKMG,儲存裝置200的字元線驅動器308中的3D電晶體2100的閘電極2109包括多晶矽,例如,摻雜有P型摻雜劑或N型摻雜劑的多晶矽,並且3D電晶體2100的閘極介電質2107包括摻雜有氮(N2)的氧化矽。在一些實施方式中,3D電晶體2100的閘極介電質2107包括氧化矽。即,閘極多晶矽和閘極氧化物可以用作閘極結構2108以降低製造複雜性和成本。在一些實施方式中,3D電晶體2100在源 極和汲極2106處不包括應力源和/或在3D半導體主體2104中不使用應變半導體材料,以降低製造複雜性和成本。
與本揭露內容的範圍一致,外圍電路202可以包括具有3D電晶體1100的LLV電路902(例如,介面316的I/O電路和數據總線318)、具有3D電晶體2000的LV電路904(例如,頁緩衝器304的一部分)、以及具有3D電晶體2100的HV電路906(例如,字元線驅動器308)。LLV源901可以耦接到LLV電路902並且被配置為向3D電晶體1100提供Vdd1,LV源903可以耦接到LV電路904並且被配置為向3D電晶體2000提供Vdd2,並且HV源905可以耦接到HV電路906並且被配置為向3D電晶體2100提供Vdd3,其中Vdd3>Vdd2>Vdd1。例如在字元線驅動器308中的3D電晶體2100可以透過字元線218耦接到儲存單元陣列201,並且例如在頁緩衝器304中的3D電晶體2000可以透過位元線216耦接到儲存單元陣列201。由於不同的工作電壓,3D電晶體2100的閘極介電質厚度T可以大於3D電晶體2000的閘極介電質厚度,其又可以大於3D電晶體1100的閘極介電質厚度。應當理解,如上詳細描述的,由於施加到3D電晶體2100的較高工作電壓,3D電晶體2100的其他大小/尺寸可以大於3D電晶體2000和/或3D電晶體2100的大小/尺寸,諸如通道長度L、3D半導體主體的高度H、3D半導體主體的寬度W等。在一些實施方式中,不同於LLV電路902和LV電路904的3D電晶體1100和2000,HV電路906的3D電晶體2100還包括漂移區2110,其具有比源極/汲極2106的摻雜濃度低的摻雜濃度,以便維持Vdd3的比Vdd2和Vdd1高的電壓。在一些實施方式中,與具有多晶矽閘極和閘極氧化物的閘極結構2008和2108的3D電晶體2000和2100不同,3D電晶體1100具有HKMG的閘極結構1108,以實現比3D電晶體2000和2100更快的開關速度。
圖25示出了根據本揭露內容的一些方面的具有儲存裝置的系統2500的方塊圖。系統2500可以是行動電話、桌上型電腦、筆記型電腦、平板電腦、車載電腦、遊戲控制台、影印機、定位設備、可穿戴電子設備、智能感測器、虛擬現實(VR)設備、增強現實(AR)設備或其中具有儲存的任何其他合適的電子設備。如圖25所示,系統2500可包括主機2508和具有一個或多個儲存裝置2504和記憶體控制器2506的記憶體系統2502。主機2508可以是電子設備的處理器,例如中央處理單元(CPU),或者片上系統(SoC),例如應用處理器(AP)。主機2508可被配置為將數據發送到儲存裝置2504或從其接收數據。
儲存裝置2504可以是本文所公開的任何儲存裝置,例如3D儲存裝置100和101、儲存裝置200、3D儲存裝置800、801和1900。在一些實施方式中,每個儲存裝置2504包括具有3D電晶體的外圍電路,如上文詳細描述的。
根據一些實施方式,記憶體控制器2506耦接到儲存裝置2504和主機2508,並且被配置為控制儲存裝置2504。記憶體控制器2506可管理儲存在存儲裝置2504中的數據並與主機2508通訊。在一些實施方式中,記憶體控制器2506被設計用於在低占空比環境中操作,如安全數位(SD)卡、緊湊型快閃記憶體(CF)卡、通用串行總線(USB)快閃記憶體驅動器、或用於在諸如個人電腦、數位相機、行動電話等電子設備中使用的其他介質。在一些實施方式中,記憶體控制器2506被設計用於在高占空比環境SSD或嵌入式多媒體卡(eMMC)中操作,高占空比環境SSD或嵌入式多媒體卡用作行動設備(諸如智慧型手機、平板電腦、筆記型電腦等)和企業儲存陣列的數據儲存。記憶體控制器2506可被配置為控制儲存裝置2504的操作,例如讀取、擦除和編程操作。記憶體控制器2506還可被配置為管理關於儲存在或待儲存在儲存裝置2504中的數據的各種功能, 包括(但不限於)壞塊管理、垃圾收集、邏輯到物理地址轉換、損耗均衡等。在一些實施方式中,記憶體控制器2506還被配置為針對從儲存裝置2504讀取或向其寫入的數據來處理糾錯碼(ECC)。也可以由記憶體控制器2506執行任何其他合適的功能,例如,對儲存裝置2504編程。記憶體控制器2506可以根據特定通訊協議與外部設備(例如,主機2508)通訊。例如,記憶體控制器2506可以透過各種介面協議中的至少一種與外部設備通訊,所述介面協議諸如USB協議、MMC協議、外圍部件互連(PCI)協議、PCI-Express(PCI-E)協議、高級技術附件(ATA)協議、串行-ATA協議、並行-ATA協議、小型電腦小型介面(SCSI)協議、增強的小型磁碟介面(ESDI)協議、集成驅動電子(IDE)協議、火線協議等。
記憶體控制器2506和一個或多個儲存裝置2504可以集成到各種類型的儲存裝置中,例如,包括在相同的封裝中,諸如通用快閃記憶體(UFS)封裝或eMMC封裝。即,記憶體系統2502可以被實現和封裝到不同類型的終端電子産品中。在如圖26A中所示的一個示例中,記憶體控制器2506和單個儲存裝置2504可集成到記憶卡2602中。記憶卡2602可以包括PC卡(PCMCIA,個人電腦記憶卡國際協會)、CF卡、智能媒體(SM)卡、記憶棒、多媒體卡(MMC、RS-MMC、MMCmicro)、SD卡(SD、miniSD、microSD、SDHC)、UFS等。記憶卡2602可進一步包括將記憶卡2602與主機(例如,圖25中的主機2508)耦接的記憶卡連接器2604。在如圖26B所示的另一示例中,記憶體控制器2506和多個儲存裝置2504可以被集成到SSD 2606中。SSD 2606還可以包括將SSD 2606與主機(例如,圖25中的主機2508)耦接的SSD連接器2608。在一些實施方式中,SSD 2606的儲存容量和/或操作速度大於記憶卡2602的儲存容量和/或操作速度。
圖22A-22J示出了根據本揭露內容的一些方面的用於形成3D電晶體的製造過程。圖23示出了根據本揭露內容的一些方面的用於形成示例性3D儲存裝置的方法2300的流程圖。圖24A示出了根據本揭露內容的一些方面的用於形成3D電晶體的方法2400的流程圖。圖24B示出了根據本揭露內容的一些方面的用於形成3D電晶體的另一種方法2401的流程圖。圖23中示出的3D儲存裝置的示例包括圖8A-8C中示出的3D儲存裝置800、801和899。圖22A-22J、24A和24B中示出的3D電晶體的示例包括圖5、11A、20A和21A中示出的3D電晶體500、1100、2000和2100。將一起描述圖22A-22J、23、24A和24B。應當理解,方法2300、2400和2401中所示的步驟不是詳盡無遺的,並且也可以在所示步驟中的任何步驟之前、之後或之間執行其他步驟。此外,一些步驟可以同時執行,或者以與圖23、24A和24B所示的不同的順序執行。
參考圖23,方法2300開始於步驟2302,其中在第一基底上形成包括儲存單元陣列的第一半導體結構。在一些實施方式中,為了形成儲單存元陣列,形成3D NAND記憶體串的陣列。例如,如圖8B所示,在基底809上形成3D NAND記憶體串817的陣列。方法2300進行到步驟2304,如圖23所示,其中在NAND記憶體串的陣列上方形成包括多個第一鍵合觸點的第一鍵合層。例如,如圖8B所示,在3D NAND記憶體串817的陣列上方形成包括鍵合觸點855的鍵合層829。
方法2300進行到步驟2306,如圖23所示,其中在第二基底上形成包括外圍電路的第二半導體結構,該外圍電路包括3D電晶體。凹式閘極電晶體可以包括突出到第二基底中的凹式閘極結構。為了形成第二半導體結構,從第二基底形成3D半導體主體,並且形成與3D半導體主體的多個側面接觸的閘極結構。
3D半導體主體可以使用各種製造製程來形成。在一些實施方式中,為了形成3D半導體主體,如圖24A所示,在步驟2402,在第二基底中圍繞第二基底的一部分形成溝槽隔離。基底可以是矽基底。
如圖22A所示,例如使用濕式/乾式蝕刻和氧化矽的薄膜沉積,在矽基底2202中形成溝槽隔離2204,例如STI。可以使用例如化學機械拋光(CMP)來平坦化溝槽隔離2204的頂表面。溝槽隔離2204可以將矽基底2202劃分成其中可以分別形成多個3D電晶體的多個區域。在形成溝槽隔離2204之前,可以形成犧牲層2206以覆蓋其中要形成3D電晶體的3D半導體主體的區域。在一些實施方式中,使用一個或多個薄膜沉積製程(包括但不限於化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其任何組合)來沉積不同於矽基底2202和溝槽隔離2204的犧牲材料層,例如氮化矽。然後,可以使用微影和濕式/乾式蝕刻對沉積的犧牲材料層進行圖案化,以形成犧牲層2206。因此,在矽基底2202的被犧牲層2206覆蓋的部分中不能形成溝槽隔離2204。結果,如圖22A所示,溝槽隔離2204圍繞矽基底2202的被犧牲層2206覆蓋的一部分。儘管未示出,但是隨後可以在矽基底2202中形成阱。阱可以使用光刻來圖案化並在溝槽隔離2204之間對準,隨後離子注入N型摻雜劑和/或P型摻雜劑。
如圖24A所示,在步驟2404,對溝槽隔離進行回蝕刻以暴露第二基底的部分的至少一部分。如圖22B所示,根據一些實施方式,透過例如使用濕式/乾式蝕刻來回蝕刻溝槽隔離2204以暴露被犧牲層2206覆蓋並且被溝槽隔離2204圍繞的矽基底2202的部分中的至少一部分(例如,在圖22A中)而在溝槽隔離2204中形成凹槽。結果,根據一些實施方式,在凹陷(回蝕刻)之後,矽基底2202 的暴露部分現在成為3D半導體主體2208,其在矽基底2202和溝槽隔離2204的所得頂表面上方。
如圖22A、22B和24A所示,代替在形成溝槽隔離之後形成3D半導體主體,可以在形成溝槽隔離之前形成3D半導體主體,如圖22H、22I和24B所示。在一些實施方式中,為了形成3D半導體主體,如圖24B所示,在步驟2403,在第二基底中圍繞第二基底的一部分形成溝槽。如圖22H所示,例如透過使用乾式/濕式蝕刻來蝕刻矽基底2202而在矽基底2202中形成溝槽2209。在一些實施方式中,在蝕刻之前形成犧牲層2206以覆蓋要形成3D半導體主體2208的矽基底2202的部分。結果,根據一些實施方式,矽基底2202的一部分被溝槽2209圍繞。
如圖24B所示,在步驟2405,沉積隔離材料以部分填充溝槽,從而暴露第二基底的部分中的至少一部分。如圖22I所示,透過使用一個或多個薄膜沉積製程(包括但不限於CVD、PVD、ALD或其任何組合)將諸如氧化矽的隔離材料沉積到溝槽2209中,在溝槽2209中形成溝槽隔離2204(例如,圖22H所示)。為了形成3D半導體主體2208,可以控制沉積速率和/或持續時間以部分填充溝槽2209,從而暴露矽基底2202的部分中的至少一部分。結果,根據一些實施方式,在形成溝槽隔離2204之後,矽基底2202的暴露部分現在成為3D半導體主體2208,其在矽基底2202和溝槽隔離2204的所得頂表面上方。
返回參考圖22C,在形成3D半導體主體2208之後,無論其是在形成溝槽隔離2204之前還是之後形成,例如透過濕式/乾式蝕刻去除犧牲層2206(例如,圖22B和22I中所示)。
在一些實施方式中,為了形成閘極結構,如圖24A和24B所示,在步驟2406,隨後在3D半導體主體的多個側面上形成閘極介電質層和閘電極層。如圖22D所示,在3D半導體主體2208的多個側面上形成閘極介電質層2210,例如氧化矽層或高k介電質層。在一些實施方式中,使用一個或多個薄膜沉積製程(包括但不限於CVD、PVD、ALD或其任何組合)將介電質材料層沉積到3D半導體主體2208的所有暴露表面上。在閘極介電質層2210是氧化矽層的一些實施方式中,使用乾式/濕式氧化來氧化3D半導體主體2208中的暴露表面處的矽的部分以形成閘極介電質層2210。
如圖22E所示,在閘極介電質層2210之上形成諸如摻雜多晶矽層或金屬層的閘電極層2212。在一些實施方式中,使用一個或多個薄膜沉積製程(包括但不限於CVD、PVD、ALD或其任何組合)在閘極介電質層2210之上沉積半導體或導電材料層。在閘電極層2212是多晶矽層的一些實施方式中,執行原位摻雜以摻雜多晶矽層,或者在沉積之後執行諸如離子注入之類的摻雜製程以摻雜多晶矽層。
在一些實施方式中,為了形成閘極結構,如圖24A和24B所示,在步驟2408,圖案化閘電極層以形成閘電極。如圖22F所示,例如使用微影和濕式/乾式蝕刻來圖案化閘電極層2212(例如圖22E所示)以形成閘電極2214。
如圖24A和24B所示,在步驟2410,在3D半導體主體中形成源極和汲極。在一些實施方式中,為了形成源極和汲極,對3D半導體主體的未被閘極結構覆蓋的部分進行摻雜。如圖22G所示,透過例如使用離子注入摻雜3D半導體主體2208的未被閘電極2214覆蓋的部分,在3D半導體主體2208中形成一對源極 和汲極2216。結果,根據一些實施方式,源極和汲極2216沒有直接形成在閘電極2214下方以允許在源極和汲極2216之間形成通道。儘管未示出,但在一些實施方式中,例如透過乾式/濕式蝕刻來去除閘極介電質層2210的覆蓋源極和汲極2216的部分,以暴露源極和汲極2216的其上可形成源極和汲極觸點(未示出)的部分。
根據一些實施方式,由此形成具有3D半導體主體2208、閘電極2214、閘極介電質層2210以及源極和汲極2216的3D電晶體。應當理解,由於上述用於形成3D電晶體的製造製程與用於形成平面電晶體的製造製程兼容,因此在一些示例中,可以使用上述相同的製造製程來形成具有與3D電晶體相同的溝槽隔離深度或不同的溝槽隔離深度的平面電晶體。在一個示例中,圖24A中描述的製造製程可以用於形成具有相同溝槽隔離深度的3D電晶體和平面電晶體。相同的溝槽隔離深度可以由在形成3D半導體主體2208之前形成溝槽隔離2204來確定。在另一示例中,圖24B中描述的製造製程可以用於形成具有不同溝槽隔離深度的3D電晶體和平面電晶體。
為了形成具有相同溝槽隔離深度的3D電晶體和平面電晶體,如圖22A-22G所示,可以在第一區域2201中形成3D電晶體,並在相同矽基底2202的第二區域2203中形成平面電晶體。如圖22A所示,可以在第一區域2201和第二區域2203兩者中形成溝槽隔離2204,例如STI,以便在上面關於圖22A詳細描述的相同製造製程中分別形成3D電晶體和平面電晶體。因此,用於3D電晶體的溝槽隔離2204和用於平面電晶體的溝槽隔離2204可以具有相同的深度。如圖22B所示,溝槽隔離2204的回蝕刻可以僅在第一區域2201中執行,而不在第二區域2203中執行。即,根據一些實施方式,當在第一區域2201中形成用於3D電晶體的溝 槽隔離2204的凹槽時,第二區域2203中的用於平面電晶體的溝槽隔離2204保持不變而無凹槽。在一些實施方式中,在回蝕刻第一區域2201中的溝槽隔離2204之前,圖案化蝕刻遮罩以覆蓋第二區域2203並且僅暴露第一區域2201,以保護第二區域2203中的溝槽隔離2204。如圖22C所示,第一區域2201和第二區域2203兩者中的犧牲層2206可以在以上關於圖22C詳細描述的相同製造製程中去除。如圖22D所示,第二區域2203中的平面電晶體的閘極介電質層2211可以在與如以上關於圖22D詳細描述的用於形成第一區域2201中的3D電晶體的閘極介電質層2210的相同製造製程中形成。如圖22E所示,閘電極層2212可以在與以上關於圖22E詳細描述的相同的製造製程中形成在第一區域2201和第二區域2203兩者中的閘極介電質層2210和2211之上。如圖22F所示,在與如以上關於圖22F詳細描述的用於圖案化第一區域2201中的3D電晶體的閘電極2214的相同製造製程中,可以從閘電極層2212圖案化第二區域2203中的平面電晶體的閘電極2215。如圖22G所示,第二區域2203中的平面電晶體的一對源極和汲極2217可以在與如以上關於圖22G詳細描述的用於形成第一區域2201中的3D電晶體的一對源極和汲極2216的相同製造製程中形成。根據一些實施方式,由此在用於形成具有3D半導體主體2208、閘電極2214、閘極介電質層2210以及源極和汲極2216的3D電晶體的相同製程流程(除了圖22B中的回蝕刻製程)中形成具有閘電極2215、閘極介電質層2211以及源極和汲極2217的平面電晶體。
還應當理解,例如對於具有不同施加電壓的外圍電路(例如,LLV電路902、LV電路904和HV電路906)而言,具有不同隔離溝槽深度的3D電晶體可以透過在回蝕刻溝槽隔離2204時改變凹槽深度來形成。如圖22J所示,透過回蝕刻第一區域2201和第三區域2205中的溝槽隔離2204的不同凹槽深度,矽基底2202的第三區域2205中的3D半導體主體2219可以具有與圖22D中的第一區域 2201中的3D半導體主體2208不同的凹槽深度。在一些實施方式中,3D半導體主體2219是HV電路906中的3D電晶體的一部分,並且3D半導體主體2208是LLV電路902和/或LV電路904中的3D電晶體的一部分,並且用於形成3D半導體主體2219的第一凹槽深度大於用於形成3D半導體主體2208的第二凹槽深度。在一個示例中,第一凹槽深度可以在300nm和400nm之間,而第二凹槽深度可以在50nm和100nm之間。
參考圖23,方法2300進行到步驟2308,其中在外圍電路上方形成包括多個第二鍵合觸點的第二鍵合層。例如,如圖8B所示,包括鍵合觸點853的鍵合層851形成在外圍電路835中的3D電晶體839上方。方法2300進行到步驟2310,如圖23中所示,其中第一半導體結構和第二半導體結構以面對面方式鍵合,使得儲存單元陣列跨越鍵合界面耦接到外圍電路。鍵合可以是混合鍵合。在一些實施方式中,第二半導體結構在鍵合之後在第一半導體結構上方。在一些實施方式中,第一半導體結構在鍵合之後在第二半導體結構上方。
如圖8A所示,將具有3D NAND記憶體串838的第二半導體結構804上下翻轉。面向下的鍵合層826與面向上的鍵合層822鍵合,即以面對面的方式,從而形成鍵合界面806。在一些實施方式中,在鍵合之前,對鍵合表面應用處理製程,例如等離子體處理、濕式處理和/或熱處理。在鍵合之後,鍵合層826中的鍵合觸點828和鍵合層822中的鍵合觸點824彼此對準並接觸,使得3D NAND記憶體串838可以耦接到器件層810(例如,外圍電路812和814)。類似地,如圖8B中所示,將具有外圍電路835和837的第一半導體結構805上下翻轉。面向下的鍵合層851與面向上的鍵合層829鍵合,即以面對面的方式,從而形成鍵合界面807。在鍵合之後,鍵合層851中的鍵合觸點853和鍵合層829中的鍵合觸點855彼此對 準並且接觸,使得3D NAND記憶體串817可以耦接到器件層831(例如,外圍電路835和837)。
方法2300進行到步驟2312,如圖23所示,其中在鍵合之後,在第一和第二基底中的一個基底上方的第一和第二基底中的另一基底被減薄。如圖8A所示,由於具有3D NAND記憶體串838的第二半導體結構804的基底在具有外圍電路812和814的第一半導體結構802的基底上方,因此使用CMP和/或蝕刻製程減薄第二半導體結構804的基底以形成半導體層848。類似地,如圖8B所示,由於具有外圍電路835和837的第一半導體結構805的基底在具有3D NAND記憶體串817的第二半導體結構803的基底上方,所以使用CMP和/或蝕刻製程減薄第一半導體結構805的基底以形成半導體層833。
方法2300進行到步驟2314,如圖23所示,其中在減薄的第一或第二基底上形成互連層。如圖8A所示,在半導體層848(減薄的頂部基底)上方形成焊墊輸出互連層850。類似地,如圖8B所示,在半導體層833(減薄的頂部基底)上方形成焊墊輸出互連層843。
根據本揭露內容的一方面,一種3D儲存裝置包括:第一半導體結構,包括儲存單元陣列;第二半導體結構,包括外圍電路;以及鍵合界面,在第一半導體結構和第二半導體結構之間。外圍電路包括3D電晶體。儲存單元陣列跨越鍵合界面耦接到外圍電路。
在一些實施方式中,3D電晶體是多閘極電晶體。
在一些實施方式中,多閘極電晶體包括FinFET。
在一些實施方式中,多閘極電晶體包括GAA FET。
在一些實施方式中,3D電晶體包括3D半導體主體以及與3D半導體主體的多個側面接觸的閘極結構。閘極結構可以包括閘極介電質和閘電極。
在一些實施方式中,外圍電路包括第一外圍電路和第二外圍電路,3D電晶體包括第一外圍電路的第一3D電晶體和第二外圍電路的第二3D電晶體,並且第一外圍電路的第一3D電晶體接收第一電壓,並且第二外圍電路的第二3D電晶體接收大於第一電壓的第二電壓。
在一些實施方式中,外圍電路還包括第三外圍電路,3D電晶體還包括第三外圍電路的第三3D電晶體,並且第三外圍電路的第三3D電晶體接收大於第二電壓的第三電壓。
在一些實施方式中,第一外圍電路包括I/O電路,第二外圍電路包括頁緩衝器的至少一部分,且第三外圍電路包括字元線驅動器。
在一些實施方式中,第一半導體結構還包括耦接到儲存單元陣列的多條位元線和多條字元線,第二外圍電路的第二3D電晶體透過位元線中的至少一條耦接到儲存單元陣列,並且第三外圍電路的第三3D電晶體透過字元線中的至少一條耦接到儲存單元陣列。
在一些實施方式中,第三3D電晶體的閘極介電質的厚度大於第二3D 電晶體的閘極介電質的厚度,並且第二3D電晶體的閘極介電質的厚度大於第一3D電晶體的閘極介電質的厚度。
在一些實施方式中,第三3D電晶體還包括漂移區、源極和汲極,並且漂移區的摻雜濃度小於源極和汲極的摻雜濃度。
在一些實施方式中,第一3D電晶體的閘電極包括金屬,並且第一3D電晶體的閘極介電質包括高k介電質。
在一些實施方式中,第一3D電晶體或第二3D電晶體的3D半導體主體在平面圖中具有啞鈴形狀。
在一些實施方式中,閘電極包括多晶矽。
在一些實施方式中,閘極介電質包括氧化矽。
在一些實施方式中,3D半導體主體的寬度大於10nm。在一些實施方式中,3D半導體主體的寬度在30nm和1000nm之間。
在一些實施方式中,3D半導體主體的高度大於40nm。在一些實施方式中,3D半導體主體的高度在50nm和1000nm之間。
在一些實施方式中,3D半導體主體的通道長度大於30nm。在一些實施方式中,3D半導體主體的通道長度在50nm和1500nm之間。
在一些實施方式中,閘極介電質的厚度大於1.8nm。在一些實施方式中,閘極介電質的厚度在2nm和100nm之間。
在一些實施方式中,3D電晶體不包括應力源。
在一些實施方式中,外圍電路不包括平面電晶體。
在一些實施方式中,外圍電路還包括平面電晶體。
在一些實施方式中,外圍電路還包括另一3D電晶體、另一平面電晶體、在3D電晶體與另一3D電晶體之間的第一溝槽隔離,以及在平面電晶體與另一平面電晶體之間的第二溝槽隔離。
在一些實施方式中,第一溝槽隔離和第二溝槽隔離具有相同深度。
在一些實施方式中,第一溝槽隔離和第二溝槽隔離具有不同深度。
在一些實施方式中,第二溝槽隔離具有比第一溝槽隔離大的深度。
在一些實施方式中,第一半導體結構還包括第一鍵合層,第一鍵合層包括多個第一鍵合觸點,第二半導體結構還包括第二鍵合層,第二鍵合層包括多個第二鍵合觸點,並且第一鍵合觸點與第二鍵合觸點在鍵合界面處接觸。
在一些實施方式中,儲存單元陣列包括3D NAND記憶體串陣列。
根據本揭露內容的另一方面,一種系統包括被配置為儲存數據的儲存裝置。該儲存裝置包括:第一半導體結構,包括儲存單元陣列;第二半導體結構,包括外圍電路;以及鍵合界面,位於第一半導體結構和第二半導體結構之間。外圍電路包括3D電晶體。儲存單元陣列跨越鍵合界面耦接到外圍電路。所述系統還包括記憶體控制器,所述記憶體控制器耦接到儲存裝置且被配置為透過外圍電路控制儲存單元陣列。
在一些實施方式中,所述系統還包括主機,所述主機耦接到記憶體控制器且被配置為發送或接收數據。
根據本揭露內容的又一方面,公開了一種用於形成3D儲存裝置的方法。在第一基底上形成包括儲存單元陣列的第一半導體結構。在第二基底上形成包括外圍電路的第二半導體結構。外圍電路包括3D電晶體。第一半導體結構和第二半導體結構以面對面的方式鍵合,使得儲存單元陣列跨越鍵合界面耦接到外圍電路。
在一些實施方式中,為了形成第二半導體結構,從第二基底形成3D半導體主體,並且形成與3D半導體主體的多個側面接觸的閘極結構。
在一些實施方式中,為了形成3D半導體主體,在第二基底中圍繞第二基底的一部分形成溝槽隔離,並且回蝕刻溝槽隔離以暴露第二基底的所述部分中的至少一部分。
在一些實施方式中,為了形成3D半導體主體,在第二基底中圍繞第二基底的一部分形成溝槽,並且沉積隔離材料以部分地填充溝槽以暴露第二基底的所述部分中的至少一部分。
在一些實施方式中,為了形成閘極結構,在3D半導體主體的多個側面上依序形成閘極介電質層和閘電極層,並且圖案化閘電極層以形成閘電極。
在一些實施方式中,閘極介電質層包括氧化矽,並且閘電極層包括多晶矽。
在一些實施方式中,為了形成第二半導體結構,在3D半導體主體中形成源極和汲極。
在一些實施方式中,為了形成源極和汲極,對3D半導體主體的未被閘電極覆蓋的部分進行摻雜。
在一些實施方式中,為了形成第一半導體結構,形成多個3D NAND記憶體串。
在一些實施方式中,為了形成第一半導體結構,在儲存單元陣列上方形成包括多個第一鍵合觸點的第一鍵合層。在一些實施方式中,為了形成第二半導體結構,在外圍電路上方形成包括多個第二鍵合觸點的第二鍵合層。在一些實施方式中,在鍵合之後,第一鍵合觸點與第二鍵合觸點在鍵合界面處接 觸。
在一些實施方式中,在鍵合之後在第一和第二基底中的一個基底上方的第一和第二基底中的另一基底被減薄,並且在經減薄的第一或第二基底上形成互連層。
在一些實施方式中,鍵合包括混合鍵合。
以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
800:3D儲存裝置
802:第一半導體結構
804:第二半導體結構
806:鍵合界面
838:3DNAND記憶體串
818:平面電晶體
808:基底
860,862:溝槽隔離
816:3D電晶體
810:器件層
812:第一外圍電路
814:第二外圍電路
820,830:互連層
822,826:鍵合層
824,828:鍵合觸點
832:記憶體堆疊體
834:導電層
836:介電質層
848:半導體層
850:焊墊輸出互連層
852:觸點焊墊
854:觸點
x,y:方向

Claims (16)

  1. 一種三維(3D)儲存裝置,包括:第一半導體結構,包括儲存單元陣列;第二半導體結構,包括外圍電路,其中,所述外圍電路包括3D電晶體;以及鍵合界面,在所述第一半導體結構和所述第二半導體結構之間,其中,所述儲存單元陣列跨越所述鍵合界面耦接到所述外圍電路;其中,所述外圍電路包括第一外圍電路和第二外圍電路,所述3D電晶體包括所述第一外圍電路的第一3D電晶體和所述第二外圍電路的第二3D電晶體,所述第一外圍電路的所述第一3D電晶體接收第一電壓,並且所述第二外圍電路的所述第二3D電晶體接收大於所述第一電壓的第二電壓。
  2. 根據請求項1所述的3D儲存裝置,其中,所述3D電晶體是多閘極電晶體。
  3. 根據請求項1所述的3D儲存裝置,其中,所述3D電晶體包括:3D半導體主體;以及閘極結構,與所述3D半導體主體的多個側面接觸,所述閘極結構包括閘極介電質和閘電極。
  4. 根據請求項1所述的3D儲存裝置,其中,所述外圍電路還包括第三外圍電路,所述3D電晶體還包括所述第三外圍電路的第三3D電晶體,並且所述第三外圍電路的所述第三3D電晶體接收大於所述第二電壓的第三電壓。
  5. 根據請求項4所述的3D儲存裝置,其中,所述第一外圍電路包括輸入/輸出(I/O)電路,所述第二外圍電路包括頁緩衝器的至少一部分,且所述第三外圍電路包括字元線驅動器。
  6. 根據請求項4所述的3D儲存裝置,其中,所述第一半導體結構還包括耦接到所述儲存單元陣列的多條位元線和多條字元線;所述第二外圍電路的所述第二3D電晶體透過所述位元線中的至少一條耦接到所述儲存單元陣列;以及所述第三外圍電路的所述第三3D電晶體透過所述字元線中的至少一條耦接到所述儲存單元陣列。
  7. 根據請求項4所述的3D儲存裝置,其中,所述第三3D電晶體的閘極介電質的厚度大於所述第二3D電晶體的閘極介電質的厚度,並且所述第二3D電晶體的閘極介電質的厚度大於所述第一3D電晶體的閘極介電質的厚度。
  8. 根據請求項4所述的3D儲存裝置,其中,所述第三3D電晶體還包括漂移區、源極和汲極,並且所述漂移區的摻雜濃度小於所述源極和所述汲極的摻雜濃度。
  9. 根據請求項1所述的3D儲存裝置,其中,所述第一3D電晶體的閘電極包括金屬,並且所述第一3D電晶體的閘極介電質包括高介電常數(高k) 介電質。
  10. 根據請求項3所述的3D儲存裝置,其中,所述第一3D電晶體或所述第二3D電晶體的所述3D半導體主體在平面圖中具有啞鈴形狀。
  11. 根據請求項3所述的3D儲存裝置,其中,所述3D半導體主體的寬度大於10nm;所述3D半導體主體的高度大於40nm;並且所述3D半導體主體的通道長度大於30nm。
  12. 根據請求項3所述的3D儲存裝置,其中,所述閘極介電質的厚度大於1.8nm。
  13. 根據請求項1所述的3D儲存裝置,其中,所述外圍電路還包括平面電晶體。
  14. 根據請求項13所述的3D儲存裝置,其中,所述外圍電路還包括:另一3D電晶體;另一平面電晶體;在所述3D電晶體與所述另一3D電晶體之間的第一溝槽隔離;以及在所述平面電晶體與所述另一平面電晶體之間的第二溝槽隔離。
  15. 根據請求項14所述的3D儲存裝置,其中,所述第二溝槽隔離具有比所述第一溝槽隔離大的深度。
  16. 一種儲存系統,包括:儲存裝置,被配置為儲存數據,並且包括:第一半導體結構,包括儲存單元陣列;第二半導體結構,包括外圍電路,其中,所述外圍電路包括3D電晶體;以及鍵合界面,位於所述第一半導體結構和所述第二半導體結構之間,其中,所述儲存單元陣列跨越所述鍵合界面耦接到所述外圍電路;以及記憶體控制器,耦接到所述儲存裝置且被配置為透過所述外圍電路控制所述儲存單元陣列;其中,所述外圍電路包括第一外圍電路和第二外圍電路,所述3D電晶體包括所述第一外圍電路的第一3D電晶體和所述第二外圍電路的第二3D電晶體,所述第一外圍電路的所述第一3D電晶體接收第一電壓,並且所述第二外圍電路的所述第二3D電晶體接收大於所述第一電壓的第二電壓。
TW110139268A 2021-06-30 2021-10-22 具有三維電晶體的三維儲存裝置及其形成方法 TWI831063B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/CN2021/103677 2021-06-30
PCT/CN2021/103677 WO2022236944A1 (en) 2021-05-12 2021-06-30 Memory peripheral circuit having three-dimensional transistors and method for forming the same

Publications (2)

Publication Number Publication Date
TW202303937A TW202303937A (zh) 2023-01-16
TWI831063B true TWI831063B (zh) 2024-02-01

Family

ID=86657972

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110139268A TWI831063B (zh) 2021-06-30 2021-10-22 具有三維電晶體的三維儲存裝置及其形成方法

Country Status (1)

Country Link
TW (1) TWI831063B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170053921A1 (en) * 2014-09-03 2017-02-23 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US10283493B1 (en) * 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
TW202117937A (zh) * 2019-10-17 2021-05-01 大陸商長江存儲科技有限責任公司 具有背面隔離結構的三維記憶體裝置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170053921A1 (en) * 2014-09-03 2017-02-23 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US10283493B1 (en) * 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
TW202117937A (zh) * 2019-10-17 2021-05-01 大陸商長江存儲科技有限責任公司 具有背面隔離結構的三維記憶體裝置

Also Published As

Publication number Publication date
TW202303937A (zh) 2023-01-16

Similar Documents

Publication Publication Date Title
US20220367505A1 (en) Memory peripheral circuit having three-dimensional transistors and method for forming the same
US20230005875A1 (en) Peripheral circuit having recess gate transistors and method for forming the same
TWI819379B (zh) 具有凹陷閘極電晶體的外圍電路及其形成方法
CN113711356A (zh) 三维存储器器件及其形成方法
US20220367504A1 (en) Memory peripheral circuit having three-dimensional transistors and method for forming the same
US20220367503A1 (en) Memory peripheral circuit having three-dimensional transistors and method for forming the same
WO2023272592A1 (en) Three-dimensional memory devices and methods for forming the same
WO2023273302A1 (en) Three-dimensional memory devices, systems, and methods
US20230005865A1 (en) Three-dimensional memory devices, systems, and methods for forming the same
US20230111711A1 (en) Three-dimensional memory devices and methods for forming the same
US20230110729A1 (en) Three-dimensional memory devices and methods for forming the same
TWI831063B (zh) 具有三維電晶體的三維儲存裝置及其形成方法
TWI808513B (zh) 具有三維電晶體的儲存裝置
TWI808511B (zh) 具有三維電晶體的記憶體週邊電路及其形成方法
KR20230101921A (ko) 3차원 메모리 디바이스 및 이를 형성하기 위한 방법
TWI807457B (zh) 具有三維電晶體的儲存裝置
CN115769693A (zh) 三维存储器器件及其形成方法
WO2022236943A1 (en) Memory peripheral circuit having three-dimensional transistors and method for forming the same
US20220367394A1 (en) Memory peripheral circuit having three-dimensional transistors and method for forming the same
US20240040789A1 (en) Three-dimensional memory devices, systems, and methods for forming the same
WO2023272611A1 (en) Three-dimensional memory devices and methods for forming the same