KR20010098415A - 전구체 소스 혼합물, 필름의 침착 방법 및 구조체의 제조방법 - Google Patents

전구체 소스 혼합물, 필름의 침착 방법 및 구조체의 제조방법 Download PDF

Info

Publication number
KR20010098415A
KR20010098415A KR1020010014379A KR20010014379A KR20010098415A KR 20010098415 A KR20010098415 A KR 20010098415A KR 1020010014379 A KR1020010014379 A KR 1020010014379A KR 20010014379 A KR20010014379 A KR 20010014379A KR 20010098415 A KR20010098415 A KR 20010098415A
Authority
KR
South Korea
Prior art keywords
precursor
group
precursor source
nitrile
aryl
Prior art date
Application number
KR1020010014379A
Other languages
English (en)
Inventor
부캐넌더글러스
뉴메이어데보라앤
Original Assignee
포만 제프리 엘
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만 제프리 엘, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 포만 제프리 엘
Publication of KR20010098415A publication Critical patent/KR20010098415A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

본 발명은 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및 이들의 혼합물로 구성된 군으로부터 선택된 불활성 액체에 용해되거나 유화되거나 현탁되고, 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 하나 이상의 리간드가 결합된, Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi로 구성된 군으로부터 선택된 원소로 이루어진 하나 이상의 전구체를 포함하는, 필름의 CVD 및 ALD에 유용한 전구체 소스 혼합물에 관한 것이다. 전구체 소스 혼합물은 용액, 유화액 또는 현탁액일 수 있고, 혼합물을 통해 분포된 고상, 액상 및 기상의 혼합물로 구성될 수 있다.

Description

전구체 소스 혼합물, 필름의 침착 방법 및 구조체의 제조 방법{PRECURSOR SOURCE MIXTURES, METHODS OF FILM DEPOSITION, AND FABRICATION OF STRUCTURES}
본 발명은 침착 방법, 및 보다 특별하게는 화학적 증착(CVD) 및 원자층 침착(ALD) 공정에 유용한 전구체 소스 혼합물에 관한 것이다. 본 발명은 또한 본 발명의 전구체 소스 혼합물로부터 침착된 하나 이상의 필름, 층 또는 피복물을 함유하는 전자 디바이스의 제조 방법에 관한 것이다.
성능을 개선시키기 위해 반도체 디바이스의 치수가 축소되면서, 필름의 두께를 더 얇고 균일한 치수로 조절해야 할 필요성이 증가하고 있다. 인접한 디바이스와의 중첩을 최소화하기 위해 치수가 축소되므로 두께 균일성은 중요하다. 균일성의 개선은 얕은(0.25 마이크론) 소스/드레인 확산을 사용하는 최근의 기술에서 특히 중요하다. 얕은 확산은 산화물을 통한 주입에 의해 얻어질 수 있기 때문에, 이러한 산화물 두께에서의 불균일성은 소스/드레인 확산 깊이를 불균일하게 하여 디바이스 성능을 손상시킨다. 개선된 두께 균일성은 또한 필름 균일성에 직접적으로 의존하는 오버-에칭(over-etching)을 최소화하기 위해 에칭 동안 중요하다.
반도체 용도를 위해 CVD 또는 ALD에 의해 침착된 대부분의 필름은 필름에 균일한 전구체 유동을 전달하기 위해 일정하게 되는 전구체의 증기압에 따라 승온에서 순수한(즉, 용매가 없는) 전구체를 통해 발포된 캐리어 가스를 이용한 버블러 기법을 사용하여 성장된다. 그러나, 증기압은 온도에 직접적으로 관련되기 때문에, 통상적인 버블러 기법은 실행 동안 또는 실행에서 실행까지 최소의 변화를 가지면서 버블러 온도를 유지시킬 필요가 있는 결점을 발생시킨다. 전구체 유동의 불안정은 필름 성장 속도를 변화시키는 것으로 알려져 있다. 고체 화합물은 소결되고 시간에 따라 표면적을 변화시켜 실행에서 실행까지 필름 성장 속도를 불균일하게 한다. 소결은 액체 전구체의 경우 문제점이 아니나 시간에 따라 액체 전구체는 전구체에 위치하는 열 부하 및 열 사이클으로부터 손상될 수 있다. 또한, 승온에서 분해 과정이 촉진된다. 통상적인 버블러에서의 기화 동안 전구체의 열 사이클 및 승온은 시간에 따라 전구체의 조기 손상의 원인이 될 수 있다. 전구체는 리간드 재배치, 클러스터 형성 또는 산화에 의해 이들의 화학적 상태를 변화시킬 수 있다. 전구체는 전구체, 공기 누출물, 또는 버블러 벽에 흡착된 물 및 산소를 통해 발포된 부적당하게 정제된 캐리어 가스를 통해 버블러 내로 우연히 도입된 물 또는 산소와 반응할 수 있다.
전형적으로 통상적인 버블러 기법에 사용되고 상기 나열된 결점이 있는 전구체의 예는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미드, 포스파이드, 니트레이트, 할라이드, 알콕사이드, 실록사이드 및 실릴을 포함한다. 알킬아민과 같은 열불안정성 하이드라이드는 이들의 고반응성이 일반적으로 낮은 열 가공 온도 및 감소된 불순물 혼입으로 인식되기 때문에 CVD 및 ALD에 특히 유리하다. 불행하게도, 알킬아민 알란은 보관, 전달 및 기화 동안 불안정하여 생성된 필름에서 재생성을 불량하게 하는 것으로 알려져 있다. 트리메틸아민 알란, 트리에틸아민 알란 및 디에틸메틸아민 알란과 같은 알킬아민 알란은 보관 및 CVD 반응기로의 전달 동안 40℃보다 높은 온도에서 분해되는 것으로 알려져 있다. 분해를 최소화하기 위해 실온 이하의 온도에서 전구체를 보관하는 것에 주의해야 한다. 따라서, 전달 및 기화 온도는 전구체의 열분해에 의해 제한된다[참조: Dario M. Frigo, and Gerbrand J.M. van Eijden, Chemistry of Materials, 1994, 6, 190-195 및 C.E. Chryssou and C.W. Pitt, Applied Physics A Materials Science and Processing, vol. 65, 1997, 469-475].
열불안정성 전구체의 다른 예는 70℃ 정도의 온도에서 PEt3의 손실로 분해되는 것으로 알려져 있는 (사이클로펜타디에닐)Cu(PEt3)과 같은 Cu(I) 화합물이다. 다른 예는 트리메틸인듐 및 트리에틸인듐과 같은 알킬을 포함한다. 트리에틸인듐은 액체이고, 버블러에서 실온에서 분해되는 것으로 알려져 있다. 트리메틸인듐은 실온에서 고체이고, 시간에 따라 유효 증기압의 변화가 관찰되어 성장 결과의 바람직하지 않은 불균일성 및 비재생성을 일으킨다[참조: G.B. Stringfellow, Organometallic Vapor-Phase Epitaxy: Theory and Practice(San Diego, CA: Academic Press, 1989)].
다른 예는 리간드 재배치, 가수분해, 올리고머화, 고리 형성, 클러스터 형성 및/또는 시간에 따른 산화에 의해 이들의 화학적 상태를 변화시키는 것으로 알려져 있는 알콕사이드를 포함한다. 통상적인 버블러 기법에서 일어나는 승온에서, 이들 분해 과정은 촉진된다. 또한, 알콕사이드는 전구체, 공기 누출물, 또는 버블러 벽에 흡착된 물 및 산소를 통해 발포된 부적당하게 정제된 캐리어 가스를 통해 버블러 내로 우연히 도입된 물 또는 산소 불순물에 특히 민감하다. 가수분해 반응이일어날 수 있고, 이러한 반응은 통상적인 버블러 기법에서 일반적으로 일어나는 승온에서 촉진된다. 알콕사이드는 또한 시간에 따라 내부전환되어 증기압을 변화시키는 다수의 이성질체 형태로 존재할 수 있다. 예를 들면, 알루미늄 이소프록사이드는 이성질체 사이에서 느린 내부전환 속도로 다수의 이성질체 형태로 존재한다. 이들 이성질체의 증기압은 다양하게 변화하여 통상적인 버블러 기법을 사용하여 이 화합물로부터 성장된 Al2O3의 침착 속도를 조절하는 것을 어렵게 한다[참조: R.G. Grodon, K. Kramer, X. Liu, MRS Symp Proc. Vol. 446, 1997, p.383].
다른 예는 알콕사이드와 유사하게 거동하는 아미드를 포함하며, 이것은 리간드 재배치, 가수분해, 산화, 올리고머화 및 고리 형성이 쉽고 여러 내부전환성 이성질체 형태로 존재하여 시간에 따라 증기압을 재생할 수 없게 된다. 다른 예는 티탄 니트레이트, 지르코늄 니트레이트 및 갈륨 니트레이트과 같은 무수 금속 니트레이트를 포함한다. 이들 착체는 공기 및 물에 대해 민감하고 100℃ 주위의 온도에서 분해되는 것으로 알려져 있다. VO(NO3)3및 CrO2(NO3)2와 같은 금속 옥소-니트레이트는 공기 및 물에 대해 민감할 뿐만 아니라 감광성이고 0℃에서 보관되어야 한다. 이것은 예를 들면 문헌[D.G. Colombo, D.C. Gilmer, V.G. Young, S.A. Campbell and W.L. Gladfelter Chem. Vap. Dep. 1998, 4, No.6, 1998 P.220]에 개시되어 있다.
CVD 성장을 위한 용액에 용해된 β-디케토네이트 함유 전구체의 용도는 이전에 기술되었다. 미국 특허 제 5,204,314 호, 제 5,225,561 호, 제 5,280,012 호,제 5,453,494 호 및 제 5,919,522 호는 하나 이상의 β-디케토네이트 리간드 또는 β-디케토네이트 유도체에 결합된 Ca, Sr 또는 Ba 착체를 함유하는 용액을 사용하는 Ca, Sr 또는 Ba 함유 필름의 성장을 개시하고 있다. 미국 특허 제 5,555,154 호는 테트라하이드로푸란 중의 Pb, Zr 및 Ti 디피발로일메타네이트를 함유하는 용액을 사용하는 화학적 증착에 의한 PbZrTiO3의 성장을 개시하고 있다. 미국 특허 제 5,667,002 호 및 제 5,679,815 호는 하나 이상의 β-디케토네이트 리간드 또는 β-디케토네이트 유도체에 결합된 Nb 및 Ta의 용액을 사용하는 탄탈 및 니오븀 함유 필름의 성장을 개시하고 있다. 미국 특허 제 5,698,022 호는 용매 중에 란탄계 금속 β-디케토네이트 및 인 함유 리간드로 이루어진 전구체 화합물을 포함하는 란탄계 금속/산화 인 필름의 화학적 증착에 유용한 전구체 조성물을 교시하고 있다. 미국 특허 제 5,783,716 호는 하나 이상의 β-디케토네이트 리간드 또는 β-디케토네이트 유도체에 결합된 Pt 착체를 함유하는 용액을 사용하는 CVD에 의한 Pt의 성장을 교시하고 있다. 미국 특허 제 5,820,664 호는 하나 이상의 β-디케토네이트 리간드 또는 β-디케토네이트 유도체에 배위 결합된 금속을 포함하는 금속 배위 착체를 포함하는 화학적 증착에 유용한 금속 소스 시약 액체 용액을 교시하고 있다. 미국 특허 제 5,900,279 호는 착체의 리간드 중 하나에 용해된 β-디케토네이트 함유 전구체로 이루어진 용액을 교시하고 있다. 미국 특허 제 5,916,359 호는 2종의 상이한 C6-C12알칸 및 글림계 용매 또는 폴리아민의 3성분 용액에 용해된 Sr, Bi, Ta β-디케토네이트 함유 전구체로 구성된 전구체 조성물을 사용하는 CVD에 의한SrBi2Ta2O9의 성장을 개시하고 있다. 미국 특허 제 5,980,983 호는 금속 함유 필름의 침착을 위한 금속 β-디케토네이트의 혼합물의 용도를 교시하고 있다. β-디케토네이트 전구체의 많은 개시에도 불구하고, β-디케토네이트 함유 전구체는 착체 분해 경로를 가져서 실질적인 양의 탄소 또는 다른 바라지 않는 불순물이 생성된 필름에 혼입될 수 있는 것으로 알려져 있다.
미국 특허 제 5,900,279 호는 필수적으로 금속 유기 화합물의 리간드로 구성된 리간드에 첨가된 금속 유기 화합물로 이루어진 CVD에 유용한 용액을 교시하고 있다. 예를 들면, M(β-디케토네이트)를 β-디케토네이트에 용해시킨 것이다. 이 문헌은 필름을 형성하기 위해 전구체의 분해 동안 존재하는 과량의 리간드를 갖는 결점을 갖는다. 리간드 용매는 전구체 또는 전구체 분해 단편으로서 동일한 분해 경로를 갖기 쉽고 따라서 기상에서 또는 필름 표면에서 전구체의 분해를 방해할 수 있다. 기화된 전구체와 부분적으로 분해된 전구체 및 기화된 리간드 용매와 그의 분해 부산물 사이의 기상 반응은 일어나기 쉽고 전구체의 휘발성 및 기화기 및 반응기에서 미립자의 형성을 감소시키고 따라서 성장 속도를 재생시킬 수 없다.
종래의 침착 방법에서의 단점을 고려할 때, 신규하고 개선된 전구체 소스 혼합물이 여러 전자 디바이스에서 사용될 수 있는 얇게 침착된 층 또는 필름을 형성하는데 사용되는 침착 방법을 개발하고자 하는 필요성이 계속되고 있다.
본 발명의 전구체 소스 혼합물의 목적은 여러 전자 디바이스에서 사용될 수 있는 얇은 침착층 또는 필름을 형성하는데 사용하는 것이다.
도 1은 단일 기판 상에 p형 전기장 효과 트랜지스터(PFET) 및 n형 전기장 효과 트랜지스터(NFET)를 둘 다 갖는 집적 회로의 단면도를 도시한 것이다.
도 2는 집적 회로 커패시터의 단면도를 도시한 것이다.
도 3a 및 3b는 집적 회로 배선 구조체의 단면도를 도시한 것이다.
도 4는 트랜지스터의 단면도를 도시한 것이다.
도 5 내지 12는 트랜지스터 제조 단계의 단면도를 도시한 것이다.
도 13은 트랜지스터의 단면도를 도시한 것이다.
도 14 내지 23은 트랜지스터 제조 단계의 단면도를 도시한 것이다.
도 24 내지 28은 스택 커패시터 제조 단계의 단면도를 도시한 것이다.
도 29는 필름 또는 피복물의 화학적 증착 또는 원자층 침착을 위한 전달 시스템을 개략적으로 나타낸 것이다.
도 30은 트렌치 커패시터의 단면도를 도시한 것이다.
본 발명은 CVD 및 ALD 용도에 유용한 전구체 소스 혼합물, 본 발명의 전구체 소스 혼합물을 사용하는 필름(뿐만 아니라 층, 피복물 및 다중층)의 성장 방법, 및 본 발명의 방법에 의해 침착된 필름을 혼입하는 전자 디바이스의 제조 방법에 관한 것이다. 본 발명에서 제조될 수 있는 적합한 전자 디바이스는 트랜지스터, 커패시터, 다이오드, 저항기, 스위치, 발광 다이오드, 레이저, 배선 구조체, 상호접속 구조체 또는 본 발명의 필름이 혼입될 수 있는 기타 구조체를 포함하나 이에 제한되지 않는다.
구체적으로는, 본 발명의 전구체 소스 혼합물은 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및 이들의 혼합물로 구성된 군으로부터 선택된 불활성 액체에 용해되거나 유화되거나 현탁되고, 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 하나 이상의 리간드가 결합된, Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi로 구성된 군으로부터 선택된 원소로 이루어진 하나 이상의 전구체를 포함한다. 전구체 소스 혼합물은 용액, 유화액 또는 현탁액일 수 있고, 혼합물을 통해 분포된 고상, 액상 및 기상의 혼합물로 구성될 수 있다.
본 발명은 또한 본 발명의 전구체 소스 혼합물을 사용하여 기판 상에 필름을 성장시키는 CVD 또는 ALD 방법에 관한 것이다. 필름의 형성 방법은 전구체 소스 혼합물에서 전구체를 기화시키는 것 및 기화된 전구체의 성분을 기판에 침착시켜 필름을 형성하는 것을 포함한다. 하나의 양상에서, 불활성 액체는 전구체와 함께 기화할 수도 있고 기화하지 않을 수 있다. 이 양태에서, 불활성 액체는 전구체와 함께 기화한다. 본 발명의 다른 양태에서, 불활성 액체는 기화하지 않고, 액체 형태로 반응기로부터 전환된다.
본 발명의 다른 양상은 본 발명의 방법에 의해 침착된 하나 이상의 층을 혼입시켜 다중층 구조체를 제조하는 것에 관한 것이다.
본 발명의 추가의 양상은 하나 이상의 성분이 본 발명의 전구체 소스 혼합물로부터 유도되는 다성분 필름의 제조에 관한 것이다.
본 발명의 추가의 양상은 다음을 포함한다:
- 본 발명의 방법에 의해 침착된 필름을 혼입시켜 전자 구조체를 제조하는 것;
- 도 1에 도시된 바와 같이, 본 발명의 방법에 의해 침착된 필름을 혼입시켜 단일 기판 상에 형성된 n형 전기장 효과 트랜지스터(NFET) 및 p형 전기장 효과 트랜지스터(PFET) 둘 다를 함유하는 상보 금속 산화물 반도체(CMOS) 집적 회로 논리 디바이스를 제조하는 것;
- 도 2에 도시된 바와 같이, 본 발명에 의해 침착된 필름을 혼입시켜 집적 회로 커패시터를 제조하는 것; 및
- 도 3b에 도시된 바와 같이, 본 발명에 의해 침착된 필름을 혼입시켜 집적 회로 배선 구조체를 제조하는 것.
상기 나타난 바와 같이, 본 발명은 (i) 하나 이상의 본 발명의 전구체 및 (ii) 불활성 액체를 포함하는 CVD 또는 ALD에 유용한 전구체 소스 혼합물에 관한 것이다.
전구체는 하이드라이드(H), 알킬(CR3), 알케닐(CRCR2), 사이클로알케닐, 아릴, 알킨(CCR), 카보닐(CO), 아미도(NR2), 이미도(NR), 하이드라지도(NRNR2), 포스피도(PR2), 니트로실(NO), 니트로일(NO2), 니트레이트(NO3), 니트릴(RCN), 이소니트릴(RNC), 할라이드(F, Cl, Br 또는 I), 아자이드(N3), 알콕시(OR), 실록시(OSiR3), 실릴(SiR3) 및 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 하나 이상의 리간드가 결합된, Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd,Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi로 구성된 군으로부터 선택된 원소를 함유하는 임의의 화합물로서 정의되고, 전구체는 기화기로 전달될 때 기체 형태로 쉽게 전환된다. 리간드의 할로겐화 유도체는 H 치환체(들)가 F, Cl, Br 및 I로 구성된 군으로부터 선택된 할로겐으로 대체된 것으로서 정의된다. 리간드의 설폰화 유도체는 O 치환체(들)가 S로 대체된 것으로서 정의된다. 리간드의 실릴화 유도체는 C 치환체(들)가 Si로 대체된 것으로서 정의된다.
본 발명의 전구체의 일반식은 하기 화학식 1이다:
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi로 구성된 군으로부터 선택된 원소이고;
R1및 R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 동일하거나 상이한 리간드이고;
A는 포스핀(R3P), 포스파이트((RO)3P), 아민(R3N), 아르신(R3As), 스티벤(R3Sb), 에테르(R2O), 설파이드(RS), 니트릴(RCN), 이소니트릴(RNC), 알켄, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클(macrocycle), 시프 염기(schiff base), 사이클로알켄, 알콜(ROH), 포스핀 옥사이드(R3PO), 알킬리덴, 니트라이트, 알킨 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합되거나 연결된 리간드이고;
x는 1 이상이고;
x+y는 M의 원자가와 동일하고;
y는 0 이상이고;
z는 0 이상이다.
본 발명의 바람직한 전구체는 쉽게 기화되는 화합물이다. 구체적으로는, 바람직한 전구체는 디메틸-, 디에틸- 또는 디이소부틸-B, Al, Ga, In, As 또는 Sb 하이드라이드; Me2AlH(NEtMe2); 3급-부틸아르신; (Me3N)AlH3; (EtMe2N)AlH3; (Et3N)AlH3; CpWH2; Cp2MoH2; 트리메틸-, 트리에틸-, 트리이소부틸-, 트리-n-프로필-, 트리-이소프로필-, 트리-n-부틸-, 트리네오펜틸- 또는 에틸디메틸-B, Al, Ga, In, As 또는 Sb; 테트라메틸-, 테트라에틸-, 테트라페닐- 또는 테트라-n-부틸-Si, Ge, Sn 또는 Pb; 디메틸-, 디에틸- 또는 디이소부틸-B, Al, Ga, In, As 또는 Sb 하이드라이드, 클로라이드, 플루오라이드, 브로마이드, 요오다이드, Cp, 아미드, 디메틸아미드 또는 아자이드; 트리에틸-, 트리이소부틸-, 트리-n-프로필-, 트리-이소프로필-, 트리-n-부틸- 또는 에틸디메틸-B, Al, Ga, In, As 또는 Sb 트리메틸아민, 디에틸메틸아민, 디메틸에틸아민 또는 트리에틸아민; 디메틸- 또는 디에틸-Zn, Cd 또는 Hg; (네오펜틸)4Cr; Et3Pb(네오펜톡시); Cp2Me2Zr; (MeNC)2PtMe2; CpIr(C2H4)2; 비스Cp-Co, Mo, Fe, Mn, Ni, Ru, V, Os, Mg 또는 Cr; 비스에틸벤젠-, 비스벤젠-Co, Mo 또는 Cr; 트리페닐-Bi, Sb 또는 As; 트리비닐붕소; 트리스Cp-Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, D, Ho, Er, Tm, Yb 또는 Lu; 트리스알릴이리듐; CpCr(CO)2; Cp2ZrMe2; CpCuPEt2; EtCpCuPEt3; CpIn; CpIr(사이클로옥타디엔); CpPd(알릴); CpGaMe2; CpGaEt2; (사이클로헥사디엔)FeCO3; (사이클로옥타테트라엔)FeCO3; 에틸페로센; CpMn(CO)3; (사이클로헵타트리엔)Mo(CO)3; NdCp3; SmCp3; ScCp3; TbCp3; TlCp; TmCp3; CpWH2; (메시틸렌)W(CO)3; CpRe(CO)3; CpRh(CO)2; Ir(알릴)3; Pt(알릴)2; CpIr(사이클로옥탄디온); [Ir(OMe)(사이클로옥탄디온)]2; Ru(사이클로옥탄디온)(알릴)2; Ru3(CO)12; Fe(CO)5; Co2(Co)8; Ru(CO)3(1,3-사이클로헥사디엔); Os3(CO)12; Cr(CO)6; Cp(CO)2; Mn2(CO)10; CpMn(CO)3; Mo(CO)6; Ni(CO)4; Re2(CO)10; CpRe(CO)3; CpRh(CO)2; Ru3(CO)12; W(CO)6; CpV(CO)4; CF3Co(CO)4; Pt(CO)2(사이클로옥탄디온); Ir(CO)2(사이클로옥탄디온); (CO)4Fe[P(OCH3)3]; (CO)4Fe[N(CH3)3]; CoNO(CO)3; 부톡시, OCH(CF3)2, OCMe(CF3), OCMe(CF3)2, OSi(CH3)3, OC(CH3)3,OC(SiMe3)3또는 OC(CF3)3Li, Na, K, Rb, Cs, Fr, Cu, Ag, Au, Hg 또는 Tl; 테트라-메톡시, 테트라-에톡시, 테트라-이소프로폭시, 테트라-부톡시, 테트라-n-부톡시, 테트라-이소부톡시, 테트라-3급-부톡시, OCH(CF3)2, OCMe2(CF3), OCMe(CF3)2, OC(CH3)3, OC(SiMe3)3, OC(CF3)3또는 OSi(CH3)3Si, Ge, Sn, Pb, Ti, Zr 또는 Hf; VO(이소프로폭시)3, 트리이소프로폭시, 트리-2급-부톡시, 트리-n-부톡시, 트리-이소-부톡시, 트리-메톡시, 트리-에톡시, (OCH(CF3)2)3, (OCMe2(CF3))3, (OCMe(CF3)2)3, (OC(CH3)3)3, (OC(SiMe3)3)3, (OC(CF3)3)3또는 (OSi(CH3)3)3, B, Al, Ga, In, P, As 또는 Sb; Et3Pb(이소프로폭사이드); (3급-부톡시)CuPMe3; 테트라키스(디메틸아미노), 테트라키스(디에틸아미노) Ti, Zr, Hf, Si, Ge, Sn 또는 Pb; 디에틸아미노디에틸아르신; 디에틸아미노아르신 디클로라이드; 비스디메틸아미노아르신 클로라이드; Me2Zn(트리에틸아민)2; 디에틸아미노디메틸스탄; 트리스(디메틸아미노)포스핀; 트리스(디메틸아미노)안티몬; 트리스(디메틸아미노)아르신; 트리스(디메틸아미노)스티벤; 트리스-비스(트리메틸실릴)에르븀 아미드; 비스(디메틸아미노)(트리메틸에틸에틸렌디아미노)알루미늄; (CO)4Fe[N(CH3)3]; Li, Na 또는 K N(SiMe3), 펜타디메틸아미노탄탈; 디에틸아미노디메틸주석; 헥사디메틸아미노디텅스텐; 트리스디메틸아미노(트리메틸에틸렌디아미노)티탄; CpCu(트리페닐포스핀); (3급-부톡시)CuPMe3; Pt(PF3)4; Ni(PF3)4; Cr(PF3)6; (Et3P)3Mo(CO)3; Ir(PF3)4; Ti(NO3)4;Zr(NO3)4; Hf(NO3)4; Si(CH3)3(NO3); RuNO(NO3)3; 갈륨 니트레이트; Sn(NO3)4; Co(NO3)3; VO(NO3)3; CrO2(NO3)2; TiCl4; HfCl4; ZrCl4; ZnCl2; AlCl3; SiCl4; GaCl3; SnCl4; CoCl3; 디메틸-, 디에틸- 또는 디이소부틸-Al, B, Ge, Si 또는 As 할라이드; N(SiMe3)2Li, Na 또는 K; B(CH2SiMe3)3; {(Me3Si)2N}3-B, Al, Ga 또는 In; (Me3SiCH2)4-Ti, Zr 또는 Hf; 또는 {(Me3Si)2N}2-Zn, Cd 또는 Hg이고, 여기서 Cp는 사이클로펜타디에닐이거나 H 치환체(들)가 메틸, 에틸, 이소프로필, n-부틸, 2급-부틸, 3급-부틸, 트리메틸실릴 등으로 대체된 치환되는 것이 고려된 사이클로펜타디에닐이다.
불활성 액체는 보관 및 전구체의 기화 동안 본 발명의 전구체와 접촉할 때 분해되지 않는 임의의 액체로서 정의된다. 보다 구체적으로는, 전구체 소스 혼합물에서 사용되는 불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다. "필수적으로 이루어진"이란 본 발명에서 70 내지 100 부피%로서 정의된다. 선택적인 첨가제는 불활성 기체의 30 부피% 이하로 존재할 수 있다.
불활성 액체의 선택은 다음의 기준을 기초로 한다: 전구체와 접촉할 때 또는전구체의 기화 동안 분해되지 않고 성장하는 필름 표면에서 역으로 흡착하여 생성된 필름에서 바라지 않는 불순물을 혼입시키지 않으면서 반응기의 고온 대역을 통과하는 충분한 불활성.
본 발명의 전구체 소스 혼합물은 용액, 유화액 또는 현탁액일 수 있고, 혼합물을 통해 분포된 고상, 액상 및 기상의 혼합물로 구성될 수 있다.
본 발명의 전구체 소스 혼합물은 최근에 사용되는 임의의 전달 수단을 갖는 임의의 CVD 또는 ALD 방법에서 사용될 수 있다. 따라서, 본 발명은 특정한 CVD 또는 ALD 장치 또는 임의의 전달 시스템으로 제한되지 않는다. 화학적 증착(CVD)은 다수의 시약을 동시에 반응기 내로 도입하는 것으로 정의된다. 원자층 침착(ALD)은 다수의 시약을 순차적으로 반응기 내로 도입하는 것으로 정의되며, 원자층 에피택시, 디지탈 화학적 증착, 펄스화된 화학적 증착 및 기타 방법을 포함하나 이에 제한되지 않는다.
본 발명에 따라, 필름은 임의의 CVD 또는 ALD 방법에서 본 발명의 전구체 소스 혼합물을 사용하여 기판 상에서 형성된다. 필름은 전구체 소스 혼합물에서 전구체를 기화시킨 후 기화된 전구체의 성분을 기판 상에 침착시킴으로써 형성된다. 이 양상에서, 불활성 액체는 전구체와 함께 공기화될 수 있거나 공기화될 수 없다. 본 발명의 하나의 양태에서, 불활성 액체는 전구체와 함께 기화된다. 다른 양태에서, 불활성 액체는 기화되지 않고, 액체 형태로 반응로부터 전환된다.
필름을 형성하는 것 외에, 전구체 소스 혼합물은 하나 이상의 본 발명의 필름층을 혼입시키는 다중층 구조체의 제조, 또는 하나 이상의 성분이 본 발명의 방법의 전구체 소스 혼합물로부터 유도된 다성분 필름의 제조에서 사용될 수 있다.
본 발명의 전구체 소스 혼합물은 본 발명의 방법에 의해 침착된 필름을 혼입시키는 구조체, 즉 전자 디바이스 구조체의 제조에서 사용될 수 있다. "전자 디바이스 구조체"란 용어는 본 발명에서 트랜지스터, 커패시터, 다이오드, 저항기, 스위치, 발광 다이오드, 레이저, 배선 구조체 또는 상호접속 구조체를 나타내는데 사용된다.
더구나, 전구체 소스 혼합물은 상보 금속 산화물 반도체(CMOS) 집적 회로 논리 디바이스의 제조에 사용될 수 있다. 보다 구체적으로는, 본 발명은 단일 기판 상에 형성된 n형 전기장 효과 트랜지스터(NFET) 및 p형 전기장 효과 트랜지스터(PFET) 둘 다를 함유하는 CMOS 집적 회로의 제조에 관한 것이다. 도 1에 도시된 바와 같이, NFET 디바이스(11)는 기판(10)의 p형 전도성 영역(13)에 형성되고, 게이트 유전체(15)에 형성된 게이트 전극(14) 및 게이트 전극(14)의 측방향으로 마주하는 면에 형성된 한 쌍의 n형 소스/드레인 영역(16)을 함유한다. 유사하게, PFET 디바이스(17)는 기판(10)의 n형 전도성 영역(18)에 형성되고, 게이트 유전체(15)에 형성된 게이트 전극(19) 및 게이트 전극(19)의 마주하는 측벽을 따라 형성된 한 쌍의 p형 전도성 소스/드레인 영역(20)을 함유한다. NFET 및 PFET 디바이스는 얕은 트렌치 격리부(21) 및 스페이서(22)에 의해 격리된다. 본 발명의 이 양상에서, 게이트 전극(14), 게이트 전극(19) 및/또는 게이트 유전체(15) 및/또는 스페이서(22)를 포함하는 하나 이상의 트랜지스터 성분은 본 발명의 방법에 의해 침착된다.
본 발명의 전구체 소스 혼합물은 집적 회로 커패시터의 제조에 사용될 수 있다. 도 2에서 도시된 바와 같이, 전형적인 커패시터는 기판(30)에 형성되고, 플러그(31)에 의해 트랜지스터에 접속되고, 장벽(32)을 갖고, 하부 전극(33), 강유전성일 수 있거나 아닐 수 있는 유전성 물질(34) 및 상부 전극(35)으로 구성된다. 본 발명의 이 양상에서, 플러그(31), 장벽(32), 하부 전극(33), 유전성 물질(34) 및/또는 상부 전극(35)을 포함하는 하나 이상의 커패시터 성분은 본 발명의 방법에 의해 침착된다. 커패시터는 스택 또는 트렌치일 수 있다.
전구체 소스 혼합물은 또한 집적 회로 배선 구조체의 제조에 사용될 수 있다. 도 3a에 도시된 바와 같이, 전형적인 배선 구조체는 트렌치(41) 및 비아(42)를 유전층(43) 내로 에칭시켜 형성된다. 유전층(43) 밑에는 금속 박막 와이어(44) 및 배선층의 유전층(45)이 있다. 도 3b에서, 트렌치 및 비아는 장벽 물질(46) 및 배선 금속(47)으로 충전된다. 본 발명의 이 양상에서, 유전층(43 및 45), 금속 박막 와이어(44), 장벽 물질(46) 및/또는 배선 금속(47)을 포함하는 하나 이상의 배선 구조체 성분은 본 발명의 방법에 의해 침착된다.
이중 물결무늬 구조체의 에칭된 요부를 합치 피복시키는 장벽층은 또한 본 발명의 전구체 소스 혼합물을 사용하여 제조될 수 있다.
상기 내용은 본 발명의 일반적인 기술이고, 다음의 설명은 본 발명의 구체적인 상세한 내용을 제공한다.
하이드라이드 함유 화합물에 대한 전구체 소스 혼합물
하이드라이드 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 이루어진다:
(i) 화학식 1의 화합물
화학식 1
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, Sb 및 Bi, 바람직하게는 B, Al, Ga, In, As, Sb, Si, Ge, Sn, Pb, Zn, Cd 및 Hg로 구성된 군으로부터 선택된 원소이고;
R1은 하이드라이드이고;
R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되는 경향이 있는 불안정한 하이드라이드 함유 화합물의 경우, 추가의 비-하이드라이드 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 하이드라이드 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는 알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
하나 이상의 하이드라이드 함유 화합물로 이루어진 매우 바람직한 전구체 소스 혼합물은 필수적으로 C5-C12알칸 액체로 이루어진 액체에 용해되거나 유화되거나 현탁된 디메틸-, 디에틸- 또는 디이소부틸-B, Al, Ga, In, As 또는 Sb 하이드라이드; 3급-부틸아르신; CpWH2또는 Cp2MoH2를 포함하나 이에 제한되지 않는다. 다른 바람직한 전구체 소스 혼합물은 선택적으로 아민이 첨가된(불활성 액체의 30 부피% 이하) 필수적으로 C5-C12알칸 액체로 이루어진 액체에 유화되거나 현탁된 Me2AlH(NEtMe2); (Me3N)AlH3; (EtMe2N)AlH3또는 (Et3N)AlH3을 포함하나 이에 제한되지 않는 하나 이상의 하이드라이드 함유 화합물로 이루어진다.
알킬 함유 화합물에 대한 바람직한 전구체 소스 혼합물
알킬 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 이루어진다:
(i) 화학식 1의 화합물
화학식 1
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, Sb 및 Bi, 바람직하게는 B, Al, Ga, In, As, Sb, Si, Ge, Sn, Pb, Zn, Cd 및 Hg로 구성된 군으로부터 선택된 원소이고;
R1은 C1-C8알킬 또는 C4-C12사이클로알킬이고;
R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되는 경향이 있는 불안정한 알킬 함유 화합물의 경우, 추가의 알킬 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 알킬 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는 알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
하나 이상의 알킬 함유 화합물로 이루어진 매우 바람직한 전구체 소스 혼합물은 C5-C12알칸 액체에 용해되거나 유화되거나 현탁된 트리메틸-, 트리에틸-, 트리이소부틸-, 트리-n-프로필-, 트리이소프로필-, 트리-n-부틸-, 트리네오펜틸- 또는 에틸디메틸-B, Al, Ga, In, As 또는 Sb; 테트라메틸-, 테트라에틸-, 테트라페닐- 또는 테트라-n-부틸-Si, Ge, Sn 또는 Pb; 디메틸-, 디에틸- 또는 디이소부틸-B, Al, Ga, In, As 또는 Sb, 하이드라이드, 클로라이드, 플루오라이드, 브로마이드, 요오다이드, Cp, 아미드, 디메틸아미드 또는 아자이드; 트리에틸-, 트리이소부틸-, 트리-n-프로필-, 트리이소프로필-, 트리-n-부틸- 또는 에틸디메틸-B, Al, Ga, In, As 또는 Sb 트리메틸아민, 디에틸메틸아민, 디메틸에틸아민 또는 트리에틸아민; 디메틸- 또는 디에틸-Zn, Cd 또는 Hg; (네오펜틸)4Cr; Et3Pb(네오펜톡시); Cp2Me2Zr; (MeNC)2PtMe2; 또는 CpIr(C2H4)2를 포함하고, 여기서 Cp는 사이클로펜타디에닐이거나 H 치환체(들)가 메틸, 에틸, 이소프로필, n-부틸, 2급-부틸, 3급-부틸, 트리메틸실릴 등으로 대체된 치환된 사이클로펜타디에닐인 하나 이상의 알킬 함유 화합물로 이루어진다. 다른 바람직한 전구체 소스 혼합물은 선택적으로 메탄 또는 에탄이 첨가된(불활성 액체의 30 부피% 이하) 필수적으로 C5-C12알칸 액체로 이루어진 액체에 유화되거나 현탁된 트리메틸 또는 트리에틸을 포함하나 이에 제한되지 않는 하나 이상의 알킬 함유 화합물로 이루어진다.
알케닐 함유 화합물에 대한 전구체 소스 혼합물
알케닐 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 이루어진다:
(i) 화학식 1의 화합물
화학식 1
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi, 바람직하게는 Bi, As, Cr, Zr, Cu, Co, In, Ir, Fe, La, Mg, Mn, Mo, Ni, Os, Ru, Tl 및 W로 구성된 군으로부터 선택된 원소이고;
R1은 C2-C8알케닐, C4-C12사이클로알케닐 또는 C5-C18아릴이고;
R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되는 경향이 있는 불안정한 알케닐 함유 화합물의 경우, 추가의 알케닐 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 알케닐 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는 알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
매우 바람직한 전구체 소스 혼합물은 C5-C12알칸 액체에 용해되거나 유화되거나 현탁된 비스Cp-Co, Mo, Fe, Mn, Ni, Ru, V, Os, Mg 또는 Cr; 비스에틸벤젠; 비스벤젠-Co, Mo 또는 Cr; 트리페닐-Bi, Sb 또는 As; 트리비닐붕소; 트리스Cp-Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, D, Ho, Er, Tm, Yb 또는 Lu; 테트라Cp-Th, Pa, U, Np, Pu 또는 Am; 트리스알릴이리듐; CpCr(CO)2; Cp2ZrMe2; CpCuPEt2; CpIn; CpIr(사이클로옥타디엔); CpPd(알릴); CpGaMe2; CpGaEt2; (사이클로헥사디엔)FeCO3; (사이클로옥타테트라엔)FeCO3; 에틸페로센; CpMn(CO)3; (사이클로헵타트리엔) Mo(CO)3; NdCp3; SmCp3; ScCp3; TbCp3; TlCp; CpWH2; (메시틸렌)W(CO)3; CpRe(CO)3; CpRh(CO)2; Ir(알릴)3; Pt(알릴)2; CpIr(사이클로옥탄디온); [Ir(OMe)(사이클로옥탄디온)]2; 또는 Ru(사이클로옥탄디온)(알릴)2로 구성된 군으로부터 선택된 하나 이상의 알케닐 함유 화합물로 이루어지고, 여기서 Cp는 사이클로펜타디에닐이거나 H 치환체(들)가 메틸, 에틸, 이소프로필, n-부틸, 2급-부틸, 3급-부틸, 트리메틸실릴 등으로 대체된 치환된 사이클로펜타디에닐이다.
카보닐 함유 화합물에 대한 전구체 소스 혼합물
카보닐 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 이루어진다:
(i) 화학식 1의 화합물
화학식 1
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi, 바람직하게는 Ru, Fe, Co, Os, Cr, Mn, Mo, Ni, Re, Rh, W, Pt 및 Ir로 구성된 군으로부터 선택된 원소이고;
R1은 카보닐이고;
R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되는 경향이 있는 불안정한 카보닐 함유 화합물의 경우, 추가의 비-카보닐 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 카보닐 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는 알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
하나 이상의 카보닐 함유 화합물로 이루어진 매우 바람직한 전구체 소스 혼합물은 C5-C12알칸 액체에 용해되거나 유화되거나 현탁된 Ru3(CO)12; Fe(CO)5; Co2(Co)8; Ru(CO)3(1,3-사이클로헥사디엔); Os3(CO)12; Cr(CO)6; Cp(CO)2; Mn2(CO)10; CpMn(CO)3; (사이클로헵타트리엔)Mo(CO)3; Mo(CO)6; Ni(CO)4; Re2(CO)10; CpRe(CO)3; CpRh(CO)2; Ru3(CO)12; W(CO)6; CpV(CO)4; CF3Co(CO)4; Pt(CO)2(사이클로옥탄디온); Ir(CO)2(사이클로옥탄디온); (CO)4Fe[P(OCH3)3]; (CO)4Fe[N(CH3)3]; 또는 CoNO(CO)3을 포함하고, 여기서 Cp는 사이클로펜타디에닐이거나 H 치환체(들)가 메틸, 에틸, 이소프로필, n-부틸, 2급-부틸, 3급-부틸, 트리메틸실릴 등으로 대체된 치환된 사이클로펜타디에닐이다.
알콕시 함유 화합물에 대한 전구체 소스 혼합물
알콕시 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 구성된다:
(i) 화학식 1의 화합물
화학식 1
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi, 바람직하게는 B, Al, Ga, In, As, Sb, Si, Ge, Ti, Zr 및 Hf로 구성된 군으로부터 선택된 원소이고;
R1은 알콕시 또는 실록시이고;
R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되거나 화학적으로 재배치되는 경향이 있는 불안정한 알콕시 함유 화합물의 경우, 추가의 알콕사이드 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 알콕시 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는 알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
하나 이상의 알콕시 함유 화합물로 이루어진 매우 바람직한 전구체 소스 혼합물은 C5-C12알칸 액체에 용해되거나 유화되거나 현탁된 부톡시, OCH(CF3)2,OCMe2(CF3), OCMe(CF3)2, OSi(CH3)3, OC(CH3)3, OC(SiMe3)3또는 OC(CF3)3Li, Na, K, Rb, Cs, Fr, Cu, Ag, Au, Hg 또는 Tl; 테트라-메톡시, 테트라-에톡시, 테트라-이소프로폭시, 테트라-3급-부톡시, 테트라-이소부톡시, 테트라-OCH(CF3)2, 테트라-OCMe2(CF3), 테트라-OCMe(CF3)2, 테트라-OC(CH3)3, 테트라-OC(SiMe3)3, 테트라-OC(CF3)3또는 테트라-OSi(CH3)3Si, Ge, Sn, Pb, Ti, Zr 또는 Hf; VO(이소프로폭시)3; 트리이소프로폭시, 트리-2급-부톡시, 트리-n-부톡시, 트리-이소-부톡시, 트리-메톡시, 트리-에톡시, 트리-OCH(CF3)2, 트리-OCMe2(CF3), 트리-OCMe(CF3)2, 트리-OC(CH3)3, 트리-OC(SiMe3)3, 트리-OC(CF3)3또는 트리-OSi(CH3)3, B, Al, Ga, In, P, As 또는 Sb; Et3Pb(이소프로폭사이드); 또는 (3급-부톡시)CuPMe3을 포함한다.
아미노 함유 화합물에 대한 전구체 소스 혼합물
아미노 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 구성된다:
(i) 화학식 1의 화합물
화학식 1
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo,W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi, 바람직하게는 B, Al, Ga, In, As, Sb, Si, Ge, Sn, Pb, Zn, Cd, Hg, Ti, Zr 및 Hf로 구성된 군으로부터 선택된 원소이고;
R1은 아미드이고;
R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되거나 화학적으로 재배치되는 경향이 있는 불안정한 아미노 함유 화합물의 경우, 추가의 아미노 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 아미노 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는 알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
하나 이상의 아미노 함유 화합물로 이루어진 매우 바람직한 전구체 소스 혼합물은 추가의 아민과 함께 C5-C12알칸 액체에 용해되거나 유화되거나 현탁된 테트라키스(디메틸아미노), 테트라키스(디에틸아미노) Ti, Zr, Hf, Si, Ge, Sn 또는 Pb; 디에틸아미노디에틸아르신; 디에틸아미노아르신 디클로라이드; 비스디메틸아미노아르신 클로라이드; Me2Zn(트리에틸아민)2; 디에틸아미노디메틸스탄; 트리스(디메틸아미노)포스핀; 트리스(디메틸아미노)안티몬; 트리스(디메틸아미노)아르신; 트리스(디메틸아미노)스티벤; 트리스-비스(트리메틸실릴)에르븀 아미드; 비스(디메틸아미노)(트리메틸에틸에틸렌디아미노)알루미늄; (CO)4Fe[N(CH3)3], Li, Na 또는 K N(SiMe3); 펜타디메틸아미노탄탈; 디에틸아미노디메틸주석; 헥사디메틸아미노디텅스텐; 또는 트리스디메틸아미노(트리메틸에틸렌디아미노)티탄을 포함한다.
포스피도 함유 화합물에 대한 전구체 소스 혼합물
포스피도 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 구성된다:
(i) 화학식 2의 화합물
MR1 x(PR2 3)Az
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi, 바람직하게는 Cu, Ni, Pt, Ir, Cr 또는 Mo로 구성된 군으로부터 선택된 원소이고;
R1및 R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되거나 화학적으로 재배치되는 경향이 있는 불안정한 포스피도 함유 화합물의 경우, 추가의 포스피도 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 포스피도 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는 알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
매우 바람직한 전구체 소스 혼합물은 과량의 포스핀과 함께 C5-C12알칸 액체에 용해되거나 유화되거나 현탁된 CpCu(PEt3); CpCu(트리페닐포스핀); (3급-부톡시)CuPMe3; Pt(PF3)4; Ni(PF3)4; Cr(PF3)6; (Et3P)3Mo(CO)3; 또는 Ir(PF3)4로 이루어지고, 여기서 Cp는 사이클로펜타디에닐이거나 H 치환체(들)가 메틸, 에틸, 이소프로필, n-부틸, 2급-부틸, 3급-부틸, 트리메틸실릴 등으로 대체된 치환된 사이클로펜타디에닐이다.
니트레이트 함유 화합물에 대한 전구체 소스 혼합물
니트레이트 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 구성된다:
(i) 화학식 1의 화합물
화학식 1
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi, 바람직하게는 Ti, Zr, Hf, Si, Ga, Sn, Co, V 및 Cr로 구성된 군으로부터 선택된 원소이고;
R1이 니트레이트이고;
R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클,시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되거나 화학적으로 재배치되는 경향이 있는 불안정한 니트레이트 함유 화합물의 경우, 추가의 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 니트레이트 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는 알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
매우 바람직한 전구체 소스 혼합물은 C5-C12알칸 액체에 용해되거나 유화되거나 현탁된 Ti(NO3)4; Zr(NO3)4; Hf(NO3)4; Si(CH3)3(NO3); RuNO(NO3)3; 갈륨 니트레이트; Sn(NO3)4; Co(NO3)3; VO(NO3)3; 또는 CrO2(NO3)2를 포함한다.
할라이드 함유 화합물에 대한 전구체 소스 혼합물
할라이드 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 구성된다:
(i) 화학식 1의 화합물
화학식 1
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi, 바람직하게는 Ti, Zr, Hf, Si, Ga, Sn, Co, V 또는 Cr로 구성된 군으로부터 선택된 원소이고;
R1이 할라이드이고;
R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되거나 화학적으로 재배치되는 경향이 있는 불안정한 할라이드 함유 화합물의 경우, 추가의 할라이드 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 할라이드 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는 알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
매우 바람직한 전구체 소스 혼합물은 C5-C12알칸 액체에 용해되거나 유화되거나 현탁된 TiCl4; ZnCl2, ZrCl4; HfCl4; AlCl3; SiCl4; GaCl3; SnCl4; CoCl3; 디메틸, 디에틸 또는 디이소부틸, Al, B, Ge, Si 또는 As 할라이드로 이루어진다.
실릴 함유 화합물에 대한 전구체 소스 혼합물
실릴 함유 화합물의 바람직한 전구체 소스 혼합물은 다음으로 구성된다:
(i) 화학식 1의 화합물
화학식 1
MR1 xR2 yAz
상기 식에서,
M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi, 바람직하게는 Ti, Zr, Hf, Si, Ga, Sn, Co, V 및 Cr로 구성된 군으로부터 선택된 원소이고;
R1이 실릴이고;
R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고, R1및 R2는 동일하거나 상이한 리간드일 수 있고;
A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
x는 1 이상이고;
y는 0 이상이고;
z는 0 이상이고;
x+y는 M의 원자가와 동일하다.
(ii) 불활성 액체
불활성 액체는 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 이들 중 하나 이상의 혼합물로 구성된 군으로부터 선택된다. 바람직하게는, 불활성 액체는 필수적으로 C5-C12알칸으로 이루어진다.
(iii) 선택적인 첨가제
보관 또는 기화 동안 분해되거나 화학적으로 재배치되는 경향이 있는 불안정한 실릴 함유 화합물의 경우, 추가의 실릴 리간드가 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 실릴 함유 화합물의 불안정한 부가물의 경우, 추가의 부가물이 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있다. 다른 배위 화합물은 화합물의 안정성을 개선시키기 위해 혼합물에 첨가될 수 있고, 이것은 또한 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 또는알킨을 포함하나 이에 제한되지 않는다. 모든 선택적인 첨가제는 불활성 액체의 30 부피% 이하를 포함한다.
매우 바람직한 전구체 소스 혼합물은 C5-C12알칸 액체에 용해되거나 유화되거나 현탁된 N(SiMe3)2Li, Na 또는 K; B(CH2SiMe3)3; {(Me3Si)2N}3B, Al, Ga 또는 In; (Me3SiCH2)4Li, Zr 또는 Hf; 또는 {(Me3Si)2N}2Zn, Cd 또는 Hg로 이루어진다.
다음의 실시예는 본 발명을 예시하고 이로부터 생길 수 있는 일부 잇점을 예시하고자 주어진다.
실시예 1
전구체 소스 혼합물을 사용하는 화학적 증착 반응기에서 필름의 침착
이 실시예에서, 기판을 CVD에 적합한 반응기에 놓고, 다성분 금속, 금속 산화물, 금속 질화물 또는 금속 규화물 Hf 및 Al 함유 필름을 2종의 상이한 전구체 소스 혼합물을 사용하여 침착시켰다.
하프늄 함유 전구체 소스 혼합물은 1 l의 펜탄 중에 50 g의 테트라키스(디메틸아미노)하프늄 및 1 g의 디메틸아민으로 이루어진다. 알루미늄 함유 전구체 소스 혼합물은 1 l의 펜탄 중에 50 g의 트리메틸아민 알란 및 1 g의 트리메틸아민으로 이루어진다. 이 실시예에서, 전구체 소스 혼합물을 기화기에서 기화시키고, 증기를 CVD 반응기 내로 도입한다. 전구체 소스 혼합물을 40℃ 내지 260℃, 바람직하게는 40℃ 내지 180℃에서 기화시킨다. 기판 온도는 약 100℃ 내지 1200℃, 바람직하게는 200℃ 내지 700℃이다. 금속 필름을 침착시키기 위해, 수소, 형성 가스 및 이들의 조합물을 포함하나 이에 제한되지 않는 환원 반응물을 도입한다. 바람직한 환원제는 형성 가스이다. 금속 산화물 필름을 침착시키기 위해, 산소, 오존, 물, 과산화 수소, 산화 질소 및 이들의 조합물을 포함하나 이에 제한되지 않는 산화제를 도입한다. 바람직한 산화제는 산소이다. 금속 질화물을 침착시키기 위해, 암모니아, 하이드라진, 수소 아자이드, 3급-부틸아민 및 이들의 조합물을 포함하나 이에 제한되지 않는 질화 반응물을 도입한다. 바람직한 질화 반응물은 암모니아이다. 금속 규화물 필름을 침착시키기 위해, 실란, 디실란, 클로로실란 및 실라잔을 포함하나 이에 제한되지 않는 실릴화제 및 환원제를 전구체 증기와 함께 CVD 반응기 내로 도입한다. 전구체 소스 혼합물의 증기 및 반응물을 바람직하게는 분리 주입구를 통해 동시에 도입한다.
기술된 본 발명의 방법은 2종 이상의 상이한 전구체 소스 혼합물을 사용하거나 2종 이상의 전구체를 함유하는 전구체 소스 혼합물을 사용하는 화학적 증착에 의해 침착된 임의의 다성분 금속, 금속 산화물, 금속 질화물 또는 금속 규화물 필름의 성장을 포함하는 것으로 확장될 수 있다. 기술된 본 발명의 방법은 다성분 필름의 하나 이상의 성분이 전구체 소스 혼합물로부터 유도되면 화학적 증착에 의해 침착된 임의의 다성분 금속, 금속 산화물, 금속 질화물 또는 금속 규화물 필름의 성장을 포함하는 것으로 확장될 수 있다. 필름의 다른 성분을 통상적인 버블러 기법 또는 본 발명에 포함되지 않는 전구체 소스를 사용하여 침착시킬 수 있다. 기술된 본 발명의 방법은 단지 하나의 전구체를 함유하는 하나의 전구체 소스 혼합물을 사용하는 화학적 증착에 의해 침착된 단일 성분 금속, 금속 산화물, 금속 질화물 또는 금속 규화물 필름의 성장을 포함하는 것으로 확장될 수 있다.
실시예 2
불활성 액체가 기화되지 않는 필름 성장 방법
이 실시예에서, 불활성 액체를 기화시키지 않고, CVD 또는 ALD 반응기로부터 전환시킨다. 전구체 소스 혼합물은 전구체 및 전구체보다 높은 온도에서 기화하는 불활성 액체로 이루어진다. 전구체 소스 혼합물을 기화기 내로 도입하여 전구체를 기화시킨다. 불활성 액체를 기화시키지 않으나, 대신 액체 형태로 반응기로부터 전환시킨다.
하나의 가능한 장치 외형을 도 29에 도시한다. 도 29에 도시한 바와 같이, 전구체 소스 혼합물은 앰퓰로부터 기화기로 유동한다. 전구체 소스 혼합물 중의 전구체를 기화기에서 기화시키나, 불활성 액체는 기화되지 않는다. 기화된 전구체를 반응기로 보내고, 기화되지 않은 불활성 액체를 기화기로부터 빼내어 트랩에서 수거한다. 기화기 온도를 불활성 액체의 비점 미만으로 설정한다.
하나의 바람직한 방법은 기화기 온도를 90℃로 설정하면서 디메틸에틸아민 알란 및 데칸(비점 174℃)으로 이루어진 전구체 소스 혼합물을 포함한다.
기술된 본 발명의 방법은 기화기 온도가 전구체(들)를 휘발시키기에 충분하고 전구체 소스 혼합물에서 불활성 액체의 비점 미만이면 화학적 증착 또는 원자층 침착에 의해 침착된 임의의 단일 성분 또는 다성분 필름의 성장을 포함하는 것으로 확장될 수 있다.
실시예 3
전구체 소스 혼합물을 사용하는 원자층 침착 반응기에서 금속, 금속 산화물 또는 금속 질화물의 침착
이 양태에서, 기판을 ALD에 적합한 반응기, 예를 들면 마이크로케미스트리(Microchemistry)에 의해 제조된 시판되는 F-200 반응기에 놓고, Zr 및 Hf를 함유하는 다성분 금속, 금속 산화물 또는 금속 질화물 필름을 2종의 상이한 전구체 소스 혼합물을 사용하여 침착시킨다. ALD를 기화된 전구체, 반응물 및 퍼지 가스의 순차적인 교번 펄스를 갖는 사이클 형태로 수행한다.
지르코늄 함유 전구체 소스 혼합물은 1 l의 펜탄 중에 50 g의 지르코늄 니트레이트로 이루어진다. 하프늄 함유 전구체 소스 혼합물은 1 l의 펜탄 중에 50 g의 하프늄 3급-부톡사이드로 이루어진다. 이 실시예에서, 전구체 소스 혼합물을 기화기에서 기화시키고, 증기를 사이클 형태로 반응기 내로 도입한다. 금속 필름을 침착시키기 위해, 수소, 형성 가스 및 이들의 조합물을 포함하나 이에 제한되지 않는 환원 반응물을 도입한다. 바람직한 환원제는 형성 가스이다. 금속 산화물 필름을 침착시키기 위해, 산소, 오존, 물, 과산화 수소, 산화 질소 및 이들의 조합물을 포함하나 이에 제한되지 않는 산화제를 도입한다. 바람직한 산화제는 산소이다. 금속 질화물을 침착시키기 위해, 암모니아, 하이드라진, 수소 아자이드, 3급-부틸아민 및 이들의 조합물을 포함하나 이에 제한되지 않는 질화 반응물을 도입한다. 바람직한 질화 반응물은 암모니아이다.
전구체 소스 혼합물을 40℃ 내지 260℃, 바람직하게는 40℃ 내지 180℃에서 기화시킨다. 기판 온도는 약 100℃ 내지 1200℃, 바람직하게는 150℃ 내지 500℃이다. 전구체, 반응물 및 불활성 퍼지 가스(N, Ar 또는 다른 불활성 기체)를 다음의 순서로 반응기 내로 펄스화한다:
1. Hf 함유 전구체 소스 혼합물의 증기
2. 불활성 퍼지
3. 반응물
4. 불활성 퍼지
5. Zr 함유 전구체 소스 혼합물의 증기
6. 불활성 퍼지
7. 반응물
8. 불활성 퍼지
전구체 및 반응물 펄스(각각 단계 1, 5 및 3, 7)는 0.1 내지 1초, 바람직하게는 0.5초이다. 불활성 가스 퍼지 펄스(단계 2, 4, 6 및 8)는 0.2 내지 5초, 바람직하게는 2초이다. 단계 1 내지 8의 완료가 1 사이클이고, 1 사이클의 완료로 약 0.4 내지 2개의 ZrHf 함유 필름의 단층을 대략 0.1 nm로 침착시킨다. 이 실시예에서, 침착된 ZrHf 함유 필름의 바람직한 두께는 50 nm이고, 따라서 500 사이클의 상기 기술된 가스 스위칭을 수행한다.
기술된 본 발명의 방법은 2종 이상의 상이한 전구체 소스 혼합물을 사용하거나 2종 이상의 전구체를 함유하는 전구체 소스 혼합물을 사용하는 원자층 침착에 의해 침착된 임의의 다성분 금속, 금속 산화물, 금속 질화물 또는 금속 규화물 필름의 성장을 포함하는 것으로 확장될 수 있다. 기술된 본 발명의 방법은 다성분필름의 하나 이상의 성분이 전구체 소스 혼합물로부터 유도되면 원자층 침착에 의해 침착된 임의의 다성분 금속, 금속 산화물, 금속 질화물 또는 금속 규화물 필름의 성장을 포함하는 것으로 확장될 수 있다. 필름의 다른 성분을 통상적인 버블러 기법 또는 본 발명에 포함되지 않는 전구체 소스를 사용하여 침착시킬 수 있다. 기술된 본 발명의 방법은 단지 하나의 전구체를 함유하는 하나의 전구체 소스 혼합물을 사용하는 원자층 침착에 의해 침착된 단일 성분 금속, 금속 산화물, 금속 질화물 또는 금속 규화물 필름의 성장을 포함하는 것으로 확장될 수 있다. 다른 양태에서, 불활성 액체를 기화시키지 않고, 실시예 2에 기술된 바와 같이 ALD 반응기로부터 전환시킨다.
실시예 4
전구체 소스 혼합물을 사용하는 원자층 침착 반응기에서 금속 규화물 필름의 침착
이 양태에서, 기판을 ALD에 적합한 반응기, 예를 들면 마이크로케미스트리에 의해 제조된 시판되는 F-200 반응기에 놓고, 코발트 실리케이트 필름을 침착시킨다. ALD를 기화된 전구체, 반응물 및 퍼지 가스의 순차적인 교번 펄스를 갖는 사이클 형태로 수행한다. 이 실시예에서, 실란을 실릴화제로서 사용하고, 수소를 반응물로서 사용한다.
코발트 함유 전구체 소스 혼합물은 50 g의 Co2(CO)8및 1 l의 펜탄으로 이루어진다. 이 실시예에서, 전구체 소스 혼합물을 기화기에서 기화시키고, 증기를 사이클 형태로 반응기 내로 도입한다.
전구체 소스 혼합물을 40℃ 내지 260℃, 바람직하게는 40℃ 내지 180℃에서 기화시킨다. 기판 온도는 약 100℃ 내지 1200℃, 바람직하게는 200℃ 내지 800℃이다. 전구체, 반응물 및 불활성 퍼지 가스(N, Ar 또는 다른 불활성 기체)를 다음의 순서로 반응기 내로 펄스화한다:
1. Co 함유 전구체 소스 혼합물의 증기
2. 불활성 퍼지
3. 수소
4. 불활성 퍼지
5. 실란
6. 불활성 퍼지
7. 수소
8. 불활성 퍼지
전구체 및 반응물 펄스(각각 단계 1, 5 및 3, 7)는 0.1 내지 1초, 바람직하게는 0.5초이다. 불활성 가스 퍼지 펄스(단계 2, 4, 6 및 8)는 0.2 내지 5초, 바람직하게는 2초이다. 단계 1 내지 8의 완료가 1 사이클이고, 1 사이클의 완료로 약 0.4 내지 2개의 코발트 실리케이트의 단층을 대략 0.1 nm로 침착시킨다. 이 실시예에서, 침착된 코발트 실리케이트 필름의 바람직한 두께는 500 nm이고, 따라서 5000 사이클의 상기 기술된 가스 스위칭을 수행한다.
기술된 본 발명의 방법은 실란, 또는 실란, 디실란, 클로로실란, 실릴아민 및 실라잔을 포함하나 이에 제한되지 않는 다른 실릴화제의 순서로 하나 이상의 전구체 소스 혼합물 및 수소 또는 다른 환원제를 사용하는 원자층 침착에 의해 침착된 CoSi2, HfSi2, MoSi2, NbSi2, Pd2Si, PtSi, TaSi2, TiSi2, VSi2, WSi2, ZrSi2및 침의의 다성분 금속 규화물을 포함하나 이에 제한되지 않는 임의의 금속 질화물의 성장을 포함하는 것으로 확장될 수 있다. 다른 양태에서, 실릴화제를 전구체 소스 혼합물에 도입할 수 있다.
실시예 5
구리의 침착
이 실시예에서, 본 발명의 방법을 사용하여 구리를 침착시킨다. 구리 함유 전구체 소스 혼합물은 100 g의 (사이클로펜타디에닐)Cu(PEt3), 1 g의 PEt3및 1 l의 펜탄으로 이루어진다. 전구체 혼합물을 기화기로 보내서 혼합물을 60℃에서 기화시키고, 증기를 수소와 같은 반응물이 있는 화학적 증착 반응기로 보내서 구리 필름을 100℃ 내지 300℃, 바람직하게는 120℃ 내지 250℃로 가열된 기판에 침착시킨다.
실시예 6
텅스텐의 침착
이 실시예에서, 본 발명의 방법을 사용하여 텅스텐을 침착시킨다. 전구체 소스 혼합물은 1 l의 헥산 중에 100 g의 텅스텐 헥사카보닐로 이루어진다. 전구체 혼합물을 기화기로 보내서 혼합물을 60℃에서 기화시키고, 증기를 수소와 같은 반응물이 있는 화학적 증착 반응기로 보내서 텅스텐 필름을 200℃ 내지 700℃, 바람직하게는 600℃로 가열된 기판에 침착시킨다.
실시예 7
탄탈 니트라이드의 침착
이 실시예에서, 본 발명의 방법을 사용하여 탄탈 니트라이드를 침착시킨다. 탄탈 함유 전구체 소스 혼합물은 100 g의 펜타디메틸아미노탄탈, 1 g의 디메틸아민 및 1 l의 펜탄으로 이루어진다. 전구체 혼합물을 기화기로 보내서 혼합물을 60℃에서 기화시키고, 증기를 암모니아와 같은 질화제가 있는 화학적 증착 반응기로 보내서 탄탈 니트라이드 필름을 200℃ 내지 700℃, 바람직하게는 500℃로 가열된 기판에 침착시킨다.
실시예 8
인듐 니트라이드의 침착
이 실시예에서, 본 발명의 방법을 사용하여 인듐 니트라이드를 침착시킨다. 인듐 함유 전구체 소스 혼합물은 100 g의 트리메틸인듐, 1 g의 디메틸에틸아민 및 1 l의 펜탄으로 이루어진다. 전구체 혼합물을 기화기로 보내서 혼합물을 60℃에서 기화시키고, 증기를 암모니아와 같은 질화제가 있는 화학적 증착 반응기로 보내서 인듐 니트라이드
필름을 100℃ 내지 700℃, 바람직하게는 300℃로 가열된 기판에 침착시킨다.
실시예 9
지르코늄 실리케이트의 침착
이 실시예에서, 본 발명의 방법을 사용하여 지르코늄 실리케이트를 침착시킨다. 전구체 소스 혼합물은 1 l의 헥산 중에 100 g의 지르코늄 3급-부톡사이드로 이루어진다. 제 2 전구체 소스 혼합물은 1 l의 헥산 중에 100 g의 테트라에톡시실란으로 이루어진다. 전구체 소스 혼합물을 기화기로 보내서 혼합물을 80℃에서 기화시키고, 증기를 산소와 같은 산화제가 있는 화학적 증착 반응기로 보내서 지르코늄 실리케이트 필름을 200℃ 내지 700℃, 바람직하게는 500℃로 가열된 기판에 침착시킨다.
실시예 10
트랜지스터를 위한 Al2O3게이트 유전체의 제조
이 실시예에서, 본 발명의 방법을 사용하여 Al2O3을 침착시켜 도 1에 도시된 바와 같은 PFET 및 NFET의 게이트 유전층(15)을 형성한다. Si 웨이퍼 기판 함유 패턴화된 구조체를 사용한다. 기판의 선택된 영역은 트랜지스터의 위치 사이에 위치한 얕은 트렌치 격리(SIT) 산화물을 함유하고, 다른 영역은 전기장 산화물을 함유하고, 노출된 Si의 선택된 영역은 트랜지스터가 위치하는 영역에서 노출된다. 이어서, 기판을 적합한 모듈 클러스터 도구에 위치시키고, 노출된 Si의 표면을 처리하여 연속 진공 하에서 원 위치에 SiOxNy층을 형성한 후 본 발명의 CVD 방법을 사용하여 Al2O3의 층을 1 내지 100 nm 두께로 침착시킨다. 이어서, 웨이퍼를 모듈 클러스터 도구의 제 2 모듈로 보내서 게이트 전극을 연속 진공 하에서 원 위치에 Al2O3에 침착시킬 수 있다.
본 발명의 방법을 사용하는 Al2O3의 침착을 위해, 전구체 소스 혼합물은 1 l의 헥산 중에 103 g의 디메틸에틸아민 알란 및 10 g의 디메틸에틸아민으로 이루어진다. 전구체 혼합물을 기화기로 보내서 혼합물을 80℃에서 기화시키고, 증기를 산소, 오존, N2O, 물 또는 이들의 혼합물을 포함하나 이에 제한되지 않는 산화제가 있는 클러스터 도구의 화학적 증착 모듈로 보내서 Al2O3필름을 200℃에서 침착시킨다.
실시예 11
트랜지스터를 위한 ZrO2게이트 유전체의 제조
이 실시예에서, 본 발명의 방법을 사용하여 ZrO2를 침착시켜 도 1에 도시된 바와 같은 PFET 및 NFET의 게이트 유전층(15)을 형성한다. Si 웨이퍼 기판 함유 패턴화된 구조체를 사용한다. 기판의 선택된 영역은 트랜지스터의 위치 사이에 얕은 트랜지스터의 위치 사이에 위치한 트렌치 격리(SIT) 산화물을 함유하고, 다른 영역은 전기장 산화물을 함유하고, 노출된 Si의 선택된 영역은 트랜지스터가 위치하는 영역에 노출된다. 이어서, 기판을 적합한 모듈 클러스터 도구에 위치시키고, 노출된 Si의 표면을 처리하여 연속 진공 하에서 원 위치에 SiOxNy층을 형성한 후 본 발명의 CVD 방법을 사용하여 ZrO2의 층을 1 내지 100 nm 두께로 침착시킨다. 이어서, 웨이퍼를 모듈 클러스터 도구의 제 2 모듈로 보내서 게이트 전극을 연속 진공 하에서 원 위치에 ZrO2에 침착시킬 수 있다.
본 발명의 방법을 사용하는 ZrO2의 침착을 위해, 전구체 소스 혼합물은 1 l의 헥산 중에 100 g의 지르코늄 3급-부톡사이드로 이루어진다. 전구체 혼합물을 기화기로 보내서 혼합물을 80℃에서 기화시키고, 증기를 산소, 오존, N2O, 물 또는 이들의 혼합물을 포함하나 이에 제한되지 않는 산화제가 있는 클러스터 도구의 화학적 증착 모듈로 보내서 ZrO2필름을 400℃에서 침착시킨다.
실시예 12
트랜지스터를 위한 게이트 유전체의 제조
이 실시예에서, 본 발명의 방법을 사용하여 트랜지스터의 게이트 유전층을 침착시킨다. 도 4에 도시된 바와 같이, 트랜지스터 디바이스를 전도성 영역(51)에 형성하고, 게이트 유전체(53)에 형성된 게이트 전극(52), 및 게이트 전극(52)의 측방향으로 마주하는 면에 형성된 한 쌍의 n형 소스/드레인 영역(54)을 함유한다. 본 발명의 방법에 의해 침착된 게이트 유전체(53)는 도핑되거나 도핑되지 않은 혼합물, 상이한 물질의 층 또는 이들의 조합물로 구성될 수 있다. 게이트 유전체(53)의 선택적인 상부층(57)은 도펀트 확산 장벽으로서 작용할 수 있고, 게이트 전극(52)의 침착 동안 구조체를 안정화시킨다. 게이트 유전체(53)의 선택적인 하부층(55)은 전자 장벽층으로서 및 가공 동안 밑에 있는 규소의 산화를 방지하기 위한 층으로서 또는 둘 다로서 작용할 수 있다. 게이트 유전체(53)의 중간층(56)은 높은 K의 유전층이다.
적합한 하부층(55)은 SiO2, SiOxNy및 Si3N4을 포함하나 이에 제한되지 않는유전성 물질로 이루어지며, 규소 기판의 산화 또는 질화로부터 제조되거나 따로 침착된다. 다른 적합한 하부층 물질은 금속 산화물 또는 금속 규산화물을 포함한다. 높은 K의 중간 유전층(56)은 Ta2O5, TiO2, ZrO2, HfO2, Al2O3, La2O3, Y2O3, 이트륨 알룸네이트, 란탄 알룸네이트, 란탄 실리케이트, 이트륨 실리케이트, 하프늄 실리케이트, 지르코늄 실리케이트 및 이들의 도핑되거나 도핑되지 않은 혼합물, 층 또는 조합물을 포함하나 이에 제한되지 않는 유전성 물질로 이루어진다. 중간층은 또한 산화 알루미늄의 층 사이에 있는 산화 하프늄의 층 또는 산화 지르코늄과 산화 하프늄의 혼합물과 같은 비교적 균일한 혼합물을 포함하는 층과 같은 상이한 물질의 여러 층으로 이루어질 수 있다. 선택적인 상부층(57)은 중간층의 산화되거나 질화된 표면 또는 SiO2, SiOxNy, Si3N4, ZrO2, HfO2, Al2O3, 알루미노실리케이트, 이트륨 실리케이트, 지르코늄 실리케이트, 하프늄 실리케이트, 란탄 실리테이크 및 이들의 도핑되거나 도핑되지 않은 혼합물, 층 또는 조합물을 포함하나 이에 제한되지 않는 침착된 유전성 물질일 수 있다. 바람직한 게이트 유전체는 SiOxNy의 하부층, Al2O3, ZrO2또는 HfO2의 중간층 및 질화된 금속 산화물의 상부 장벽층으로 이루어진다. 게이트 유전체(53)에서 하나 이상의 성분은 전구체 소스 혼합물을 포함하는 본 발명의 방법, 전구체 소스 혼합물의 기화 및 CVD 또는 ALD 반응기에서 증기를 이용한 필름의 침착에 의해 침착된다.
도 5 내지 12는 본 발명의 방법을 사용하는 하나의 바람직한 트랜지스터 제조를 도시하는 단면도이다. 게이트 유전체(53)의 제조는 어플라이드 매터리얼즈(Applied Meterials)에 의해 제조된 클러스터 도구에서 원 위치에서 수행된다. 도 5에서, 깨끗한(원래 SiO2없음) 표면을 갖는 규소 기판(50)이 시작점이다. 도 6에서, 하부층(55)을 규소 기판(50)의 산화/질화에 의해 형성하여 SiOxNy층을 형성한다. 도 6에서, 산화 지르코늄의 중간층(56)을, 지르코늄 t-부톡사이드 및 헥산의 전구체 소스 혼합물을 사용하는 단계, 전구체 소스 혼합물을 80℃에서 기화시키는 단계 및 화학적 증착 반응기에서 산소, 오존, N2O, H2O 또는 이들의 혼합물과 같은 산화제의 존재 하에서 ZrO2필름을 SiOxNy층에 침착시키는 단계를 포함하는 본 발명의 방법에 의해 형성한다. 도 7에서, 상부층(57)을 ZrO2표면의 플라즈마 질화에 의해 형성한다. 도 8에서, 폴리실리콘을 게이트 전극(52)으로서 침착시킨다. 도 9 내지 12에서, 게이트를 형성하고, 연장 주입을 수행하고, 스페이서(58)를 형성하고, 소스/드레인 주입을 수행하여 전체적으로 형성된 디바이스를 제조한다. 접촉 형성 등의 후속 단계는 도시되지 않는다.
실시예 13
금속 게이트를 사용하는 트랜지스터의 제조
이 실시예에서, 본 발명의 방법을 사용하여 금속 게이트를 사용하는 트랜지스터를 제조한다. 도 1에 도시된 바와 같이, CMOS 집적 회로는 단일 기판(10)에 형성된 n형 전기장 효과 트랜지스터(NFET) 및 p형 전기장 효과 트랜지스터(PFET) 둘 다를 함유한다. NFET 디바이스(11)를 기판(10)의 p형 전도성 영역(13)에 형성하고, 게이트 유전체(15)에 형성된 게이트 전극(14), 및 게이트 전극(14)의 측방향으로 마주하는 면에 형성된 한 쌍의 n형 소스/드레인 영역(16)을 함유한다. 유사하게, PFET 디바이스(17)를 기판(10)의 n형 전도성 영역(18)에 형성하고, 게이트 유전체(15)에 형성된 게이트 전극(19), 및 게이트 전극(19)의 마주하는 측벽을 따라 형성된 한 쌍의 p형 전도성 소스/드레인 영역(20)을 함유한다. NFET 및 PFET 디바이스는 얕은 트렌치 격리부(21) 및 스페이서(22)에 의해 격리된다. 이 실시예에서, 게이트 전극(14 또는 19)는 적당한 일 함수를 갖는 벌크 금속 또는 합금으로 이루어진다. NFET 디바이스(11)의 게이트 전극(14)에 적합한 금속은 Al, Ag, Bi, Cd, Fe, Ga, Hf, In, Mn, Nb, Y 및 Zr 또는 이들의 합금을 포함하나 이에 제한되지 않는다. NFET에 적합한 하나 이상의 금속은 W, Mo, Cr 및 Cu와 합금시켜 게이트 전극(14)을 형성할 수 있다. PFET 디바이스(17)의 게이트 전극(19)에 적합한 금속은 Ni, Pt, Be, Ir, Te, Re 및 Rh를 포함하나 이에 제한되지 않는다. PFET에 적합한 하나 이상의 금속은 W, Mo, Cr 및 Cu와 합금시켜 게이트 전극(19)을 형성할 수 있다. 이 양태에서, 게이트 전극(14), 게이트 전극(19) 및/또는 게이트 유전체(15)를 포함하는 하나 이상의 NFET 또는 PFET 성분을 침착시킨다. 게이트 유전체(15)를 실시예 2에 기술된 바와 같이 본 발명의 방법에 의해 침착시킬 수 있다.
실시예 14
트랜지스터의 제조
이 실시예에서, 본 발명의 방법을 사용하여 금속 게이트를 사용하는 트랜지스터를 제조한다. 도 13은 NFET 및 PFET를 포함하는 일반적인 디바이스 구조를 도시한다. 이 양태에서, NFET 및 PFET를 단일 p형 전도성 기판(60)에 형성한다. NFET 디바이스(61)를 기판(60)의 p형 전도성 영역에 형성하고, 게이트 유전체(64)에 형성된 게이트 전극(62), 및 게이트 전극(62)의 측방향으로 마주하는 면에 형성된 한 쌍의 n형 소스/드레인 영역(16)을 함유한다. 유사하게, PFET 디바이스(71)를 얕은 트렌치 격리부(73)에 의해 격리된 기판(60)의 n형 웰(72)에 형성하고, 게이트 유전체(64)에 형성된 게이트 전극(74), 및 게이트 전극(74)의 마주하는 측벽을 따라 형성된 한 쌍의 p형 전도성 소스/드레인 영역(76)을 함유한다.
도 14 내지 23은 표준 CMOS 유동의 가능한 제조 순서의 하나의 예를 도시하는 부분 단면도이다. 도 14에서, 얕은 트렌치 격리부(STI)(73) 및 N-웰(72)을 갖는 규소 기판(60)을 p형 전도성 기판의 일부에 형성한다. 도 15에서, 게이트 유전체(64) 및 차폐층(예를 들면, Si3N4)을 기판에 형성한다. 게이트 유전체(64)를 실시예 12에 기술된 바와 같이 본 발명의 방법에 따라 침착시킬 수 있다. 도 16에서, NFET 게이트가 나가는 차폐층(77)에서의 개구를 형성한다. 도 17에서, NFET 게이트 전극(62)을 형성한다. NFET 게이트 전극(62)에 적합한 물질은 폴리실리콘, W, Mo, Ti, Cr, Cu, Fe, Mn, Nb, V, Re, Pt, Ag, Bi, Cd, Fe, Ga, Hf, In, Mn, Y, Zr 및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층을 포함하나 이에 제한되지 않는다. NFET 게이트 전극(62)을 본 발명의 방법에 의해 침착시킬 수 있다. 도 18에서, 화학적-기계적-폴리쉬(CMP) 단계를 수행하여 표면을 평면화시킨다. 도 19 내지 21에서, PFET 디바이스 제조을 위해 도 16 내지 18에서 단계를 반복하는 단계를 도시한다. 도 19에서, PFET 게이트가 나가는 차폐층(77)에서의 개구를 형성한다. 도 20에서, PFET 게이트 전극(74)을 형성한다. PFET를 위한 게이트 전극(74)에 적합한 물질은 폴리실리콘, Ni, W, Mo, Ti, Cr, Te, Cu, Pd, Pt, Be, Au, Ir, Te, Rh 및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층을 포함하나 이에 제한되지 않는다. PFET 게이트 전극(74)을 본 발명의 방법에 의해 침착시킬 수 있다. 도 21에서, 화학적-기계적-폴리쉬(CMP) 단계를 수행하여 표면을 평면화시킨다. 도 22에서, 연장 주입을 수행하고, 하나는 NFET를 위해 수행하고, 하나는 PFET를 위해 수행하고, 각각은 차단층을 통해 이루어진다. 도 23에서, 소스/드레인 주입을 수행하고, 스페이서(78) 형성 공정 후, 하나는 NFET를 위해 수행하고, 하나는 PFET를 위해 수행하고, 각각은 차단층을 통해 수행하여 디바이스 제조를 완성한다. 이 양태에서, 게이트 유전체 및 게이트 전극을 포함하는 하나 이상의 층 또는 층의 성분은 전구체 소스 혼합물을 포함하는 본 발명의 방법, 전구체 소스 혼합물의 기화 및 CVD 또는 ALD 반응기에서 전구체 소스 혼합물의 증기를 이용한 필름의 침착에 의해 침착된다.
실시예 15
스택 커패시터의 제조
이 실시예에서, 본 발명의 방법에 의해 침착된 하나 이상의 성분을 혼입시켜 집적 회로 커패시터를 제조한다. 도 2에 도시된 바와 같이, 전형적인 커패시터를 기판(30)에 형성하고, 플러그(31)에 의해 트랜지스터에 접속하고, 장벽(32)을 갖고, 하부 전극(33), 강유전성일 수 있거나 아닐 수 있는 유전성 물질(34) 및 상부 전극(35)으로 구성된다. 이 양태에서, 플러그(31), 장벽(32), 하부 전극(33), 유전성 물질(34) 및/또는 상부 전극(35)을 포함하는 하나 이상의 커패시터 성분을 본 발명의 방법에 의해 침착시킨다.
도 24 내지 28은 커패시터에 대해 가능한 제조 순서의 하나의 예를 도시하는 부분 단면도이다. 도 24에서, 트렌치를 갖는 기판(30)을 형성한다. 기판은 Si 함유 반도체 기판, 절연체 상의 규소 기판, Ge 기판, SiGe 기판, GaAs 기판 및 다른 기판, 유전체, 금속, 유기 기판, 유리, 금속 산화물, 가소성 중합체성 기판 및 이들의 혼합물, 조합물 및 층을 포함하나 이에 제한되지 않는다. 도 25에서, 플러그 물질(31) 및 선택적인 장벽(32)을 형성한다. 플러그 물질은 폴리실리콘, W, Mo, Ti, Cr 및 Cu를 포함하나 이에 제한되지 않고, 본 발명의 방법을 사용하여 침착시킬 수 있다. 선택적인 전도성 장벽(32)은 TaN, TaSiN, TiAlN, TiSiN, TaSiN, TaWN, TiWN, TaSiN, TaAlN, NbN, ZrN, TaTiN, TiSiN, TiAlN, IrO2, SiC, TiPt, TiNPt, TiAlN-Pt, Ru, RuO2, RuPt, RuO2, WSi, Ti, TiSi, 도핑되고 도핑되지 않은 폴리실리폰, Al, Pd, Ir, IrOx, Os, OsOx, MoSi, TiSi, ReO2또는 이들의 혼합물 또는 다중층을 포함하나 이에 제한되지 않고, 본 발명의 방법을 사용하여 침착시킬 수 있다. 도 26에서, 하부 전극(33)을 형성한다. 하부 전극은 폴리실리콘, Ni, Pd, Pt, Cu, Ag, Au, Ru, Ir, Rh, IrOx, TaN, TaSiN, Ta, SrRuO3, LaSrCoO3또는 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층을 포함하나 이에 제한되지않는 전도성 물질로 이루어진다. 도 27에서, 유전성 물질(34)을 형성한다. 유전성 물질은 SiO2, SiOxNy, Si3N4, 금속 산화물, 예를 들면 Ta2O5, TiO2, ZrO2, HfO2, Al2O3, La2O3, Y2O3, 이들의 합금, 혼합물 또는 층 또는 다성분 금속 산화물, 예를 들면 화학식 ABO3(여기서, B는 Al, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W 및 Cu로 구성된 군으로부터 선택된 금속을 함유하는 하나 이상의 산성 산화물이고, A는 약 1 내지 약 3의 양의 형식 전하를 갖는 하나 이상의 추가의 양이온이다)을 갖는 퍼보스카이트형 산화물을 포함하나 이에 제한되지 않는 임의의 절연 물질로 이루어진다. 예는 바륨 스트론튬 티타네이트, 바륨 스트론튬 지르코네이트, 바륨 스트론튬 하프네이트, 납 티타네이트, 이트륨 알룸네이트, 란탄 알룸네이트, 납 지르코늄 티타네이트, 희토류 도핑된 실리케이트를 포함하는 실리케이트, 예를 들면 하프늄 실리케이트 및 지르코늄 실리케이트를 포함하나 이에 제한되지 않는다. 도 28에서, 상분 전극(35)을 형성한다. 상부 전극은 폴리실리콘, Ni, Pd, Pt, Cu, Ag, Au, Ru, Ir, Rh, IrOx, RuOx, TaN, TaSiN, Ta, SrRuO3, LaSrCoO3또는 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층을 포함하나 이에 제한되지 않는 전도성 물질로 이루어진다. 상부 및 하부 전극은 동일하거나 상이할 수 있다. 이 양태에서, 플러그, 장벽, 하부 전극, 유전체 및/또는 상부 전극을 포함하는 하나 이상의 층은 전구체 소스 혼합물을 포함하는 본 발명의 방법, 전구체 소스 혼합물의 기화 및 CVD 또는 ALD 반응기에서 전구체 소스 혼합물의 증기를 이용한 필름의 침착에 의해 침착된다.
실시예 16
트렌치 커패시터의 제조
이 실시예에서, 본 발명에 의해 침착된 하나 이상의 성분을 혼입하여 집적 회로 트렌치 커패시터를 제조한다. 기판(30) 상에서 트렌치 커패시터의 제조를 위해 하나의 가능한 예를 도 30에서 도시한다. 커패시터 리세스를 기판(30)에 형성하고 플러그(31)를 통해 밑에 있는 회로에 접속한다. 회로를 유전성 절연층(격리 유전체)(83)으로 덮는다. 기판은 Si 함유 반도체 기판, 절연체 상의 규소 기판, Ge 기판, SiGe 기판, GaAs 기판 및 다른 기판, 유전체, 금속, 유기 기판, 유리, 금속 산화물, 가소성 중합체성 기판 및 이들의 혼합물, 조합물 및 층을 포함하나 이에 제한되지 않는다. 유전성 절연층(격리 유전체)(83)은 SiO2, SiOxNy, Si3N4, 포스포실리케이트 유리, 또는 금속 산화물, 예를 들면 Al2O3, 이들의 도핑되거나 도핑되지 않은 혼합물 또는 다중층을 포함하나 이에 제한되지 않는 임의의 절연 물질로부터 선택된다. 플러그 위에 커패시터 리세스를 선택적인 전도성 장벽층(32), 하부 전극층(33), 유전층(34) 및 상부 전극층(35) 및 선택적인 유전성 버퍼층(36)의 순서로 침착시킨다. 플러그 물질은 폴리실리콘, W, Mo, Ti, Cr 및 Cu를 포함하나 이에 제한되지 않는 통상적인 전도성 물질로 이루어지고, 본 발명의 방법을 사용하여 침착시킬 수 있다. 선택적인 전도성 장벽은 TaN, TaSiN, TiAlN, TiSiN, TaSiN, TaWN, TiWN, TaSiN, TaAlN, NbN, ZrN, TaTiN, TiSiN, TiAlN, IrO2, SiC, TiPt, TiNPt, TiAlN-Pt, Ru, RuO2, RuPt, RuO2, WSi, Ti, TiSi, 도핑되고 도핑되지 않은폴리실리폰, Al, Pd, Ir, IrOx, Os, OsOx, MoSi, TiSi, ReO2또는 이들의 혼합물 또는 다중층을 포함하나 이에 제한되지 않는 통상적인 전도성 물질로 이루어지고, 본 발명의 방법을 사용하여 침착시킬 수 있다. 하부 전극(33)은 폴리실리콘, Ni, Pd, Pt, Cu, Ag, Au, Ru, Ir, Rh, IrOx, RuOx, TaN, TaSiN, Ta, SrRuO3, LaSrCoO3또는 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층을 포함하나 이에 제한되지 않는 전도성 물질로 이루어진다. 유전성 물질(34)은 SiO2, SiOxNy, Si3N4, 금속 산화물, 예를 들면 Ta2O5, TiO2, ZrO2, HfO2, Al2O3, La2O3, Y2O3, 이들의 혼합물 또는 층 또는 다성분 금속 산화물, 예를 들면 화학식 ABO3(여기서, B는 Al, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W 및 Cu로 구성된 군으로부터 선택된 금속을 함유하는 하나 이상의 산성 산화물이고, A는 약 1 내지 약 3의 양의 형식 전하를 갖는 하나 이상의 추가의 양이온이다)을 갖는 퍼보스카이트형 산화물을 포함하나 이에 제한되지 않는 임의의 절연 물질로 이루어진다. 예는 바륨 스트론튬 티타네이트, 바륨 스트론튬 지르코네이트, 바륨 스트론튬 하프네이트, 납 티타네이트, 이트륨 알룸네이트, 란탄 알룸네이트, 납 지르코늄 티타네이트, 희토류 도핑된 실리케이트를 포함하는 실리케이트, 예를 들면 하프늄 실리케이트 및 지르코늄 실리케이트를 포함하나 이에 제한되지 않는다. 상부 전극(35)은 폴리실리콘, Ni, Pd, Pt, Cu, Ag, Au, Ru, Ir, Rh, IrOx, RuOx, TaN, TaSiN, Ta, SrRuO3, LaSrCoO3또는 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층을 포함하나 이에 제한되지 않는 전도성 물질로 이루어진다. 상부 및 하부 전극은 동일하거나 상이할 수 있다. 선택적인 유전성 장벽은 SiO2, SiOxNy, Si3N4, TiON, AlN, SiN, TiN, 금속 산화물, 예를 들면 Ta2O5, TiO2, ZrO2, HfO2, Al2O3, La2O3, Y2O3, 이들의 합금, 혼합물 또는 층, 또는 다성분 금속 산화물을 포함하나 이에 제한되지 않는 임의의 절연 물질로 이루어진다. 순차적으로 침착된 층을 평면화하여 커패시터 리세스에서 트렌치 커패시터를 수득한다. 절연 패시베이션층(37) 및 층간 유전층(38)을 침착시켜 커패시터 리세스에서 트렌치 커패시터 위에 장벽 구조체를 형성한다. 절연 패시베이션층(37)은 SiO2, SiOxNy, Si3N4, TiON, AlN, SiN, TiN, 금속 산화물, 예를 들면 Ta2O5, TiO2, ZrO2, HfO2, Al2O3, La2O3, Y2O3, 이들의 합금, 혼합물 또는 층을 포함하나 이에 제한되지 않는 임의의 절연 물질로 이루어진다. 층간 유전체(38)는 SiO2, SiOxNy, Si3N4, 포스포실리케이트 유리 또는 금속 산화물, 예를 들면 Al2O3, 이들의 도핑되거나 도핑되지 않은 혼합물 또는 다중층을 포함하나 이에 제한되지 않는 임의의 절연 물질로부터 선택된다. 비아를 장벽 구조체에서 형성한다. 확산 장벽층(81) 및 금속화층(82)을 장벽 구조체와 비아 위에 침착시킨다. 확산 장벽층(81)은 WN, TiN 또는 TaN을 포함하나 이에 제한되지 않는다. 금속화층(82)은 Al, W, Mo, Ti, Cr 또는 Cu, 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 또는 층을 포함하나 이에 제한되지 않는 임의의 전도성 물질로부터 선택된다. 이 양태에서, 전도성 장벽 물질, 하부 전극, 유전성 물질, 상부 전극, 절연 패시베이션층, 층간 유전체, 확산장벽층, 격리 유전체 및 금속화층을 포함하나 이에 제한되지 않는 하나 이상의 트렌치 커패시터 성분을 본 발명의 방법에 의해 침착시킨다.
실시예 17
배선 구조체의 제조
이 실시예에서, 본 발명에 의해 침착된 하나 이상의 성분을 혼입하여 집적 회로 배선 구조체를 제조한다. 도 3a에 도시된 바와 같이, 트렌치(41) 및 비아(42)를 SiO2, SiOxNy, Si3N4, 포스포실리케이트 유리, 또는 금속 산화물, 예를 들면 Al2O3, 이들의 도핑되거나 도핑되지 않은 혼합물 또는 다중층을 포함하나 이에 제한되지 않는 임의의 절연 물질로부터 선택된 유전층(43) 내로 에칭시켜 전형적인 배선 구조체를 형성한다. 금속화층을 물결무늬 또는 이중 물결무늬 공정 또는 석판인쇄 및 에칭에 의해 패턴화시킬 수 있다. 유전층(43) 밑에 Al, W, Mo, Ti, Cr 또는 Cu, 이들의 합금, 혼합물 또는 층을 포함하나 이에 제한되지 않는 임의의 전도성 물질로부터 선택된 금속 박막 와이어(44), 및 SiO2, SiOxNy, Si3N4, 포스포실리케이트 유리, 또는 금속 산화물, 예를 들면 Al2O3, 이들의 도핑되거나 도핑되지 않은 혼합물 또는 다중층을 포함하나 이에 제한되지 않는 임의의 절연 물질로부터 선택된 유전층(45)이 있다. 도 3b에서, 트렌치 및 비아를 WN, TiN 또는 TaN을 포함하나 이에 제한되지 않는 장벽 물질(46) 및 Al, W, Mo, Ti, Cr 또는 Cu, 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 또는 층을 포함하나 이에 제한되지 않는 임의의 전도성 물질로부터 선택된 배선 금속(47)으로 충전시킨다. 이 양태에서, 유전층, 금속 박막 와이어, 장벽 물질 및/또는 배선 금속을 포함하는 하나 이상의 배선 구조체 성분을 본 발명의 방법에 의해 침착시킨다.
본 발명은 그의 바람직한 양태에 대해 특별하게 나타내고 기술되지만, 당해 분야의 숙련자들은 형태 및 상세한 내용의 전술된 다른 변화가 본 발명의 진의 및 범주로부터 벗어나지 않으면서 이루어질 수 있는 것으로 생각한다. 따라서, 본 발명은 기술되고 예시된 정확한 형태 및 상세한 내용으로 제한되어서는 안 되고 첨부된 특허청구범위의 범주 내에 있고자 한다.
본 발명의 전구체 소스 혼합물은 여러 전자 디바이스에서 사용될 수 있는 얇은 침착층 또는 필름을 형성하는데 사용된다.

Claims (56)

  1. 불활성 액체에 용해되거나 유화되거나 현탁되는, 하기 화학식 1을 갖는 하나 이상의 전구체 화합물을 포함하는 전구체 소스 혼합물:
    화학식 1
    MR1 xR2 yAz
    상기 식에서,
    M은 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 및 Bi로 구성된 군으로부터 선택된 원소이고;
    R1및 R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 동일하거나 상이한 리간드이고;
    A는 포스핀, 포스파이트, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클 (macrocycle), 시프 염기(schiff base), 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트, 알킨 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합되거나 연결된 리간드이고;
    x는 1 이상이고;
    x+y는 M의 원자가와 동일하고;
    z는 0 이상이다.
  2. 제 1 항에 있어서,
    불활성 액체가 지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 또는 이들의 혼합물인 전구체 소스 혼합물.
  3. 제 1 항에 있어서,
    M이 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, Sc, Y, La, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, P, Sb 또는 Bi이고; R1이 하이드라이드이고; R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴, 또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드,니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물인 전구체 소스 혼합물.
  4. 제 1 항에 있어서,
    M이 Li, Na, K, Rb, Cs, Fr, Be, Mg, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, Sb 또는 Bi이고; R1이 C1-C8알킬 또는 C4-C12사이클로알킬이고; R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴, 또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물인 전구체 소스 혼합물.
  5. 제 1 항에 있어서,
    M이 Li, Na, K, Rb, Cs, Fr, Be, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si,Ge, Sn, Pb, As, Sb 또는 Bi이고; R1이 C2-C8알케닐, C4-C12사이클로알케닐 또는 C5-C18아릴이고; R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물인 전구체 소스 혼합물.
  6. 제 1 항에 있어서,
    M이 Li, Na, K, Rb, Cs, Fr, Be, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, Sb 또는 Bi이고; R1이 카보닐이고; R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기,사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물인 전구체 소스 혼합물.
  7. 제 1 항에 있어서,
    M이 Li, Na, K, Rb, Cs, Fr, Be, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, Sb 또는 Bi이고; R1이 알콕시 또는 실록시이고; R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물인 전구체 소스 혼합물.
  8. 제 1 항에 있어서,
    M이 Li, Na, K, Rb, Cs, Fr, Be, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, Sb 또는 Bi이고; R1이 아미드이고; R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물인 전구체 소스 혼합물.
  9. 제 1 항에 있어서,
    전구체 화합물이 하기 화학식 2를 갖는 전구체 소스 혼합물:
    화학식 2
    MR1 x(PR2 3)Az
    상기 식에서,
    M은 Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag 또는 Au이고;
    R1및 R2는 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체로 구성된 군으로부터 선택된 리간드이고;
    A는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 및 물로 구성된 군으로부터 선택된 임의적으로 배위 결합된 리간드이고;
    x는 1 이상이고;
    y는 0 이상이고;
    z는 0 이상이고;
    x+y는 M의 원자가와 동일하다.
  10. 제 1 항에 있어서,
    M이 Li, Na, K, Rb, Cs, Fr, Be, Mg, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Th, Pa, U, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, Sb 또는 Bi이고; R1이 니트레이트이고; R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴, 또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물인 전구체 소스 혼합물.
  11. 제 1 항에 있어서,
    M이 Li, Na, K, Rb, Cs, Fr, Be, Mg, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Th, Pa, U, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, Sb 또는 Bi이고; R1이 할라이드이고; R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴, 또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물인 전구체 소스 혼합물.
  12. 제 1 항에 있어서,
    M이 Li, Na, K, Rb, Cs, Fr, Be, Mg, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Th, Pa, U, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe,Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Hg, B, Al, Ga, In, Tl, Si, Ge, Sn, Pb, As, Sb 또는 Bi이고; R1이 실릴이고; R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴 및/또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물인 전구체 소스 혼합물.
  13. 제 1 항에 있어서,
    M이 Pt이고; R1및 R2가 하이드라이드, 알킬, 알케닐, 사이클로알케닐, 아릴, 알킨, 카보닐, 아미도, 이미도, 하이드라지도, 포스피도, 니트로실, 니트로일, 니트레이트, 니트릴, 할라이드, 아자이드, 알콕시, 실록시, 실릴, 또는 이들의 할로겐화, 설폰화 또는 실릴화 유도체이고; A가 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 알킨, 하이드라진, 피리딘, 질소 헤테로사이클, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드, 알킬리덴, 니트라이트 또는 물이나, 단 화합물이 (사이클로펜타디에닐)Pt(알킬)3은 아닌 전구체 소스 혼합물.
  14. 제 1 항에 있어서,
    불활성 액체가 하나 이상의 전구체 화합물보다 높은 온도에서 기화하는 전구체 소스 혼합물.
  15. 제 2 항에 있어서,
    불활성 액체가 C5-C12알칸인 전구체 소스 혼합물.
  16. 제 1 항에 있어서,
    불활성 액체가 첨가제를 불활성 액체의 30 부피% 이하로 함유하는 전구체 소스 혼합물.
  17. 제 16 항에 있어서,
    첨가제가 추가의 전구체 리간드 또는 추가의 전구체 부가물이거나, 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 및 알킨으로 구성된 군으로부터 선택된 다른 배위 화합물인 전구체 소스 혼합물.
  18. 제 16 항에 있어서,
    첨가제가 메탄올, 에탄올, 이소프로판올, 네오펜탄올, 트리메틸아민, 디메틸에틸아민, 디에틸메틸아민, 트리에틸아민, 디메틸아민, 디에틸아민, 비스트리메틸실릴아민, 암모니아, 에틸렌디아민, 프로필렌디아민, 트리메틸에틸에틸렌디아민, 트리페닐포스핀, 트리에틸포스핀, 트리메틸포스핀, 알릴, 사이클로펜타디엔, 벤젠, 에틸벤젠, 톨루엔, 사이클로헥사디엔, 사이클로옥타디엔, 사이클로헵타트리엔, 사이클로옥타테트라엔, 메시틸렌, 테트라하이드로푸란, 디메틸포름아미드, 디메틸설폭사이드, 부틸 아세테이트, 아세트산, 에틸헥사노산, 메탄, 에탄, 피리딘 및 PF3으로 구성된 군으로부터 선택되는 전구체 소스 혼합물.
  19. 제 1 항에 있어서,
    불활성 액체가,
    지방족 탄화수소, 방향족 탄화수소, 알콜, 에테르, 알데하이드, 케톤, 산, 페놀, 에스테르, 아민, 알킬니트릴, 할로겐화 탄화수소, 실릴화 탄화수소, 티오에테르, 아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트 및/또는 상기 화합물 중 하나 이상의 혼합물로 구성된 군으로부터 선택된 둘 이상의 성분; 및
    추가의 전구체 리간드, 추가의 전구체 부가물, 및 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 및 알킨으로 구성된 군으로부터 선택된 다른 배위 화합물로 구성된 군으로부터 선택된 첨가제로 이루어지며,
    상기 첨가제를 불활성 액체의 30 부피% 이하로 포함하는 전구체 소스 혼합물.
  20. 제 19 항에 있어서,
    첨가제가 메탄올, 에탄올, 이소프로판올, 네오펜탄올, 트리메틸아민, 디메틸에틸아민, 디에틸메틸아민, 트리에틸아민, 디메틸아민, 디에틸아민, 비스트리메틸실릴아민, 암모니아, 에틸렌디아민, 프로필렌디아민, 트리메틸에틸에틸렌디아민, 트리페닐포스핀, 트리에틸포스핀, 트리메틸포스핀, 알릴, 사이클로펜타디엔, 벤젠, 에틸벤젠, 톨루엔, 사이클로헥사디엔, 사이클로옥타디엔, 사이클로헵타트리엔, 사이클로옥타테트라엔, 메시틸렌, 테트라하이드로푸란, 디메틸포름아미드, 디메틸설폭사이드, 부틸 아세테이트, 아세트산, 에틸헥사노산, 메탄, 에탄, 피리딘 및 PF3으로 구성된 군으로부터 선택되는 전구체 소스 혼합물.
  21. 제 1 항에 있어서,
    불활성 액체가 C5-C12알칸으로 이루어지고 추가의 전구체 리간드, 추가의 전구체 부가물, 또는 포스핀, 포스파이트, 아릴, 아민, 아르신, 스티벤, 에테르, 설파이드, 니트릴, 이소니트릴, 알켄, 피리딘, 헤테로사이클, 테트라하이드로푸란, 디메틸포름아미드, 매크로사이클, 시프 염기, 사이클로알켄, 알콜, 포스핀 옥사이드 및 알킨으로 구성된 군으로부터 선택된 다른 배위 화합물로 구성된 군으로부터 선택된 첨가제를 불활성 액체의 30 부피% 이하로 포함하는 전구체 소스 혼합물.
  22. 제 21 항에 있어서,
    첨가제가 메탄올, 에탄올, 이소프로판올, 네오펜탄올, 트리메틸아민, 디메틸에틸아민, 디에틸메틸아민, 트리에틸아민, 디메틸아민, 디에틸아민, 비스트리메틸실릴아민, 암모니아, 에틸렌디아민, 프로필렌디아민, 트리메틸에틸에틸렌디아민, 트리페닐포스핀, 트리에틸포스핀, 트리메틸포스핀, 알릴, 사이클로펜타디엔, 벤젠, 에틸벤젠, 톨루엔, 사이클로헥사디엔, 사이클로옥타디엔, 사이클로헵타트리엔, 사이클로옥타테트라엔, 메시틸렌, 테트라하이드로푸란, 디메틸포름아미드, 디메틸설폭사이드, 부틸 아세테이트, 아세트산, 에틸헥사노산, 메탄, 에탄, 피리딘 또는 PF3인 전구체 소스 혼합물.
  23. 제 1 항에 있어서,
    하나 이상의 전구체 화합물이 디메틸-, 디에틸- 또는 디이소부틸-B, Al, Ga, In, As 또는 Sb 하이드라이드; Me2AlH(NEtMe2); 3급-부틸아르신; (Me3N)AlH3; (EtMe2N)AlH3; (Et3N)AlH3; CpWH2; Cp2MoH2; 트리메틸-, 트리에틸-, 트리이소부틸-, 트리-n-프로필-, 트리-이소프로필-, 트리-n-부틸-, 트리네오펜틸- 또는에틸디메틸-B, Al, Ga, In, As 또는 Sb; 테트라메틸-, 테트라에틸-, 테트라페닐- 또는 테트라-n-부틸-Si, Ti, Zr, Hf, Ge, Sn 또는 Pb; 디메틸-, 디에틸- 또는 디이소부틸-B, Al, Ga, In, As 또는 Sb, 하이드라이드, 클로라이드, 플루오라이드, 브로마이드, 요오다이드, Cp, 아미드, 디메틸아미드 또는 아자이드; 트리에틸-, 트리이소부틸-, 트리-n-프로필-, 트리-이소프로필-, 트리-n-부틸- 또는 에틸디메틸-B, Al, Ga, In, As 또는 Sb 트리메틸아민, 디에틸메틸아민, 디메틸에틸아민 또는 트리에틸아민; 디메틸- 또는 디에틸-Zn, Cd 또는 Hg; (네오펜틸)4Cr; Et3Pb(네오펜톡시); Cp2Me2Zr; (MeNC)2PtMe2; CpIr(C2H4)2; 비스Cp-Co, Mo, Fe, Mn, Ni, Ru, V, Os, Mg 또는 Cr; 비스에틸벤젠; 비스벤젠-Co, Mo 또는 Cr; 트리페닐-Bi, Sb 또는 As; 트리비닐붕소; 트리스Cp-Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, D, Ho, Er, Tm, Yb 또는 Lu; 테트라Cp-Th, Pa, U, Np, Pu 또는 Am 트리스알릴이리듐; CpCr(CO)2; Cp2ZrMe2; CpCuPEt2; CpIn; CpIr(사이클로옥타디엔); CpPd(알릴); CpGaMe2; CpGaEt2; (사이클로헥사디엔)FeCO3; (사이클로옥타테트라엔)FeCO3; 에틸페로센; CpMn(CO)3; (사이클로헵타트리엔)Mo(CO)3; TlCp; CpWH2; (메시틸렌)W(CO)3; CpRe(CO)3; CpRh(CO)2; Ir(알릴)3; Pt(알릴)2; CpIr(사이클로옥탄디온); [Ir(OMe)(사이클로옥탄디온)]2; Ru(사이클로옥탄디온)(알릴)2; Ru3(CO)12; Fe(CO)5; Co2(Co)8; Ru(CO)3(1,3-사이클로헥사디엔); Os3(CO)12; Cr(CO)6; Cp(CO)2; Mn2(CO)10; CpMn(CO)3;(사이클로헵타트리엔)Mo(CO)3; Mo(CO)6; Ni(CO)4; Re2(CO)10; CpRe(CO)3; CpRh(CO)2; Ru3(CO)12; W(CO)6; CpV(CO)4; CF3Co(CO)4; Pt(CO)2(사이클로옥탄디온); Ir(CO)2(사이클로옥탄디온); (CO)4Fe[P(OCH3)3]; (CO)4Fe[N(CH3)3]; CoNO(CO)3; 부톡시, OCH(CF3)2, OCMe2(CF3), OCMe(CF3)2, OSi(CH3)3, OC(CH3)3, OC(SiMe3)3또는 OC(CF3)3Li, Na, K, Rb, Cs, Fr, Cu, Ag, Au, Hg 또는 Tl; 테트라-메톡시, 테트라-에톡시, 테트라-이소프로폭시, 테트라-부톡시, 테트라-3급-부톡시, 테트라-이소부톡시, 테트라-OCH(CF3)2, 테트라-OCMe2(CF3), 테트라-OCMe(CF3)2, 테트라-OC(CH3)3, 테트라-OC(SiMe3)3, 테트라-OC(CF3)3또는 테트라-OSi(CH3)3Si, Ge, Sn, Pb, Ti, Zr 또는 Hf; VO(이소프로폭시)3, 트리이소프로폭시, 트리-2급-부톡시, 트리-n-부톡시, 트리-이소-부톡시, 트리-메톡시, 트리-에톡시, 트리-OCH(CF3)2, 트리-OCMe2(CF3), 트리-OCMe(CF3)2, 트리-OC(CH3)3, 트리-OC(SiMe3)3, 트리-OC(CF3)3또는 트리-OSi(CH3)3, B, Al, Ga, In, P, As 또는 Sb; Et3Pb(이소프로폭사이드); (3급-부톡시)CuPMe3; 테트라키스(디메틸아미노), 테트라키스(디에틸아미노) Ti, Zr, Hf, Si, Ge, Sn 또는 Pb; 디에틸아미노디에틸아르신; 디에틸아미노아르신 디클로라이드; 비스디메틸아미노아르신 클로라이드; Me2Zn(트리에틸아민)2; 디에틸아미노디메틸스탄; 트리스(디메틸아미노)포스핀; 트리스(디메틸아미노)안티몬; 트리스(디메틸아미노)아르신; 트리스(디메틸아미노)스티벤; 트리스-비스(트리메틸실릴)에르븀 아미드; 비스(디메틸아미노)(트리메틸에틸에틸렌디아미노)알루미늄; (CO)4Fe[N(CH3)3], Li, Na 또는 K N(SiMe3), 펜타디메틸아미노탄탈; 디에틸아미노디메틸주석; 헥사디메틸아미노디텅스텐; 트리스디메틸아미노(트리메틸에틸렌디아미노)티탄; CpCu(PEt3); CpCu(트리페닐포스핀); (3급-부톡시)CuPMe3; Pt(PF3)4; Ni(PF3)4; Cr(PF3)6; (Et3P)3Mo(CO)3; Ir(PF3)4; Ti(NO3)4; Zr(NO3)4; Hf(NO3)4; Si(CH3)3(NO3); RuNO(NO3)3; 갈륨 니트레이트; Sn(NO3)4; Co(NO3)3; VO(NO3)3; CrO2(NO3)2; TiCl4; ZnCl2, ZrCl2; HfCl4; AlCl3; SiCl4; GaCl3; SnCl4; CoCl3; 디메틸, 디에틸 또는 디이소부틸, Al, B, Ge, Si 또는 As 할라이드; N(SiMe3)2Li, Na 또는 K; B(CH2SiMe3)3; {(Me3Si)2N}3B, Al, Ga 또는 In; (Me3SiCH2)4Li, Zr 또는 Hf; 또는 {(Me3Si)2N}2Zn, Cd 또는 Hg이고, Cp는 사이클로펜타디에닐인 전구체 소스 혼합물.
  24. 제 1 항의 전구체 소스 혼합물에서 전구체 화합물을 기화시키는 단계; 기화된 전구체를 다른 공반응물(들)을 첨가하면서 화학적 증착 또는 원자층 침착 반응기 내로 도입시키는 단계; 및 기화된 전구체의 성분을 기판 상으로 침착시켜 필름을 형성하는 단계를 포함하는 화학적 증착 또는 원자층 침착 방법.
  25. 제 24 항에 있어서,
    필름이 전자 디바이스의 성분인 방법.
  26. 제 24 항에 있어서,
    공반응물(들)이 기화된 전구체로부터 따로 도입되는 방법.
  27. 제 24 항에 있어서,
    전구체 소스 혼합물에서 전구체를 기화시키는 단계, 다른 공반응물(들) 및 불활성 퍼지 가스를 따로 첨가하면서 기화된 전구체를 원자층 침착 반응기 내로 도입시키는 단계, 및 기화된 전구체(들), 퍼지 가스, 공반응물(들) 및 퍼지 가스의 교번 펄스를 순차적으로 도입시킴으로써 필름을 기판 상에 침착시키는 단계를 포함하는 방법.
  28. 제 24 항에 있어서,
    공반응물이 환원제, 산화제, 질화제 또는 실릴화제인 방법.
  29. 제 28 항에 있어서,
    환원제가 수소, 형성 가스, 실란 및 이들의 조합물로 구성된 군으로부터 선택되는 방법.
  30. 제 28 항에 있어서,
    산화제가 산소, 오존, 물, 과산화 수소, 산화 질소 및 이들의 조합물로 구성된 군으로부터 선택되는 방법.
  31. 제 28 항에 있어서,
    질화제가 암모니아, 하이드라진, 수소 아자이드, 3급-부틸아민, 이소프로필아민 및 이들의 조합물로부터 구성되는 방법.
  32. 제 28 항에 있어서,
    실릴화제가 실란, 디실란, 클로로실란, 실릴아민, 실라잔 및 이들의 조합물로 구성된 군으로부터 선택되는 방법.
  33. 제 24 항에 있어서,
    3종 이상의 다른 가스의 교번 펄스를 순차적으로 기판에 적용시키되, 상기 가스 중 하나는 전구체 소스 혼합물의 기화된 전구체를 포함하고 상기 기체 중 일부는 퍼지 가스이고 상기 기체 중 나머지는 환원제인 방법.
  34. 제 24 항에 있어서,
    3종 이상의 다른 가스의 교번 펄스를 순차적으로 기판에 적용시키되, 상기 가스 중 하나는 전구체 소스 혼합물의 기화된 전구체를 포함하고 상기 기체 중 일부는 퍼지 가스이고 상기 기체 중 나머지는 질화제인 방법.
  35. 제 24 항에 있어서,
    4종 이상의 다른 가스의 교번 펄스를 순차적으로 기판에 적용시키되, 상기 가스 중 하나는 전구체 소스 혼합물의 기화된 전구체를 포함하고 상기 기체 중 일부는 퍼지 가스이고 상기 기체 중 일부는 산화제이고 상기 기체 중 나머지는 임의의 기화된 전구체 및 제 1 항에 따른 전구체 소스 혼합물의 기화된 전구체로 구성된 군으로부터 선택되는 방법.
  36. 제 24 항에 있어서,
    4종 이상의 다른 가스의 교번 펄스를 순차적으로 기판에 적용시키되, 상기 가스 중 하나는 전구체 소스 혼합물의 기화된 전구체를 포함하고 상기 기체 중 일부는 퍼지 가스이고 상기 기체 중 일부는 질화제이고 상기 기체 중 나머지는 임의의 기화된 전구체 및 제 1 항에 따른 전구체 소스 혼합물의 기화된 전구체로 구성된 군으로부터 선택되는 방법.
  37. 제 24 항에 있어서,
    4종 이상의 다른 가스의 교번 펄스를 순차적으로 기판에 적용시키되, 상기 가스 중 하나는 전구체 소스 혼합물의 기화된 전구체를 포함하고 상기 기체 중 일부는 퍼지 가스이고 상기 기체 중 일부는 환원제이고 상기 기체 중 나머지는 임의의 기화된 전구체 및 제 1 항에 따른 전구체 소스 혼합물의 기화된 전구체로 구성된 군으로부터 선택되는 방법.
  38. 제 24 항에 있어서,
    5종 이상의 다른 가스의 교번 펄스를 순차적으로 기판에 적용시키되, 상기 가스 중 하나는 전구체 소스 혼합물의 기화된 전구체를 포함하고 상기 기체 중 일부는 퍼지 가스이고 상기 기체 중 일부는 환원제이고 상기 기체 중 나머지는 임의의 기화된 Si 함유 전구체 및 제 1 항에 따른 전구체 소스 혼합물의 기화된 Si 함유 전구체로 구성된 군으로부터 선택되는 방법.
  39. 제 24 항에 있어서,
    기판이 반도체 기판, 유전체, 금속, 유기 기판, 유리, 금속 산화물, 가소성 중합체성 기판, Si 함유 반도체 기판, 세라믹, 절연체 상의 규소(silicon-on-insulator) 기판, Ge 기판, SiGe 기판, GaAs 기판 및 이들의 다중층의 혼합물로 구성된 군으로부터 선택되는 방법.
  40. 제 25 항에 있어서,
    전자 디바이스가 트랜지스터, 커패시터, 다이오드, 저항기, 스위치, 발광 다이오드, 레이저, 배선 구조체 또는 상호접속 구조체인 방법.
  41. 하부 전극층; 유전층; 상부 전극층; 및 커패시터 위에 있고 플러그 및 선택적인 장벽을 통해 하부 회로에 접속된 선택적인 유전성 버퍼층으로 이루어진 스택 또는 트렌치 커패시터 구조체의 제조방법에 있어서,
    상기 커패시터 구조체 성분중 하나 이상을 제 24 항의 방법에 따라 침착시키는 것을 포함하는 커패시터 구조체의 제조 방법.
  42. 제 41 항에 있어서,
    선택적인 유전성 장벽이 SiO2, SiOxNy, Si3N4, TiON, AlN, SiN, TiN, Ta2O5, TiO2, ZrO2, HfO2, Al2O3, La2O3, Y2O3, 이들의 합금, 혼합물 또는 층 및 다성분 금속 산화물로 구성된 군으로부터 선택되는 방법.
  43. 제 41 항에 있어서,
    유전체가 강유전성 물질인 방법.
  44. 제 41 항에 있어서,
    플러그 물질이 폴리실리콘, W, Mo, Ti, Cr, Cu 및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
  45. 제 41 항에 있어서,
    전도성 장벽이 TaN, TaSiN, TiAlN, TiSiN, TaSiN, TaWN, TiWN, TaSiN, TaAlN, NbN,ZrN, TaTiN, TiSiN, TiAlN, IrOx, Os, OsOx, MoSi, TiSi, ReO2및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
  46. 제 41 항에 있어서,
    하부 전극이 전도성 물질, 폴리실리콘, Ni, Pd, Pt, Cu, Ag, Au, Ru, Ir, Rh, IrOx, TaN, TaSiN, Ta, SrRuO3, LaSrCoO3및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
  47. 제 41 항에 있어서,
    유전성 물질이 SiO2, SiOxNy, Si3N4, Ta2O5, TiO2, ZrO2, HfO2, Al2O3, La2O3, Y2O3, 다성분 금속 산화물, 화학식 ABO3(여기서, B는 Al, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W 및 Cu로 구성된 군으로부터 선택된 금속을 함유하는 하나 이상의 산성 산화물이고, A는 약 1 내지 약 3의 양의 형식 전하를 갖는 하나 이상의 추가의 양이온이다)을 갖는 퍼보스카이트형 산화물, 바륨 스트론튬 티타네이트, 바륨 스트론튬 지르코네이트, 바륨 스트론튬 하프네이트, 납 티타네이트, 이트륨 알룸네이트, 란탄 알룸네이트, 납 지르코늄 티타네이트, 스트론튬 비스무트 탄탈레이트, 스트론튬 비스무트 니오베이트, 비스무트 티타네이트, 란탄 실리케이트, 이트륨 실리케이트, 하프늄 실리케이트, 지르코늄 실리케이트, 희토류 도핑된 실리케이트 및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
  48. 제 41 항에 있어서,
    상부 전극이 폴리실리콘, Ni, Pd, Pt, Cu, Ag, Au, Ru, Ir, Rh, IrOx, TaN, TaSiN, Ta, SrRuO3, LaSrCoO3및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
  49. 유전층 내로 에칭된 트렌치 및 비아, 유전체와 배선 물질 사이의 선택적인 장벽 물질, 및 배선 물질로 이루어진 배선 구조체의 제조 방법에 있어서,
    상기 배선 구조체 성분 중 하나 이상을 제 24 항의 방법에 따라 침착시키는 것을 포함하는 배선 구조체의 제조 방법.
  50. 제 49 항에 있어서,
    유전층이 SiO2, SiOxNy, Si3N4, 포스포실리케이트 유리, 금속 산화물, Al2O3및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
  51. 제 49 항에 있어서,
    선택적인 장벽 물질이 WN, TiN, TaN, SiO2, SiOxNy, Si3N4, 포스포실리케이트 유리,금속 산화물, Al2O3및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
  52. 제 49 항에 있어서,
    배선 물질이 폴리실리콘, Al, W, Mo, Ti, Cr, Cu 및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
  53. 소스 영역, 드레인 영역 및 상기 소스 영역과 드레인 영역 사이의 채널 영역을 갖는 기판, 상기 채널 영역 및 상기 채널 영역의 상부에 정렬된 게이트 유전체, 및 상기 게이트 유전체 및 상기 게이트 유전체 영역의 상부에 정렬된 게이트 전극으로 이루어진 전자 디바이스의 제조 방법에 있어서,
    상기 디바이스 성분 중 하나 이상을 제 24 항의 방법에 따라 침착시키는 것을 포함하는 전자 디바이스의 제조 방법.
  54. 제 53 항에 있어서,
    게이트 유전체가 SiO2, SiOxNy, Si3N4, Ta2O5, TiO2, ZrO2, HfO2, Al2O3, La2O3, Y2O3, 다성분 금속 산화물, 화학식 ABO3(여기서, B는 Al, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W 및 Cu로 구성된 군으로부터 선택된 금속을 함유하는 하나 이상의 산성 산화물이고, A는 약 1 내지 약 3의 양의 형식 전하를 갖는 하나 이상의 추가의 양이온이다)을 갖는 퍼보스카이트형 산화물, 바륨 스트론튬 티타네이트, 바륨 스트론튬 지르코네이트, 바륨 스트론튬 하프네이트, 납 티타네이트, 이트륨 알룸네이트, 란탄 알룸네이트, 납 지르코늄 티타네이트, 스트론튬 비스무트 탄탈레이트, 스트론튬 비스무트 니오베이트, 비스무트 티타네이트, 란탄 실리케이트, 이트륨 실리케이트, 하프늄 실리케이트, 지르코늄 실리케이트, 희토류 도핑된 실리케이트 및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
  55. 제 53 항에 있어서,
    게이트 유전체가 하나 이상의 층으로 이루어진 방법.
  56. 제 53 항에 있어서,
    게이트 전극이 폴리실리콘, Al, Ag, Bi, Cd, Fe, Ga, Hf, In, Mn, Nb, Y, Zr, Pt, Be, Ir, Te, Re, Rh, W, Mo, Cr, Fe, Pd, Au, Rh, Ti, Cr, Cu 및 이들의 도핑되거나 도핑되지 않은 합금, 혼합물 및 다중층으로 구성된 군으로부터 선택되는 방법.
KR1020010014379A 2000-04-20 2001-03-20 전구체 소스 혼합물, 필름의 침착 방법 및 구조체의 제조방법 KR20010098415A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/553,997 2000-04-20
US09/553,997 US6984591B1 (en) 2000-04-20 2000-04-20 Precursor source mixtures

Publications (1)

Publication Number Publication Date
KR20010098415A true KR20010098415A (ko) 2001-11-08

Family

ID=24211638

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010014379A KR20010098415A (ko) 2000-04-20 2001-03-20 전구체 소스 혼합물, 필름의 침착 방법 및 구조체의 제조방법

Country Status (4)

Country Link
US (1) US6984591B1 (ko)
JP (1) JP2002060944A (ko)
KR (1) KR20010098415A (ko)
TW (1) TWI240011B (ko)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100472730B1 (ko) * 2002-04-26 2005-03-08 주식회사 하이닉스반도체 원자층증착법을 이용한 반도체 소자의 금속전극 형성방법
KR100513719B1 (ko) * 2002-08-12 2005-09-07 삼성전자주식회사 하프늄 산화막 형성용 전구체 및 상기 전구체를 이용한하프늄 산화막의 형성방법
KR100514780B1 (ko) * 2004-12-10 2005-09-14 (주) 디엔에프솔루션 반도체 박막 증착용 화합물 및 이를 이용한 박막 증착 방법
US7250379B2 (en) 2004-06-09 2007-07-31 Samsung Electronics Co., Ltd. Method of forming metal oxide using an atomic layer deposition process
KR100780631B1 (ko) * 2004-12-28 2007-11-29 주식회사 하이닉스반도체 티타늄산화막의 증착 방법 및 그를 이용한 캐패시터의제조 방법
US7518007B2 (en) 2004-12-27 2009-04-14 Samsung Electronics Co., Ltd. Ge precursor, GST thin layer formed using the same, phase-change memory device including the GST thin layer, and method of manufacturing the GST thin layer
KR100934550B1 (ko) * 2003-03-04 2009-12-29 삼성전자주식회사 금속필름 또는 패턴 형성용 유기금속 전구체 및 이를이용한 금속 필름 또는 패턴 형성방법
KR101367141B1 (ko) * 2007-08-03 2014-02-25 삼성전자주식회사 유기 금속 전구체, 이를 이용한 박막의 형성 방법 및 금속배선의 제조 방법
KR101464061B1 (ko) * 2010-04-27 2014-11-20 피피지 인더스트리즈 오하이오 인코포레이티드 기재 상에 니오븀-도핑된 티타니아 필름을 침착시키는 방법 및 이로써 제조된 코팅된 기재
KR20150101318A (ko) * 2014-02-26 2015-09-03 주식회사 유진테크 머티리얼즈 지르코늄 함유막 형성용 전구체 조성물 및 이를 이용한 지르코늄 함유막 형성 방법
KR20190055531A (ko) 2017-11-15 2019-05-23 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
WO2020111405A1 (ko) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20200066122A (ko) * 2018-11-30 2020-06-09 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
WO2020122506A3 (ko) * 2018-12-12 2020-07-30 에스케이트리켐 주식회사 금속막 형성용 전구체 조성물, 이를 이용한 금속막 형성 방법 및 상기 금속막을 포함하는 반도체 소자.
KR20210044176A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 박막 형성 방법
KR20210136551A (ko) * 2020-05-08 2021-11-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20220019726A (ko) * 2015-03-20 2022-02-17 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체
KR20230072875A (ko) * 2021-11-18 2023-05-25 주식회사 한솔케미칼 유기 금속 화합물을 이용하여 박막을 형성하는 방법 및 이로부터 제조된 박막
US11972941B2 (en) 2018-12-12 2024-04-30 Sk Trichem Precursor solution for thin film deposition and thin film forming method using same

Families Citing this family (501)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323581B1 (en) 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
EP1327010B1 (en) 2000-09-28 2013-12-04 President and Fellows of Harvard College Vapor deposition of silicates
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030036242A1 (en) * 2001-08-16 2003-02-20 Haining Yang Methods of forming metal-comprising materials and capacitor electrodes; and capacitor constructions
US20030098489A1 (en) * 2001-11-29 2003-05-29 International Business Machines Corporation High temperature processing compatible metal gate electrode for pFETS and methods for fabrication
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US7163901B2 (en) * 2002-03-13 2007-01-16 Varian Semiconductor Equipment Associates, Inc. Methods for forming thin film layers by simultaneous doping and sintering
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7094704B2 (en) * 2002-05-09 2006-08-22 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
JP4614639B2 (ja) * 2002-06-10 2011-01-19 アイメック Hf含有組成物の誘電率(k値)増進
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
JP4643884B2 (ja) 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
KR20040024658A (ko) * 2002-09-16 2004-03-22 주식회사 메카로닉스 원자층 증착법에 의한 루세늄 박막 제조 방법 및 그박막을 이용한 커패시터
US20060240677A1 (en) * 2002-09-20 2006-10-26 Hitachi Kokusai Electric Inc., Method for manufacturing semiconductor device and substrate processing apparatus
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
WO2004053997A1 (en) * 2002-12-09 2004-06-24 Interuniversitair Microelektronica Centrum (Imec) Method for forming a dielectric stack
KR100523658B1 (ko) * 2002-12-30 2005-10-24 동부아남반도체 주식회사 구리 확산 장벽 제조 방법
CN100411116C (zh) 2003-01-17 2008-08-13 富士通株式会社 电介质膜的形成方法
JP3909320B2 (ja) * 2003-01-27 2007-04-25 三菱マテリアル株式会社 有機金属化学気相成長法用原料の合成方法
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
KR100885910B1 (ko) * 2003-04-30 2009-02-26 삼성전자주식회사 게이트 적층물에 oha막을 구비하는 비 휘발성 반도체메모리 장치 및 그 제조방법
US7164182B2 (en) * 2003-07-07 2007-01-16 Micron Technology, Inc. Pixel with strained silicon layer for improving carrier mobility and blue response in imagers
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates
KR100519800B1 (ko) 2004-01-13 2005-10-10 삼성전자주식회사 란타늄 산화막의 제조방법 및 이를 이용한 모스 전계효과트랜지스터 및 캐패시터의 제조방법
US7695763B2 (en) * 2004-01-28 2010-04-13 Tokyo Electron Limited Method for cleaning process chamber of substrate processing apparatus, substrate processing apparatus, and method for processing substrate
US7285308B2 (en) * 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP4542807B2 (ja) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
CN100370585C (zh) * 2004-04-12 2008-02-20 株式会社爱发科 隔离膜的形成方法及电极膜的形成方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP2005340405A (ja) * 2004-05-26 2005-12-08 Asahi Denka Kogyo Kk 化学気相成長用原料及び薄膜の製造方法
KR100591157B1 (ko) * 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) * 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7601649B2 (en) * 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
KR101066303B1 (ko) * 2004-09-09 2011-09-20 엘지디스플레이 주식회사 박막 트랜지스터 어레이 기판 및 그 제조 방법
US7507629B2 (en) * 2004-09-10 2009-03-24 Gerald Lucovsky Semiconductor devices having an interfacial dielectric layer and related methods
JP4591917B2 (ja) * 2004-09-30 2010-12-01 株式会社トリケミカル研究所 導電性モリブデンナイトライド膜形成方法
JP4592373B2 (ja) * 2004-09-30 2010-12-01 株式会社トリケミカル研究所 導電性モリブデンナイトライドゲート電極膜の形成方法
JP2006097099A (ja) * 2004-09-30 2006-04-13 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
DE102004061094A1 (de) * 2004-12-18 2006-06-22 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060172067A1 (en) * 2005-01-28 2006-08-03 Energy Conversion Devices, Inc Chemical vapor deposition of chalcogenide materials
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7439179B2 (en) * 2005-06-22 2008-10-21 Intel Corporation Healing detrimental bonds in deposited materials
JP4679270B2 (ja) * 2005-06-30 2011-04-27 株式会社東芝 半導体装置およびその製造方法
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
DE102005033579A1 (de) * 2005-07-19 2007-01-25 H.C. Starck Gmbh Verfahren zur Herstellung dünner Hafnium- oder Zirkonnitrid-Schichten
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
JP4548262B2 (ja) * 2005-07-29 2010-09-22 Tdk株式会社 下部電極構造
JP2007048926A (ja) * 2005-08-10 2007-02-22 Tokyo Electron Ltd W系膜の成膜方法、ゲート電極の形成方法、半導体装置の製造方法およびコンピュータ読取可能な記憶媒体
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
KR100696858B1 (ko) * 2005-09-21 2007-03-20 삼성전자주식회사 유기 알루미늄 전구체 및 이를 이용한 금속배선 형성방법
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
KR100670747B1 (ko) * 2005-11-28 2007-01-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조 방법
US7592251B2 (en) * 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
EP1803789A1 (de) * 2005-12-28 2007-07-04 Novaled AG Verwendung von Metallkomplexen als Emitter in einem elektronischen Bauelement und elektronisches Bauelement
US7972974B2 (en) * 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
KR20160027244A (ko) 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
JP5356213B2 (ja) * 2006-03-30 2013-12-04 ノヴァレッド・アクチエンゲゼルシャフト ボラ−テトラアザペンタレンの使用
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
SG171683A1 (en) * 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
EP1860709B1 (de) * 2006-05-24 2012-08-08 Novaled AG Verwendung von quadratisch planaren Übergangsmetallkomplexen als Dotand
CN101460657A (zh) * 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
DE102006027932A1 (de) * 2006-06-14 2007-12-20 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
US20090208637A1 (en) * 2006-06-15 2009-08-20 Advanced Technology Materials, Inc. Cobalt precursors useful for forming cobalt-containing films on substrates
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
DE102006030860A1 (de) 2006-07-04 2008-01-10 Universität Regensburg Oligomere von Isonitril-Metallkomplexen als Triplett-Emitter für OLED-Anwendungen
KR100757415B1 (ko) * 2006-07-13 2007-09-10 삼성전자주식회사 게르마늄 화합물 및 그 제조 방법, 상기 게르마늄 화합물을이용한 상변화 메모리 장치 및 그 형성 방법
KR100780865B1 (ko) * 2006-07-19 2007-11-30 삼성전자주식회사 상변화막을 포함하는 반도체 소자의 형성 방법
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
DE102006035018B4 (de) * 2006-07-28 2009-07-23 Novaled Ag Oxazol-Triplett-Emitter für OLED-Anwendungen
US7727908B2 (en) * 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
KR100829608B1 (ko) * 2006-08-30 2008-05-14 삼성전자주식회사 박막 제조 방법 및 이를 이용한 게이트 구조물 및커패시터의 제조 방법
US7776765B2 (en) * 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7605030B2 (en) * 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7544604B2 (en) * 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
KR20120118060A (ko) 2006-11-02 2012-10-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
KR100852234B1 (ko) * 2006-11-17 2008-08-13 삼성전자주식회사 금속 산화막의 형성 방법, 이를 이용한 게이트 구조물의제조 방법 및 커패시터의 제조 방법
US8524931B2 (en) * 2007-01-17 2013-09-03 Advanced Technology Materials, Inc. Precursor compositions for ALD/CVD of group II ruthenate thin films
US20080173239A1 (en) * 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US7901508B2 (en) * 2007-01-24 2011-03-08 Widetronix, Inc. Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US20080214015A1 (en) * 2007-03-02 2008-09-04 Tim Boescke Semiconductor devices and methods of manufacture thereof
US20080254218A1 (en) 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
FR2915315B1 (fr) * 2007-04-19 2009-06-26 St Microelectronics Crolles 2 Procede de fabrication d'un condensateur a stabilite elevee et condensateur correspondant.
JP2011511881A (ja) 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
US8142847B2 (en) * 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
JP2008010881A (ja) * 2007-07-13 2008-01-17 Fujitsu Ltd 半導体装置の製造方法
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US8455049B2 (en) * 2007-08-08 2013-06-04 Advanced Technology Materials, Inc. Strontium precursor for use in chemical vapor deposition, atomic layer deposition and rapid vapor deposition
WO2009039187A1 (en) * 2007-09-17 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tellurium precursors for gst film deposition
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US20100279011A1 (en) * 2007-10-31 2010-11-04 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
JP5650880B2 (ja) * 2007-10-31 2015-01-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 非晶質Ge/Te蒸着方法
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US20090162973A1 (en) * 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
JP5208537B2 (ja) * 2008-02-19 2013-06-12 株式会社東芝 不揮発性記憶素子
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US20090214858A1 (en) * 2008-02-25 2009-08-27 Pilkington North America, Inc. Magnesium oxide coated glass article and a method for depositing magnesium oxide coatings on flat glass
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
KR20090095270A (ko) * 2008-03-05 2009-09-09 삼성전자주식회사 오믹 콘택막의 형성방법 및 이를 이용한 반도체 장치의금속배선 형성방법
JP5608317B2 (ja) * 2008-03-07 2014-10-15 ピーエスフォー ルクスコ エスエイアールエル キャパシタ用電極及びその製造方法、半導体装置
JP5274065B2 (ja) * 2008-03-19 2013-08-28 株式会社日本セラテック 酸化物膜形成方法
DE102008015270A1 (de) * 2008-03-20 2009-10-15 Qimonda Ag Herstellungsverfahren einer leitfähigen Schicht für eine integrierte Schaltung
US8043976B2 (en) * 2008-03-24 2011-10-25 Air Products And Chemicals, Inc. Adhesion to copper and copper electromigration resistance
JP4731580B2 (ja) 2008-03-27 2011-07-27 東京エレクトロン株式会社 成膜方法および成膜装置
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20090275198A1 (en) * 2008-05-01 2009-11-05 Smuruthi Kamepalli Vapor Phase Methods for Forming Electrodes in Phase Change Memory Devices
US8802194B2 (en) 2008-05-29 2014-08-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
CN102046838A (zh) * 2008-05-29 2011-05-04 乔治洛德方法研究和开发液化空气有限公司 用于膜沉积的碲前体
US8636845B2 (en) * 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US7927986B2 (en) * 2008-07-22 2011-04-19 Varian Semiconductor Equipment Associates, Inc. Ion implantation with heavy halogenide compounds
US8236381B2 (en) * 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
US20100048018A1 (en) * 2008-08-25 2010-02-25 Varian Semiconductor Equipment Associates, Inc. Doped Layers for Reducing Electromigration
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8119037B2 (en) * 2008-10-16 2012-02-21 Novaled Ag Square planar transition metal complexes and organic semiconductive materials using them as well as electronic or optoelectric components
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
JP5604788B2 (ja) * 2009-01-28 2014-10-15 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8663735B2 (en) * 2009-02-13 2014-03-04 Advanced Technology Materials, Inc. In situ generation of RuO4 for ALD of Ru and Ru related materials
US8574675B2 (en) * 2009-03-17 2013-11-05 Advanced Technology Materials, Inc. Method and composition for depositing ruthenium with assistive metal species
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
KR20120106888A (ko) 2009-05-22 2012-09-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 저온 gst 방법
JP2012533680A (ja) 2009-07-14 2012-12-27 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高温でのiv族金属含有膜の堆積
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011027321A1 (en) 2009-09-02 2011-03-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Dihalide germanium(ii) precursors for germanium-containing film depositions
US8507704B2 (en) * 2009-09-08 2013-08-13 Air Products And Chemicals, Inc. Liquid composition containing aminoether for deposition of metal-containing films
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
KR20120123126A (ko) 2010-02-03 2012-11-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 박막 증착용 칼코게나이드-함유 전구체, 그의 제조 방법 및 사용 방법
US8193027B2 (en) * 2010-02-23 2012-06-05 Air Products And Chemicals, Inc. Method of making a multicomponent film
JP5778132B2 (ja) 2010-03-16 2015-09-16 東京エレクトロン株式会社 成膜装置
US20130052368A1 (en) * 2010-03-19 2013-02-28 Sigma-Aldrich Co. Llc Methods for preparing thin films by atomic layer deposition using hydrazines
WO2011119175A1 (en) 2010-03-26 2011-09-29 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
JP5696530B2 (ja) * 2010-05-01 2015-04-08 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
WO2011146913A2 (en) 2010-05-21 2011-11-24 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
US9373677B2 (en) 2010-07-07 2016-06-21 Entegris, Inc. Doping of ZrO2 for DRAM applications
US8420534B2 (en) * 2010-10-12 2013-04-16 Micron Technology, Inc. Atomic layer deposition of crystalline PrCaMnO (PCMO) and related methods
US8632853B2 (en) * 2010-10-29 2014-01-21 Applied Materials, Inc. Use of nitrogen-containing ligands in atomic layer deposition methods
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9721754B2 (en) * 2011-04-26 2017-08-01 Carl Zeiss Smt Gmbh Method and apparatus for processing a substrate with a focused particle beam
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9005705B2 (en) * 2011-09-14 2015-04-14 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method for the production of a substrate having a coating comprising copper, and coated substrate and device prepared by this method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104126220B (zh) 2011-12-20 2017-06-20 英特尔公司 保形低温密闭性电介质扩散屏障
US20130183814A1 (en) * 2012-01-13 2013-07-18 Applied Materials, Inc. Method of depositing a silicon germanium tin layer on a substrate
TWI563539B (en) * 2012-01-18 2016-12-21 Sino American Silicon Prod Inc Composite substrate, manufacturing method thereof and light emitting device having the same
US8846543B2 (en) * 2012-05-24 2014-09-30 Jinhong Tong Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
JP5825683B2 (ja) * 2012-07-20 2015-12-02 日本電信電話株式会社 半導体装置の製造方法
WO2014047544A1 (en) * 2012-09-21 2014-03-27 Wayne State University Deposition of metal films based upon complementary reactions
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
SG11201501107XA (en) 2012-11-19 2015-07-30 Adeka Corp Method for producing thin film containing molybdenum, thin film-forming starting material, and molybdenum imide compound
JP6087609B2 (ja) 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
US10309010B2 (en) * 2013-01-31 2019-06-04 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
TWI615497B (zh) * 2013-02-28 2018-02-21 應用材料股份有限公司 金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化
US20160122867A1 (en) * 2013-05-24 2016-05-05 Up Chemical Co., Ltd. Deposition method for tungsten-containing film using tungsten compound, and precursor composition for depositing tungsten-containing film, comprising tungsten compound
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9090964B2 (en) * 2013-12-19 2015-07-28 Intel Corporation Additives to improve the performance of a precursor source for cobalt deposition
JP6300533B2 (ja) * 2014-01-15 2018-03-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6324800B2 (ja) 2014-05-07 2018-05-16 東京エレクトロン株式会社 成膜方法および成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US9663547B2 (en) 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102147190B1 (ko) 2015-03-20 2020-08-25 에스케이하이닉스 주식회사 막형성조성물 및 그를 이용한 박막 제조 방법
JP5952461B1 (ja) 2015-05-12 2016-07-13 田中貴金属工業株式会社 異種複核錯体からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法
JP6043835B1 (ja) 2015-05-12 2016-12-14 田中貴金属工業株式会社 異種複核錯体からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6565448B2 (ja) * 2015-08-03 2019-08-28 宇部興産株式会社 酸化アルミニウム膜の製造方法及び酸化アルミニウム膜の製造原料
US9607842B1 (en) * 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20170058820A (ko) * 2015-11-19 2017-05-29 주식회사 유진테크 머티리얼즈 유기 4족 화합물을 포함하는 전구체 조성물 및 이를 이용한 박막 형성 방법
EP3173507A1 (de) * 2015-11-25 2017-05-31 Umicore AG & Co. KG Verfahren zur metallorganischen gasphasenabscheidung unter verwendung von lösungen von indiumalkylverbindungen in kohlenwasserstoffen
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10011903B2 (en) * 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition
US9719167B2 (en) * 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10793947B2 (en) * 2016-08-14 2020-10-06 Entegris, Inc. Alloys of Co to reduce stress
US9847293B1 (en) * 2016-08-18 2017-12-19 Qualcomm Incorporated Utilization of backside silicidation to form dual side contacted capacitor
TWI700799B (zh) 2016-10-04 2020-08-01 聯華電子股份有限公司 導電結構、包含導電結構之佈局結構以及導電結構之製作方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10337101B2 (en) 2016-12-13 2019-07-02 The Boeing Company System and process for chemical vapor deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018225668A1 (ja) * 2017-06-09 2018-12-13 株式会社高純度化学研究所 化学蒸着用原料、ならびに、化学蒸着用原料入り遮光容器およびその製造方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
TWI722301B (zh) * 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP6907876B2 (ja) * 2017-10-19 2021-07-21 株式会社村田製作所 成膜方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11584986B1 (en) * 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI821363B (zh) 2018-08-31 2023-11-11 美商應用材料股份有限公司 前驅物遞送系統
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102355507B1 (ko) * 2018-11-14 2022-01-27 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN110128668A (zh) * 2019-05-10 2019-08-16 太原理工大学 一种基于固载溶剂结合溶剂热和蒸汽相合成MOFs的方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11462398B2 (en) * 2019-07-17 2022-10-04 International Business Machines Corporation Ligand selection for ternary oxide thin films
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US11145740B2 (en) * 2019-07-23 2021-10-12 National Tsing Hua University Ferroelectric field effect transistor device
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210399104A1 (en) * 2020-06-17 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Triple Layer High-K Gate Dielectric Stack for Workfunction Engineering
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023542919A (ja) * 2020-09-25 2023-10-12 ラム リサーチ コーポレーション 堅牢なアッシング可能ハードマスク
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112974798B (zh) * 2021-02-05 2021-11-16 哈尔滨工业大学 一种铍粉无尘化处理的方法
KR102643460B1 (ko) * 2021-03-31 2024-03-05 오션브릿지 주식회사 박막 성장 억제용 화합물 및 이를 이용한 박막 형성방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202323265A (zh) * 2021-11-30 2023-06-16 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 沈積貴金屬島或薄膜,以將其用於具有改進催化活性的電化學催化劑
WO2023171489A1 (ja) * 2022-03-07 2023-09-14 株式会社Adeka 原子層堆積法用薄膜形成用原料、薄膜及び薄膜の製造方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
US5204314A (en) * 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
JPH0517142A (ja) 1990-09-07 1993-01-26 Nippon Telegr & Teleph Corp <Ntt> バリウム化合物薄膜の製造方法
JP3083868B2 (ja) 1991-05-01 2000-09-04 ティーディーケイ株式会社 ビスアセチルアセトナト亜鉛の製造方法
US5231061A (en) * 1991-06-10 1993-07-27 The Dow Chemical Company Process for making coated ceramic reinforcement whiskers
JPH05136063A (ja) 1991-09-20 1993-06-01 Nippon Telegr & Teleph Corp <Ntt> バリウム化合物およびそれを用いた薄膜の製造法
US5382817A (en) * 1992-02-20 1995-01-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a ferroelectric capacitor with a planarized lower electrode
JP3095727B2 (ja) 1992-09-22 2000-10-10 三菱電機株式会社 チタン酸化物系誘電体薄膜用cvd原料およびメモリー用キャパシタ
US5393564A (en) * 1993-05-14 1995-02-28 Micron Semiconductor, Inc. High efficiency method for performing a chemical vapor deposition utilizing a nonvolatile precursor
JP3230389B2 (ja) 1993-09-20 2001-11-19 三菱マテリアル株式会社 銅薄膜形成用有機銅化合物とそれを用いた銅薄膜選択成長法
JPH07130654A (ja) 1993-11-05 1995-05-19 Kawasaki Steel Corp 有機金属化合物混合液及びそれを用いた薄膜の形成方法
US6780718B2 (en) * 1993-11-30 2004-08-24 Stmicroelectronics, Inc. Transistor structure and method for making same
KR0144956B1 (ko) * 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
JP3461913B2 (ja) * 1994-06-20 2003-10-27 株式会社ブリヂストン 防振装置
GB9500330D0 (en) * 1995-01-09 1995-03-01 Pilkington Plc Coatings on glass
US6214105B1 (en) * 1995-03-31 2001-04-10 Advanced Technology Materials, Inc. Alkane and polyamine solvent compositions for liquid delivery chemical vapor deposition
US5783716A (en) * 1996-06-28 1998-07-21 Advanced Technology Materials, Inc. Platinum source compositions for chemical vapor deposition of platinum
JP2822946B2 (ja) 1995-07-31 1998-11-11 三菱マテリアル株式会社 高純度Ti錯体及びその製造方法並びにBST膜形成用液体組成物
US5650361A (en) * 1995-11-21 1997-07-22 The Aerospace Corporation Low temperature photolytic deposition of aluminum nitride thin films
JPH10102253A (ja) 1996-09-27 1998-04-21 Kojundo Chem Lab Co Ltd フッ素含有シリコン酸化膜の製造方法
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6067244A (en) * 1997-10-14 2000-05-23 Yale University Ferroelectric dynamic random access memory
JPH11255784A (ja) 1998-01-09 1999-09-21 Tokyo Ohka Kogyo Co Ltd チタン錯体およびその合成方法
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
JP3680542B2 (ja) 1998-02-09 2005-08-10 三菱マテリアル株式会社 Mocvdに適した有機チタン化合物
JP3334605B2 (ja) 1998-05-07 2002-10-15 三菱電機株式会社 電極形成用cvd原料、およびそれを用いて形成されたキャパシタ用電極、配線膜
JP4069224B2 (ja) 1998-05-29 2008-04-02 株式会社高純度化学研究所 化学気相成長用ビスマスターシャリアルコキシド原料 溶液及びそれを用いたビスマス層状酸化物薄膜の製造 方法
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
JP2000044240A (ja) 1998-07-30 2000-02-15 Asahi Denka Kogyo Kk チタン酸ビスマス強誘電体膜
JP4225607B2 (ja) 1998-08-06 2009-02-18 株式会社Adeka ビスマス含有複合金属酸化膜の製造方法
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6214729B1 (en) * 1998-09-01 2001-04-10 Micron Technology, Inc. Metal complexes with chelating C-, N-donor ligands for forming metal-containing films
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100472730B1 (ko) * 2002-04-26 2005-03-08 주식회사 하이닉스반도체 원자층증착법을 이용한 반도체 소자의 금속전극 형성방법
KR100513719B1 (ko) * 2002-08-12 2005-09-07 삼성전자주식회사 하프늄 산화막 형성용 전구체 및 상기 전구체를 이용한하프늄 산화막의 형성방법
US7399716B2 (en) 2002-08-12 2008-07-15 Samsung Electronics Co., Ltd. Precursor for hafnium oxide layer and method for forming hafnium oxide film using the precursor
KR100934550B1 (ko) * 2003-03-04 2009-12-29 삼성전자주식회사 금속필름 또는 패턴 형성용 유기금속 전구체 및 이를이용한 금속 필름 또는 패턴 형성방법
US7250379B2 (en) 2004-06-09 2007-07-31 Samsung Electronics Co., Ltd. Method of forming metal oxide using an atomic layer deposition process
KR100514780B1 (ko) * 2004-12-10 2005-09-14 (주) 디엔에프솔루션 반도체 박막 증착용 화합물 및 이를 이용한 박막 증착 방법
US7518007B2 (en) 2004-12-27 2009-04-14 Samsung Electronics Co., Ltd. Ge precursor, GST thin layer formed using the same, phase-change memory device including the GST thin layer, and method of manufacturing the GST thin layer
KR100780631B1 (ko) * 2004-12-28 2007-11-29 주식회사 하이닉스반도체 티타늄산화막의 증착 방법 및 그를 이용한 캐패시터의제조 방법
KR101367141B1 (ko) * 2007-08-03 2014-02-25 삼성전자주식회사 유기 금속 전구체, 이를 이용한 박막의 형성 방법 및 금속배선의 제조 방법
KR101464061B1 (ko) * 2010-04-27 2014-11-20 피피지 인더스트리즈 오하이오 인코포레이티드 기재 상에 니오븀-도핑된 티타니아 필름을 침착시키는 방법 및 이로써 제조된 코팅된 기재
KR20150101318A (ko) * 2014-02-26 2015-09-03 주식회사 유진테크 머티리얼즈 지르코늄 함유막 형성용 전구체 조성물 및 이를 이용한 지르코늄 함유막 형성 방법
KR20220019726A (ko) * 2015-03-20 2022-02-17 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체
KR20190055531A (ko) 2017-11-15 2019-05-23 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
WO2020111405A1 (ko) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20200066122A (ko) * 2018-11-30 2020-06-09 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11267828B2 (en) 2018-11-30 2022-03-08 Hansol Chemical Co., Ltd. Silicon precursor and method of manufacturing silicon-containing thin film using the same
WO2020122506A3 (ko) * 2018-12-12 2020-07-30 에스케이트리켐 주식회사 금속막 형성용 전구체 조성물, 이를 이용한 금속막 형성 방법 및 상기 금속막을 포함하는 반도체 소자.
US11972941B2 (en) 2018-12-12 2024-04-30 Sk Trichem Precursor solution for thin film deposition and thin film forming method using same
KR20210044176A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 박막 형성 방법
KR20210136551A (ko) * 2020-05-08 2021-11-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20230072875A (ko) * 2021-11-18 2023-05-25 주식회사 한솔케미칼 유기 금속 화합물을 이용하여 박막을 형성하는 방법 및 이로부터 제조된 박막

Also Published As

Publication number Publication date
TWI240011B (en) 2005-09-21
US6984591B1 (en) 2006-01-10
JP2002060944A (ja) 2002-02-28

Similar Documents

Publication Publication Date Title
US6984591B1 (en) Precursor source mixtures
US10811249B2 (en) Atomic layer deposition of GeO2
US6203613B1 (en) Atomic layer deposition with nitrate containing precursors
US10651031B2 (en) Tantalum compound
US9583348B2 (en) Silane and borane treatments for titanium carbide films
KR102197048B1 (ko) 이중 선택적 퇴적
KR100862263B1 (ko) 금속-규소 질화물, 산화물 또는 산질화물의ALD/CVD용 Ti, Ta, Hf, Zr 및 관련 금속규소 아미드
EP2174942B1 (en) Niobium and vanadium organometallic precursors for thin film deposition
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
US20090163012A1 (en) Method of forming high-dielectric constant films for semiconductor devices
US11227763B2 (en) Methods for depositing a hafnium lanthanum oxide film on a substrate by a cyclical deposition process in a reaction chamber
EP2499274B1 (en) Deposition methods using hafnium-containing compounds
US20210343524A1 (en) Method of forming oxide film including two non-oxygen elements, method of manufacturing semiconductor device, method of forming dielectric film, and semiconductor device
KR102635125B1 (ko) 증착 억제제 및 이를 이용한 유전막 형성 방법
KR20240008929A (ko) 안정한 비스(알킬-아렌) 전이 금속 착물 및 이를 사용한 필름 증착 방법
US20210032275A1 (en) Cyclic germanium silylamido precursors for ge-containing film depositions and methods of using the same
KR102627457B1 (ko) 나이오븀 화합물과 이를 이용하는 박막 형성 방법
KR20230120970A (ko) 박막 차폐 물질, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application