KR100875230B1 - 하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법 - Google Patents

하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법 Download PDF

Info

Publication number
KR100875230B1
KR100875230B1 KR1020027014570A KR20027014570A KR100875230B1 KR 100875230 B1 KR100875230 B1 KR 100875230B1 KR 1020027014570 A KR1020027014570 A KR 1020027014570A KR 20027014570 A KR20027014570 A KR 20027014570A KR 100875230 B1 KR100875230 B1 KR 100875230B1
Authority
KR
South Korea
Prior art keywords
sample
inspection
charged particle
image
inspection object
Prior art date
Application number
KR1020027014570A
Other languages
English (en)
Other versions
KR20030015231A (ko
Inventor
나카스지마모루
노지노부하루
사타케도루
하타케야마마사히로
김바도시후미
소부카와히로시
요시가와쇼지
무라카미다케시
와타나베겐지
가리마타츠토무
오와다신
사이토무츠미
야마자키유이치로
나가이다카미츠
나가하마이치로타
Original Assignee
가부시키가이샤 에바라 세이사꾸쇼
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 에바라 세이사꾸쇼, 가부시끼가이샤 도시바 filed Critical 가부시키가이샤 에바라 세이사꾸쇼
Publication of KR20030015231A publication Critical patent/KR20030015231A/ko
Application granted granted Critical
Publication of KR100875230B1 publication Critical patent/KR100875230B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J29/00Details of cathode-ray tubes or of electron-beam tubes of the types covered by group H01J31/00
    • H01J29/46Arrangements of electrodes and associated parts for generating or controlling the ray or beam, e.g. electron-optical arrangement
    • H01J29/70Arrangements for deflecting ray or beam
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/227Measuring photoelectric effect, e.g. photoelectron emission microscopy [PEEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • H01J37/073Electron guns using field emission, photo emission, or secondary emission electron sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • H01J37/185Means for transferring objects between different enclosures of different pressure or atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/266Measurement of magnetic- or electric fields in the object; Lorentzmicroscopy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20228Mechanical X-Y scanning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/204Means for introducing and/or outputting objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2803Scanning microscopes characterised by the imaging method
    • H01J2237/2806Secondary charged particle
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography
    • H01J2237/2816Length
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/10Lenses
    • H01J37/14Lenses magnetic
    • H01J37/141Electromagnetic lenses

Abstract

전자선 검사장치는 전자원으로부터의 1차 전자선을 검사대상으로 조사하고, 상기 1차 전자선의 조사에 의하여 방출된 2차 전자의 상을 투영하는 전자 광학계 및 전자 광학계에 의하여 투영된 2차 전자상을 검출하는 검출기를 가지는 전자 광학장치(70)와, 검사대상을 유지하여 전자 광학계에 관하여 상대적으로 이동시키는 스테이지장치(50)와, 청정기체를 검사대상에 흘려 상기 검사대상에 대한 먼지의 부착을 저지하는 미니 엔바이로먼트장치(20)와, 스테이지장치를 수용하고 있고, 또한 진공분위기로 제어 가능하게 되어 있는 워킹챔버(31)와, 미니 엔바이로먼트장치와 상기 워킹챔버와의 사이에 배치되어 있어 각각 독립으로 진공분위기로 제어 가능하게 되어 있는 적어도 2개의 로딩챔버(41, 42)와, 로딩챔버 내를 통하여 스테이지장치에 검사대상을 공급하는 로더(60)를 구비하고 있다.

Description

하전입자선에 의한 검사장치 및 그 검사장치를 사용한 장치제조방법{CHARGED PARTICLE BEAM INSPECTION APPARATUS AND METHOD FOR FABRICATING DEVICE USING THAT INSPECTION APPARATUS}
본 발명은 전자빔을 사용하여 검사대상의 표면에 형성된 패턴의 결함 등을 검사하는 검사장치에 관한 것으로, 상세하게는 반도체제조공정에 있어서의 웨이퍼의 결함을 검출하는 경우와 같이, 전자빔을 검사대상으로 조사하여 그 표면의 성상에 따라 변화하는 2차 전자를 포착하여 화상 데이터를 형성하고, 그 화상 데이터에 의거하여 검사대상의 표면에 형성된 패턴 등을 높은 스루풋으로 검사하는 검사장치, 및 그와 같은 검사장치를 사용하여 수율 좋게 장치를 제조하는 장치제조방법에 관한것이다. 더욱 구체적으로는 면빔을 사용한 사상(寫像) 투영방식에 의한 검출장치 및 그 장치를 사용한 장치제조방법에 관한 것이다.
또 반도체공정에 있어서, 디자인규칙은 1OOnm의 시대를 맞이하려고 하고 있고, 또 생산형태는 DRAM으로 대표되는 소품종 대량생산으로부터 SOC(Si1icon on chip)과 같이 다품종 소량생산으로 이행하고 있다. 그에 따라 제조공정수가 증가하여 각 공정마다의 수율 향상은 필수가 되어 공정에 기인한 결함검사가 중요하게 된다. 본 발명은 반도체공정에 있어서의 각 공정후의 웨이퍼의 검사에 사용되는 장치에 관하여 전자빔을 사용한 검사방법 및 장치 또는 그것을 사용한 장치제조방 법에 관한 것이다.
반도체장치의 고집적화, 패턴의 미세화에 따른 높은 분해능, 높은 스루풋의 검사장치가 요구되고 있다. 1OOnm 디자인규칙의 웨이퍼 기판의 결함을 조사하기 위해서는 1OOnm 이하의 분해능이 필요하여 장치의 고집적화에 의한 제조공정의 증가에 의하여 검사량이 증대하기 때문에, 높은 스루풋이 요구되고 있다. 또 장치의 다층화가 진행됨에 따라 층간의 배선을 연결하는 비어의 콘택트불량(전기적 결함)을 검출하는 기능도 검사장치에 요구되고 있다. 현재는 주로 광방식의 결함 검사장치가 사용되고 있으나, 분해능 및 콘택트 불량검사의 점에서는 광방식의 결함 검사장치를 대신하여 전자빔을 사용한 결함 검사장치가 이후 검사장치의 주류가 될것으로 예상된다. 단, 전자빔방식 결함 검사장치에도 약점이 있으며, 그것은 스루풋의 점에서 광방식에 뒤떨어지는 것이다.
이 때문에 높은 분해능, 높은 스루풋, 또한 전기적 결함검출이 가능한 검사장치의 개발이 요구되고 있다. 광방식에서의 분해능은 사용하는 빛의 파장의 1/2이 한계라고 말해지고 있어, 실용화되어 있는 가시광의 예에서는 0.2㎛정도이다.
한편 전자빔을 사용하는 방식에서는 통상 주사형 전자빔방식(SEM 방식)이 실용화되어 있고, 분해능은 0.1㎛, 검사시간은 8시간/매(20cm 웨이퍼)이다. 전자빔방식은 또 전기적 결함(배선의 단선, 도통불량, 비어의 도통불량 등)도 검사 가능한 것이 큰 특징이다. 그러나 검사시간은 매우 느려 검사속도가 빠른 결함 검사장치의 개발이 기대되고 있다.
일반적으로 검사장치는 고가이고, 또 스루풋도 다른 공정장치에 비하여 낮기 때문에 현재상태에서는 중요한 공정후, 예를 들면 에칭, 성막, 또는 CMP(화학기계연마)평탄화처리후 등에 사용되고 있다.
전자빔을 사용한 주사(SEM)방식의 검사장치에 대하여 설명한다. SEM방식의 검사장치는 전자빔을 미세하게 조절하여(이 빔지름이 분해능에 상당함), 이것을 주사하여 라인형상으로 시료를 조사한다. 한편 스테이지를 전자빔의 주사방향으로 직각방향으로 이동시킴으로써, 평면형상으로 관찰영역을 전자빔으로 조사한다. 전자빔의 주사폭은 일반적으로 수 1OO㎛이다. 상기 미세하게 조절된 전자빔(1차 전자선이라 함)조사에 의하여 발생한 시료로부터의 2차 전자를 검출기[신틸레이터 + 포토멀티플레이어(광전자 증배관)] 또는 반도체방식의 검출기(PIN 다이오드형)등]로 검출한다. 조사위치의 좌표와 2차 전자량(신호강도)을 합성하고 영상화하여 기억장치에 기억하고, 또는 CRT(브라운관)상에 화상을 출력한다. 이상은 SEM(주사형 전자현미경)의 원리이고, 이 방식으로 얻어진 화상으로부터 공정 도중의 반도체(통상은 Si) 웨이퍼의 결함을 검출한다. 검사속도(스루풋에 상당함)는 1차 전자선의 양(전류값), 빔지름, 검출기의 응답속도로 결정된다. 빔지름 0.1㎛(분해능과 동일하다고 생각하여도 좋다) 전류값 100nA, 검출기의 응답속도 100MHz가 현재의 최고값이고, 이 경우에 검사속도는 20cm지름의 웨이퍼 1매당 약 8시간이라고 말해지고 있다. 이 검사속도가 광방식에 비하여 매우 느린(1/20 이하) 것이 큰 문제점(결점)으로 되어 있다.
또 본 발명에 관련되는 검사장치의 종래기술에 대해서는 주사전자현미경 (SEM)을 사용한 장치가 이미 시판되고 있다. 이 장치는 미세하게 조절한 전자선을 매우 간격이 작은 스캔폭으로 주사를 행하고, 주사에 따라 검사대상으로부터 방출되는 2차 전자를 2차 전자 검출기로 검출하여 SEM 화상을 형성하고, 그 SEM 화상을 다른 다이의 동일한 장소끼리를 비교하여 결함을 추출하는 것이다.
또 종래에 있어서는 전자선을 사용한 결함 검사장치의 전체적인 시스템으로서 완성시킨 장치는 아직 존재하지 않았다.
그런데 SEM을 응용한 결함 검사장치에서는 결함검사에 많은 시간을 필요로 하고 있었다. 또 높은 스루풋으로 하기 위하여 빔전류를 크게 하면 공간 전위효과에 의하여 빔이 흐려지고, 또 절연물이 표면에 있는 웨이퍼에서는 대전하여 양호한 SEM 상이 얻어지지 않는 문제가 있었다.
또 전자선을 조사하여 검사하는 전자 광학장치와, 검사대상을 그 전자 광학장치의 조사위치까지 청정한 상태로 공급하여 얼라인먼트하는 다른 서브시스템과의 사이의 관련성을 고려한 검사장치 전체의 구조에 대해서는 지금까지 거의 밝혀져 있지 않았다. 또한 검사대상이 되는 웨이퍼의 대구경화가 진행되어 서브시스템도 그것에 대응 가능하게 하는 요청도 나오고 있다.
따라서 본 발명이 해결하고자 하는 하나의 과제는, 전자선을 사용한 전자 광학계를 사용함과 동시에, 그 전자 광학계와 검사장치를 구성하는 그 밖의 구성기기와의 조화를 도모하여 스루풋을 향상한 검사장치를 제공하는 것이다.
본 발명이 해결하고자 하는 다른 과제는 검사대상을 축적하는 카세트와 전자 광학계에 대하여 검사대상을 위치 결정하는 스테이지장치 사이에서 검사대상을 반송하는 로더 및 그것과 관련하는 장치를 개선하여 검사대상을 효율적으로 또한 정밀도 좋게 검사 가능한 검사장치를 제공하는 것이다.
본 발명이 해결하고자 하는 다른 과제는 SEM에서 문제가 있었던 대전의 문제를 해결하여 검사대상을 정밀도 좋게 검사 가능한 검사장치를 제공하는 것이다.
본 발명이 해결하고자 하는 또 다른 과제는 상기와 같은 검사장치를 사용하여 웨이퍼 등의 검사대상의 검사를 행함으로써 수율이 좋은 장치제조방법을 제공하는 것이다.
또 종래 반도체의 고밀도화나 고집적화에 따라 반도체 웨이퍼의 공정제조 등에 있어서의 반도체 웨이퍼의 패턴 등의 결함검사에는 고감도의 검사장치가 필요하게 되어 있다. 그리고 이와 같은 결함검사를 위한 검사장치로서, 일본국 특개평2-142045나 특개평5-258703에 기재되어 있는 바와 같은 전자현미경이 사용되고 있다.
예를 들면 일본국 특개평2-142045에 기재된 전자현미경에서는 대물렌즈에 의하여 전자총으로부터 나온 전자선을 조절하여 시료에 조사하고, 시료로부터 나오는 2차 전자를 2차 전자 검출기로 검출하고 있다. 그리고 이 전자현미경에서는 시료에 음의 전압을 인가하고, 다시 시료와 2차 전자 검출기와의 사이에 전계와 자계를 직교시킨 E × B형 필터를 배치하고 있다.
이와 같은 구성에 의하여 이 전자현미경은 시료에 음의 전압을 인가함으로써 시료에 조사되는 전자를 감속시킴으로써 높은 분해능으로 하고 있다.
또 시료에 음의 전압을 인가함으로써, 시료로부터 나오는 2차 전자를 가속시키고, 또한 E ×B형 필터에 의하여 가속된 2차 전자는 2차 전자 검출기의 방향으로 편향되어 2차 전자 검출기는 2차 전자를 효율적으로 검출할 수 있다.
상기와 같은 종래의 전자현미경을 사용한 장치에 있어서는, 전자총으로부터의 전자선은 고전압이 인가된 대물렌즈 등의 렌즈계에 의하여 시료에 조사되기 직전까지 가속되어 고에너지화하고 있다. 그리고 시료에 음의 전압을 인가함으로써, 시료에 조사되는 전자를 저속화하면서 고분해능으로 하고 있다.
그러나 대물렌즈에는 고전압이 인가되고, 다시 시료에는 음의 전압이 인가되어 있기 때문에, 대물렌즈와 시료 사이에 있어서 방전이 생길 염려가 있었다.
또 종래의 전자현미경에 있어서, 시료에 음의 전압이 인가되지 않은 경우에도 대물렌즈와 시료 사이의 전위차가 크면, 대물렌즈와 시료 사이에 있어서 방전이 생길 염려가 있다.
또 이와 같은 시료에의 방전에 대처하기 위하여 대물렌즈에 대한 인가전압을 낮게 하면 전자에 충분한 에너지가 주어지지 않아 분해능이 저하하여 버린다.
또한 시료가 반도체 웨이퍼이고, 이 반도체 웨이퍼 표면에 비어, 즉 반도체 웨이퍼의 상층 배선과 하층 배선을 전기적으로 접속하고, 또한 상하층 배선면에 대하여 대략 수직인 방향의 배선패턴이 존재하는 경우를 설명한다.
종래의 전자현미경을 사용하여 비어부착 반도체 웨이퍼의 결함 등을 검사하면 상기와 마찬가지로 대물렌즈에는 고전압, 예를 들면 1OkV의 전압이 인가된다. 또 여기서는 반도체 웨이퍼는 접지되어 있는 것으로 한다. 따라서 반도체 웨이퍼와 대물렌즈 사이에는 전계가 형성된다.
이와 같은 상태이면 특히 반도체 웨이퍼 표면의 비어 근방에 전계가 집중하 여 고전계가 된다. 그리고 비어에 전자선이 조사되면 비어로부터 대량의 2차 전자가 방출되어, 이들 2차 전자는 비어 근방의 고전계에 의하여 가속된다. 이 가속된 2차전자는 전자선이 반도체 웨이퍼에 조사되었을 때에 발생한 잔류가스를 이온화하는 데 충분한 에너지( > 3eV)를 가지고 있다. 따라서 2차 전자는 잔류 가스를 이온화하기 때문에 이온화한 하전입자가 발생한다.
그리고 이 이온화한 하전입자인 양이온은 비어 근방의 고전계에 의하여 비어의 방향으로 가속되어 비어와 충돌함으로써, 비어로부터 다시 2차 전자가 방출된다. 이 일련의 포지티브 피드백에 의하여 마침내는 대물렌즈와 반도체 웨이퍼와의 사이에 방전이 생기어, 이 방전에 의하여 반도체 웨이퍼의 패턴 등을 파손하여 버리는 문제가 있었다.
따라서 본 발명이 해결하고자 하는 다른 과제는, 피검사 시료에의 방전을 방지한 전자총장치와, 이 전자총장치를 사용한 장치 제조방법을 제공하는 것을 목적으로 한다.
또 종래 상기한 바와 같이 반도체장치 제조용 마스크패턴, 또는 반도체웨이퍼에 형성된 패턴의 결함검사는, 1차 전자선으로 시료 표면을 조사하였을 때에 그 시료로부터 방출하는 2차 전자를 검출하여 시료의 패턴화상을 얻어 기준화상과 비교함으로써 행하고 있다. 그리고 이와 같은 결함 검사장치에는 1차 전자와 2차 전자를 분리하는 E ×B 분리기가 설치된다.
도 52에 E ×B 분리기를 가지는 사상투영형 전자선 검사장치의 일례의 개략 구성을 나타낸다. 전자총(721)으로부터 방출된 전자선은 성형개구(도시 생략)에서 직사각형으로 성형되고, 정전렌즈(722)로 축소되고, E ×B 분리기(723)의 중심에 1.25mm각의 성형 빔을 형성한다. 성형 빔은 E ×B 분리기(723)로 시료(W)에 수직이 되도록 편향되고, 정전렌즈(724)로 1/5로 축소되어 시료(W)를 조사한다. 시료(W)로부터 방출되는 2차 전자는 시료(W)상의 패턴정보에 대응한 강도를 가지고 있고, 정전렌즈(724, 741)로 확대되어 검출기(761)에 입사한다. 검출기(761)에서는 입사한 2차 전자의 강도에 대응한 화상신호를 생성하여 기준화상과 비교함으로써 시료의 결함을 검출한다.
E ×B 분리기(723)는 시료(W)면의 법선(지면의 상방향)에 수직인 평면내에 있어서 전계와 자계를 직교시킨 구조로 되어 있고, 전계, 자계, 전자의 에너지 및 속도의 관계가 일정조건을 만족할 때에는 전자를 직진시키고, 그 이외일 때는 편향시키는 것이다. 도 44의 검사장치에서는 2차 전자가 직진하도록 설정된다.
도 53은 시료(W)면의 1차 전자가 조사된 직사각형 영역으로부터 방출되는 2차전자의 움직임을 더욱 상세하게 나타낸 것이다. 시료면으로부터 방출된 2차 전자는 정전렌즈(724)로 확대되어 E ×B 분리기(723)의 중심면(723a)에 결상된다. E ×B 분리기(723)의 전계 및 자계가 2차 전자가 직진하는 값으로 설정되어 있으므로, 2차 전자는 그대로 직진하고, 정전렌즈(741-1, 741-2, 741-3)로 확대되어 검출기(761)내의 타겟(761a)에 결상된다. 그리고 MCP(Multi Channel Plate, 도시 생략)에서 증배되어 신틸레이터, CCD(Charge Coupled Device) 등(도시 생략)에 의하여 상을 형성한다. 732, 733은 2차 광학계에 설치한 개구 조리개이다.
종래의 E ×B 분리기의 개략구성 및 발생되는 전계의 분포를 도 54에 나타낸 다. 2개의 평행 평판전극(723-1, 723-2)에서 전계를 발생하여 2개의 자극(723-3, 723-4)에서 전계와 직교하는 자계를 발생한다. 이 구성에서는 자극(723-3, 723-4)은 금속으로 구성되기 때문에, 어스전위로 되어 있고, 전계가 어스측으로 구부러진다. 따라서 전계분포는 도 54에 나타내는 바와 같은 것이 되고, 평행한 전계는 중심의 작은 영역에서 밖에 얻어지지 않는다.
이와 같은 구성의 E ×B 분리기를 사상투영형 전자선 검사장치 등의 결함검사장치에 사용한 경우, 정밀도가 좋은 검사를 행하기 위해서는 전자선의 조사영역을 크게 할 수 없어 검사효율이 나쁘다는 문제가 있었다.
따라서 본 발명이 해결하고자 하는 다른 과제는, 전계도 자계도 강도가 동등하고 서로 직교하는 영역을 시료에 평행한 면에서 크게 할 수 있고, 또한 전체의 외경을 작게 할 수 있는 E ×B 분리기를 제공하는 것을 목적으로 한다. 또 이와 같은 E ×B 분리기를 결함 검사장치에 사용함으로써 얻어지는 검출화상의 수차를 감소시켜 정밀도가 좋은 결함검사를 효율 좋게 행하는 것을 목적으로 한다.
또 상기한 바와 같이 종래 전자선을 사용하여 반도체 웨이퍼나 포토마스크의 패턴검사를 행하는 경우에, 반도체 웨이퍼나 포토마스크 등의 시료 표면에 전자선을 보내어 스캔하고, 또는 시료를 스캔하여 그 시료의 표면에서 생기는 2차 하전입자 등을 검출하고, 그 검출결과로부터 화상 데이터를 제작하여 셀마다 또는 다이별의 데이터를 비교함으로써 결함을 검사하는 장치가 알려져 있다.
그러나, 상기 종래의 결함 검사장치에 있어서는 전자선을 조사함으로써 시료 표면이 대전하고, 이 대전에 의한 전하에 의하여 화상 데이터가 왜곡되므로 결함을 정확하게 검출할 수 없는 문제가 있었다. 또 이와 같은 화상 데이터의 왜곡이 문제가 되기 때문에 전하에 의한 왜곡이 충분히 작아지도록 빔전류를 작게 하면 2차 전자신호의 S/N 비가 나빠져, 오류 검출의 발생확률이 증가하는 결점이 있다. 또 S/N 비를 개선하기 위하여 다수회 주사하여 평균화처리 등을 행하면 스루풋이 저하하는 문제가 있었다.
따라서 본 발명이 해결하고자 하는 다른 과제는, 대전에 의한 화상 왜곡이 생기지 않도록 하거나, 또는 화상 왜곡이 생기더라도 그것이 최소한이 되도록 하고, 그것에 의하여 신뢰성이 높은 결함검사를 행할 수 있는 장치 및 그 장치를 사용한 장치 제조방법을 제공하는 것을 목적으로 한다.
또 종래, 기판의 표면에 하전입자빔을 조사하여 주사하고, 그 기판의 표면에서 발생하는 2차 하전입자를 검출하여, 그 검출결과로부터 화상 데이터를 작성하여 다이별 데이터와 비교함으로써 그 기판에 형성된 화상의 결함 등을 검사하는 장치는 이미 알려져 있다.
그러나 상기 공보에 기재된 것을 포함하여, 종래 이와 같은 촬상장치에서는 검사대상인 기판의 표면의 전위분포가 반드시 균일하게 되어 있지 않아 촬상한 화상의 콘트라스트가 충분치 않아 왜곡을 가지는 문제가 있었다.
따라서 본 발명이 해결하고자 하는 다른 과제는, 스루풋을 저하시키는 일 없이 결함검출의 성능을 향상한 촬상장치를 제공하는 것이다.
또 본 발명이 해결하고자 하는 다른 과제는, 검사대상으로부터의 2차 전자의 검출에 의하여 얻어진 화상의 콘트라스트를 향상하여 결함검출의 성능을 향상한 촬 상장치를 제공하는 것이다.
또 본 발명이 해결하고자 하는 다른 과제는, 검사대상 표면의 전위분포를 균일화함으로써 그 표면으로부터의 2차 전자의 검출에 의하여 얻어진 화상의 콘트라스트를 향상하여 왜곡을 감소시켜 결함검출의 성능을 향상한 촬상장치를 제공하는 것이다.
또 본 발명이 해결하고자 하는 또 다른 과제는, 상기와 같은 촬상장치를 사용하여 공정 도중의 시료를 평가하는 장치의 제조방법을 제공하는 것이다.
또 종래, 반도체 웨이퍼 등의 시료에 1차 전자를 조사함으로써 발생한 2차 전자를 검출함으로써 상기 시료의 결함을 검사하기 위한 결함 검사장치가 반도체제조 공정 등에서 이용되고 있다.
예를 들면 일본국 특개평11-132975호에는 시료에 전자빔을 조사시키는 전자빔조사부, 시료 표면의 형상, 재질, 전위의 변화에 따라 발생한 2차 전자 및 반사전자의 1차원상 및/또는 2차원상을 결상시키는 사상투영 광학부, 결상된 상에 의거하여 검출신호를 출력하는 전자빔 검출부, 검출신호를 부여하여 시료 표면의 전자화상을 표시하는 화상 표시부, 전자빔 조사부로부터 조사된 전자빔의 시료에 대한 입사각도와 2차 전자 및 반사전자의 사상투영 광학부에 대한 도입 각도를 변화시키는 전자빔편향부로 구성된 결함 검사장치가 개시되어 있다. 이 결함 검사장치에 의하면 실제 장치의 시료 웨이퍼 표면의 소정의 직사각형 영역에 1차 전자빔을 조사한다.
그러나 실제 장치의 시료 웨이퍼의 비교적 넓은 영역에 전자빔을 조사하는 경우, 시료 표면이 이산화규소나 질화규소라는 절연체로 형성되어 있기 때문에, 시료표면에의 전자빔 조사와, 그것에 따르는 시료 표면으로부터의 2차 전자방출에 의하여 시료 표면이 양으로 차지업되고, 이 전위가 만들어내는 전장에 의하여 2차 전자선 화상에 여러가지 상 장해가 일어난다는 문제점이 있었다.
본 발명은 상기 사실을 감안하여 이루어진 것으로, 시료 표면의 양의 차지업을 저감하고, 이 차지업에 따르는 상 장해를 해소함으로써, 더욱 고정밀도로 시료의 결함을 검사하는 것을 가능하게 하는 결함 검사장치 및 결함 검사방법을 제공하는 것을 목적으로 한다.
또한 본 발명은 반도체장치의 제조공정에 있어서, 상기와 같은 결함 검사장치를 사용하여 시료의 결함검사를 행함으로써 장치제품의 수율의 향상 및 결함제품의 출하방지를 도모한 반도체제조방법을 제공하는 것을 다른 목적으로 한다.
또 종래, 반도체웨이퍼 등의 시료 표면 등에 전자빔 등의 하전빔을 조사함으로써, 그 시료 표면상을 반도체회로 등의 패턴으로 노광하고, 또는 시료 표면상에 형성된 패턴을 검사하는 장치, 또는 하전빔을 조사함으로써 시료에 대하여 초정밀 가공을 실시하는 장치에 있어서는 시료를 진공 중에서 정밀도 좋게 위치 결정하는 스테이지가 사용되고 있다.
이와 같은 스테이지에 대하여 매우 고정밀도의 위치결정이 요구되는 경우에는 스테이지를 정압베어링에 의하여 비접촉 지지하는 구조가 채용되고 있다. 이 경우, 정압베어링으로부터 공급되는 고압가스가 직접 진공챔버에 배기되지 않도록 고압가스를 배기하는 차동 배기기구를 정압베어링의 범위에 형성함으로써, 진공챔 버의 진공도를 유지하고 있다.
이와 같은 종래기술에 의한 스테이지의 일례가 도 55에 나타나 있다. 상기 도 55의 구조에 있어서, 진공챔버(C)를 구성하는 하우징(98)에 하전빔을 발생하여 시료에 조사하는 하전빔장치의 거울통(鏡筒)(71)의 선단부, 즉 하전빔 조사부(72)가 설치되어 있다. 거울통 내부는 진공배관(710)에 의하여 진공배기되어 있고, 챔버(C)는 진공배관(911)에 의하여 진공배기되어 있다. 그리고 하전빔은 거울통 (71)의 선단부(72)로부터 그 밑에 놓여진 웨이퍼 등의 시료(W)에 대하여 조사된다.
시료(W)는 시료대(94)에 공지의 방법에 의하여 떼어 내기 가능하게 유지되어 있고, 시료대(94)는 XY 스테이지(이하 단지 스테이지)(93)의 Y 방향 가동부(95)의 상면에 설치되어 있다. 상기 Y 방향 가동부(95)에는 스테이지(93)의 X 방향 가동부 (96)의 가이드면(96a)과 마주 보는 면(도 55[A]에 있어서 좌우 양면 및 하면)에 정압베어링(90)이 복수로 설치되어 있고, 이 정압베어링(90)의 작용에 의하여 가이드면과의 사이에 미소 간극을 유지하면서 Y 방향(도 55[B]에서 좌우방향)으로 이동할 수 있다. 또한 정압베어링의 둘레에는 정압베어링에 공급되는 고압가스가 진공챔버 (C)의 내부에 누설하지 않도록 차동 배기기구가 설치되어 있다. 이 모양을 도 56에 나타낸다. 정압베어링(90)의 둘레에 2중으로 홈(918과 917)이 구성되어 있고, 이들 홈은 도시 생략한 진공배관과 진공펌프에 의하여 항상 진공배기되어 있다. 이와 같은 구조에 의하여 Y 방향 가동부(95)는 진공 중을 비접촉 상태로 지지되어 Y 방향으로 자유롭게 이동할 수 있게 되어 있다. 이들 2중의 홈(918과 917)은 가동부(95)의 정압베어링(90)이 설치되어 있는 면에 그 정압베어링을 둘러 싸도 록 하여 형성되어 있다. 또한 정압베어링의 구조는 공지의 것으로 좋기 때문에, 그 상세한 설명은 생략한다.
이 Y 방향 가동부(95)를 탑재하고 있는 X 방향 가동부(96)는 도 55로부터도 분명한 바와 같이, 위쪽으로 개구하고 있는 오목형의 형상을 가지고 있어, 그 X 방향가동부(96)에도 완전히 동일한 정압베어링 및 홈이 설치되어 있어, 스테이지대 (97)에 대하여 비접촉으로 지지되어 있고, X 방향으로 자유롭게 이동할 수 있다.
이들 Y 방향 가동부(95)와 X 방향 가동부(96)의 이동을 조합시킴으로써 시료 (W)를 거울통의 선단부, 즉 하전빔 조사부(72)에 관하여 수평방향 임의의 위치로 이동시켜 시료의 소망의 위치에 하전빔을 조사할 수 있다.
상기한 정압베어링과 차동 배기기구를 조합시킨 스테이지에서는 스테이지가 이동할 때에 정압베어링(90)에 대향하는 가이드면(96a, 97a)은 정압베어링부의 고압가스 분위기와 챔버 내의 진공환경 사이를 왕복운동한다. 이 때 가이드면에서는 고압가스 분위기에 노출되어 있는 사이에 가스가 흡착하여 진공환경에 노출되면 흡착하고 있던 가스가 방출된다는 상태가 반복된다. 이 때문에 스테이지가 이동할 때마다 챔버(C)내의 진공도가 악화한다는 현상이 발생하여 상기한 하전빔에 의한 노광이나 검사나 가공 등의 처리를 안정되게 행할 수 없었던, 시료가 오염되어 버린다는 문제가 있었다.
따라서 본 발명이 해결하고자 하는 다른 과제는, 진공도의 저하를 방지하여 하전빔에 의한 검사나 가공 등의 처리를 안정되게 행할 수 있는 하전빔장치를 제공하는 것이다.
또 본 발명이 해결하고자 하는 다른 과제는, 정압베어링에 의한 비접촉 지지기구와 차동배기에 의한 진공시일기구를 가지고, 하전빔의 조사영역과 정압베어링의 지지부 사이에 압력차를 발생시키도록 한 하전빔장치를 제공하는 것이다.
본 발명이 해결하고자 하는 다른 과제는, 정압베어링에 면하는 부품 표면으로부터 방출하는 가스를 저감한 하전빔장치를 제공하는 것이다.
또한 본 발명이 해결하고자 하는 또 다른 과제는, 상기의 하전빔장치를 사용하여 시료 표면을 검사하는 결함 검사장치, 또는 시료의 표면에 패턴을 묘획하는 노광장치를 제공하는 것이다.
또한 본 발명이 해결하고자 하는 또 다른 과제는, 상기와 같은 하전빔장치를 사용하여 반도체장치를 제조하는 반도체제조방법을 제공하는 것이다.
또 도 55에 나타낸 상기 종래의 정압베어링과 차동 배기기구를 조합한 스테이지에서는 차동 배기기구를 설치하였기 때문에, 대기 중에서 사용되는 정압베어링 식 스테이지에 비하여 구조가 복잡하고 대형이 되어, 스테이지로서의 신뢰성이 낮게, 고가가 된다는 문제가 있었다.
따라서 본 발명이 해결하고자 하는 다른 과제는, XY 스테이지의 차동 배기기구를 없애어 구조가 간단하고 컴팩트화가 가능한 하전빔장치를 제공하는 것이다.
본 발명이 해결하고자 하는 다른 과제는, XY 스테이지를 수용하고 있는 하우징내를 진공배기함과 동시에 상기 시료면상의 하전빔이 조사되는 영역을 배기하는 차동 배기기구를 설치한 하전빔장치를 제공하는 것이다.
본 발명이 해결하고자 하는 또 다른 과제는, 상기한 하전빔장치를 사용하여 시료 표면을 검사하는 결함 검사장치, 또는 시료의 표면에 패턴을 묘획하는 노광장치를 제공하는 것이다.
본 발명이 해결하고자 하는 또 다른 과제는, 상기와 같은 하전빔장치를 사용하여 반도체장치를 제조하는 반도체제조방법을 제공하는 것이다.
또 상기한 바와 같이 종래 반도체 웨이퍼 등의 시료에 1차 전자를 조사함으로써 발생한 2차 전자를 검출함으로써 상기 시료의 결함을 검사하기 위한 결함 검사장치가 반도체제조공정등에서 이용되고 있다.
이와 같은 결함 검사장치에는 화상 인식기술을 응용하여 결함검사의 자동화 및 효율화를 도모한 기술이 있다. 이 기술에서는 2차 전자를 검출함으로써 취득한 시료 표면의 피검사영역의 패턴화상 데이터와, 미리 기억한 시료 표면의 기준화상 데이터를 컴퓨터에 의하여 매칭연산하고, 그 연산결과에 의거하여 시료의 결함의 유무를 자동적으로 판정한다.
요즈음에는 특히 반도체제조분야에 있어서는 패턴의 고정밀화가 진행되어 미세한 결함을 검출할 필요가 높아지고 있다. 이와 같은 상황하에서는 상기와 같은 화상인식기술을 응용한 결함 검사장치에 있어서도, 인식 정밀도의 새로운 향상이 요구되고 있다.
그러나 상기 종래기술에서는 1차 전자선을 시료 표면의 피검사영역에 조사하여 취득한 2차 전자선의 화상과, 미리 준비된 기준화상과의 사이에 위치 어긋남이 발생하여 결함검출의 정밀도를 저하시킨다는 문제가 있었다. 이 위치 어긋남은 1차 전자선의 조사영역이 웨이퍼에 대하여 어긋나 검사패턴의 일부가 2차 전자선의 검출화상내로부터 결락될 때 특히 큰 문제가 되어, 단지 매칭영역을 검출화상내에서 최적화하는 기술만으로는 대처할 수 없다. 이것은 특히 고정밀 미세패턴의 검사에서는 치명적 결점이 될 수 있다.
그러므로 본 발명이 해결하고자 하는 다른 과제는, 피검사 화상과 기준화상과의 위치 어긋남에 의한 결함검사 정밀도의 저하를 방지한 결함 검사장치를 제공하는 것을 목적으로 한다.
또한 본 발명은 반도체장치의 제조공정에 있어서, 상기와 같은 결함 검사장치를 사용하여 시료의 결함검사를 행함으로써, 장치제품의 수율의 향상 및 결함제품의 출하방지를 도모한 반도체제조방법을 제공하는 것을 다른 목적으로 한다.
본 발명은 기본적으로 SEM 방식의 결점인 검사속도를 향상하는 방법으로서, 전자선을 사용한 사상 투영방식이라 불리우는 방식을 이용한 것이다. 이하에 그 사상투영방식에 대하여 설명을 한다.
사상 투영방식은 시료의 관찰영역을 1차 전자선으로 일괄하여 조사(주사는 행하지 않고 일정한 면적을 조사한다)하고, 조사된 영역으로부터의 2차 전자를 렌즈계에 의하여 일괄하여 검출기(마이크로 채널 플레이트 + 형광판)상에 전자선의 화상으로서 결상시킨다. 그것을 2차원 CCD(고체 촬상소자) 또는 TDI-CCD(라인 이미지 센서)에 의하여 화상정보를 전기신호로 변환하여, CRT 상에 출력하고 또는 기억장치에 축적한다. 이 화상정보로부터 시료 웨이퍼[공정도중의 반도체(Si) 웨이퍼]의 결함을 검출한다. CCD의 경우, 스테이지의 이동방향은 단축방향이고(장축방 향이어도 상관없다), 이동은 스탭 앤드 리피트방식이다. TDI-CCD 경우의 스테이지 가동은, 적산방향으로 연속이동을 한다. TDI-CCD에서는 화상을 연속적으로 취득할 수 있으므로 결함검사를 연속으로 행하는 경우는 TDI-CCD를 사용한다. 분해능은 결상 광학계 (2차광학계)의 배율과 정밀도 등으로 할 수 있고, 실시예에서는 0.05㎛의 분해능이 얻어지고 있다. 이 예에 있어서 분해능이 0.1㎛, 전자선 조사조건이 200㎛ ×50㎛의 영역에 1.6㎂일 때, 검사시간은 20cm 웨이퍼 1매당 1시간 정도이고, SEM 방식의 8배가 얻어지고 있다. 여기서 사용되고 있는 TDI-CCD의 사양은 2048화소(픽셀) × 512단에서 라인속도가 3.3㎲(라인 주파수 300kHz)이다.
이 예의 조사면적은 TDI-CCD의 사양에 맞추고 있으나, 조사대상물에 의하여 조사면적을 변경하는 경우도 있다.
이 사상방식의 과제는 ① 전자선을 일괄조사하기 때문에 시료 표면상에서 차지업하기 쉬운 것, ② 본 방식에서 얻어지는 전자선 전류에 한계가 있어(1.6㎂ 정도) 검사속도향상의 방해로 되어 있는 것이다.
그리고 상기 종래의 과제를 해결하기 위하여 본원의 제 1 발명은, 하전입자 또는 전자파 중 어느 하나를 검사대상으로 조사하여 상기 검사대상을 검사하는 검사장치에 있어서, 진공분위기로 제어 가능한 검사대상을 검사하는 워킹챔버와, 하전입자 또는 전자파 중 어느 하나를 빔으로서 발생시키는 빔 발생수단과, 상기 빔을 상기 워킹챔버 내에 유지한 검사대상으로 유도하여 조사하고, 검사대상으로부터 발생하는 2차 하전입자를 검출하여 화상처리계로 유도하는 전자 광학계와, 상기 2차 하전입자에 의하여 화상을 형성하는 화상처리계와, 상기 화상처리계의 출력에 의거하여 검사대상의 상태정보를 표시 및/또는 기억하는 정보처리계와, 상기 빔에 대하여 상대 이동 가능하게 검사대상을 유지하는 스테이지장치를 구비하는 것을 특징으로 한다.
본원 제 2 발명은, 제 1 발명의 검출장치에 있어서, 검사대상을 보전하고, 상기 워킹챔버에 반출입하는 반출입 기구를 구비하는 것을 특징으로 한다.
본원의 제 3 발명은, 본원 제 2 발명의 검사장치에 있어서, 상기 반출입 기구는 청정기체를 상기 검사대상에 흘려 상기 검사대상에의 먼지의 부착을 저지하는 미니 엔바이로먼트장치(Mini-Environment Chamber)와, 상기 미니 엔바이로먼트장치와 상기 워킹챔버 사이에 배치되어 있어, 각각 독립하여 진공 분위기로 제어 가능하게 되어 있는 적어도 2개의 로딩챔버와, 상기 미니 엔바이로먼트장치와 상기 로딩챔버와의 사이에서 상기 검사대상을 이송 가능한 반송유닛 및 상기 하나의 로딩챔버 내와 상기 스테이지장치 위와의 사이에서 상기 검사대상을 이송 가능한 다른 반송유닛을 가지는 로더를 구비하고,
상기 워킹챔버와 로딩챔버가 진동 차단장치를 거쳐 지지되어 있는 것을 특징으로 한다.
본원의 제 4 발명은, 본원 제 1 발명의 검사장치에 있어서,
상기 워킹챔버 내의 상기 스테이지장치상에 상기 검사대상을 공급하는 로더와,
상기 워킹챔버 내에 배치된 상기 검사대상에 하전입자를 조사하여 상기 검사대상의 대전 불균일을 감소하는 프리차지유닛 및 상기 검사대상에 전위를 인가하는 전위 인가기구를 구비하는 것을 특징으로 한다.
본원의 제 5 발명은, 제 3 발명의 검사장치에 있어서, 상기 로더가 각각이 독립하여 분위기 제어 가능하게 되어 있는 제 1 로딩챔버 및 제 2 로딩챔버와, 상기검사대상을 제 1 로딩챔버 내와 그 외부와의 사이에서 반송하는 제 1 반송유닛과, 상기 제 2 로딩챔버에 설치되어 상기 검사대상을 상기 제 1 로딩챔버 내와 상기 스테이지장치 위와의 사이에서 반송하는 제 2 반송유닛을 구비하는 것을 특징으로 한다.
본원의 제 6 발명은, 제 1, 제 2 또는 제 3 검사장치에 있어서, 다시 상기 전자 광학계에 대한 상기 검사대상의 위치 결정을 위하여 상기 검사대상의 표면을 관찰하여 얼라인먼트를 제어하는 얼라인먼트제어장치와, 상기 스테이지장치상의 상기 검사대상의 좌표를 검출하는 레이저 간섭 거리측정장치를 구비하고, 상기 얼라인먼트제어장치에 의하여 검사대상에 존재하는 패턴을 이용하여 검사대상의 좌표를 정하는 것을 특징으로 한다.
본원의 제 7 발명은, 제 1, 제 2 또는 제 3 발명의 검사장치에 있어서, 상기 검사대상의 위치 맞춤은, 상기 미니 엔바이로먼트 공간내에서 행하여지는 대략 위치맞춤과, 상기 스테이지장치상에서 행하여지는 XY 방향의 위치맞춤 및 회전방향의 위치맞춤을 포함하는 것을 특징으로 한다.
본원의 제 8 발명은, 제 1, 제 2, 제 3 발명 중 어느 하나에 의한 검사장치에 있어서, 상기 전자 광학계가,
전계와 자계가 직교하는 곳에 의하여 상기 2차 하전입자를 상기 검출기방향 으로 편향하는 E ×B 편향기와,
상기 감속전계형 대물렌즈와 상기 피검사 시료와의 사이에 배치되어 상기 빔의 조사광축에 대하여 대략 축대칭의 형상이고, 상기 피검사 시료의 상기 전자선의 조사면에 있어서의 전계강도를 제어하는 전극을 구비한 것을 특징으로 한다.
본원의 제 9 발명은, 제 1, 제 2, 제 3 발명 중 어느 하나에 의한 검사장치에 있어서, 상기 장치가 하전입자와, 하전입자와는 대략 반대방향으로 진행하는 2차 하전입자가 입사되고, 상기 하전입자 또는 2차 하전입자를 선택적으로 편향시키는 E ×B 분리기로서 전계를 발생시키기 위한 전극이 3쌍 이상의 비자성 도전체 전극으로 구성되어, 대략 원통을 구성하도록 배치되는 E ×B 분리기를 포함하는 것을 특징으로 한다.
본원의 제 10 발명은, 제 1, 제 2, 제 3 발명 중 어느 하나에 의한 검사장치에 있어서, 상기 장치가 검사직전의 피검사영역을 미리 하전입자에 의하여 조사하는 하전입자 조사부를 구비한 것을 특징으로 한다.
본원 제 11 발명은, 제 1, 제 2, 제 3 발명 중 어느 하나에 의한 검사장치에 있어서, 상기 장치가 상기 검사대상에 대전한 전하를 균일화 또는 저감화하는 수단을 가지는 것을 특징으로 한다.
본원 제 12 발명은, 제 1, 제 2, 제 3 발명 중 어느 하나에 의한 검사장치에 있어서, 상기 장치가 적어도 상기 검출수단이 상기 2차 하전입자상을 검출하는 기간내에 상기 하전입자보다 저에너지를 가지는 전자를 상기 시료에 공급하는 것을 특징으로 한다.
본원의 제 13 발명은, 제 1, 제 2, 제 3 발명 중 어느 하나에 의한 검사장치에 있어서, 상기 스테이지가 XY 스테이지이고, 상기 XY 스테이지는 워킹챔버 내에 수용되고, 또한 정압베어링에 의하여 워킹챔버에 대하여 비접촉으로 지지되어 있고,
상기 스테이지가 수용된 워킹챔버는 진공배기되고,
상기 하전입자빔장치의 상기 시료면상에 하전입자빔을 조사하는 부분의 주위에는 시료면상의 상기 하전입자빔이 조사되는 영역을 배기하는 차동 배기기구가 설치된 것을 특징으로 한다.
본원의 제 14 발명은, 제 1, 제 2, 제 3 발명 중 어느 하나에 의한 검사장치에 있어서, 상기 장치가 XY 스테이지에 시료를 탑재하고, 상기 시료를 진공 중에서 임의의 위치로 이동하여 시료면에 하전입자빔을 조사하는 장치를 가지고,
상기 XY 스테이지에는 정압베어링에 의한 비접촉 지지기구와 차동배기에 의한 진공 시일기구를 설치하고,
상기 시료면상의 하전입자빔이 조사되는 개소와, 상기 XY 스테이지의 정압베어링 지지부와의 사이에 컨덕턴스가 작아지는 칸막이를 설치하고,
하전입자빔 조사영역과 정압베어링 지지부와의 사이에 압력차가 생기도록 한 것을 특징으로 한다.
본원의 제 15 발명은 제 1, 제 2, 제 3 발명 중 어느 하나에 의한 검사장치에 있어서,
상기 시료상에서 부분적으로 겹쳐지면서 서로로부터 변위된 복수의 피검사영 역의 화상을 각각 취득하는 화상 취득수단과,
기준화상을 기억하는 기억수단과,
상기 화상 취득수단에 의하여 취득된 복수의 피검사영역의 화상과, 상기 기억수단에 기억된 상기 기준화상을 비교함으로써 상기 시료의 결함을 판단하는 결함판단수단을 포함하는 것을 특징으로 한다.
본원의 제 16 발명은, 장치제조방법에 있어서 제 1 내지 제 15 발명의 검사장치를 사용하여 공정 도중 또는 그 후의 웨이퍼의 결함을 검출하는 것을 특징으로 한다.
본원의 제 1 내지 16 발명에 의하면, 다음과 같은 효과를 나타내는 것이 가능하다.
(가) 하전입자를 사용한 사상 투영방식의 검사장치의 전체구성이 얻어져 높은 스루풋으로 검사대상을 처리할 수 있다.
(나) 미니 엔바이로먼트 공간내에서 검사대상에 청정기체를 흘려 먼지의 부착을 방지함과 동시에 청정도를 관찰하는 센서를 설치함으로써 그 공간내의 먼지를 감시하면서 검사대상의 검사를 행할 수 있다.
(다) 로딩챔버 및 워킹챔버를 일체적으로 진동 방지장치를 거쳐 지지하였기 때문에 외부의 환경에 영향받디 않고 스테이지장치에의 검사대상의 공급 및 검사를 행할 수 있다.
(라) 프리차지유닛을 설치하고 있으므로, 절연물로 만든 웨이퍼도 대전에 의한 영향을 받기 어렵다.
본원의 제 17 발명은, 하전입자를 피검사 시료에 조사하는 빔 발생장치와, 상기 하전입자를 감속시킴과 동시에 상기 하전입자가 상기 피검사 시료에 조사함으로써 발생하는 2차 하전입자를 가속시키는 감속전계형 대물렌즈와 상기 2차 하전입자를 검출하는 검출기와,
전계와 자계가 직교하는 장소에 의하여 상기 2차 하전입자를 상기 검출기방향으로 편향하는 E ×B 편향기와,
상기 감속전계형 대물렌즈와 상기 피검사 시료와의 사이에 배치되고, 상기 하전입자의 조사광축에 대하여 대략 축대칭의 형상이고, 상기 피검사 시료의 상기 하전입자의 조사면에 있어서의 전계강도를 제어하는 전극을 구비한 것을 특징으로 한다.
본원의 제 18 발명은, 제 17 발명의 검사장치에 있어서, 상기 피검사 시료의 종류에 따라 상기 전계강도를 제어하기 위하여 상기 전극에 인가하는 전압을 제어하는 것을 특징으로 한다.
본원의 제 19 발명은, 제 17 발명의 검사장치에 있어서, 상기 피검사 시료는 반도체 웨이퍼이고, 상기 전계강도를 제어하기 위하여 상기 전극에 인가하는 전압은 상기 반도체 웨이퍼의 비어의 유무에 의하여 제어하는 것을 특징으로 한다.
본원의 제 20 발명은, 제 17 내지 제 19 발명 중 어느 하나의 검사장치를 사용한 장치제조방법에 있어서,
장치제조 도중에 있어서 상기 검사장치를 사용하여 상기 피검사 시료인 곳의 반도체 웨이퍼의 결함을 검사하는 것을 특징으로 한다.
본원 제 17 내지 20의 발명에 의하면 다음의 작용효과가 얻어진다.
피검사 시료와 대물렌즈 사이에, 하전입자의 조사축에 대하여 대략 축대칭의 형상이고, 상기 피검사 시료의 상기 하전입자의 조사면에 있어서의 전계강도를 제어하는 전극을 구비하였기 때문에 피검사 시료와 대물렌즈 사이의 전계를 제어할 수 있다.
또 피검사시료와 대물렌즈 사이에 하전입자의 조사축에 대하여 대략 축대칭의 형상이고, 상기 피검사 시료의 상기 하전입자의 조사면에 있어서의 전계강도를 약하게 하는 전극을 구비하였기 때문에 피검사 시료와 대물렌즈 사이의 방전을 없앨 수 있다.
또 대물렌즈에의 인가전압을 저하시키는 등, 변경하고 있지 않으므로, 2차 하전입자를 효율적으로 대물렌즈를 통과시키기 때문에 검출효율을 향상시켜 S/N 비가 좋은 신호를 얻을 수 있다.
또 피검사 시료의 종류에 따라 피검사 시료의 하전입자의 조사면에 있어서의 전계강도를 약하게 하기 위한 전압을 제어할 수 있다.
예를 들면 피검사 시료가 대물렌즈와의 사이에서 방전하기 쉬운 종류의 피검사 시료인 경우는 전극의 전압을 변화시켜 피검사 시료의 하전입자의 조사면에 있어서의 전계강도를 더욱 약하게 함으로써 방전을 방지할 수 있다.
또 반도체 웨이퍼의 비어의 유무에 의하여 전극에 인가하는 전압을 변경하는, 즉 반도체 웨이퍼의 전자선의 조사면에 있어서의 전계강도를 약하게 하기 위한 전압을 변경할 수 있다.
예를 들면 피검사 시료가 대물렌즈와의 사이에서 방전하기 쉬운 종류의 피검사 시료인 경우는 전극에 의한 전계를 변화시켜 피검사 시료의 하전입자의 조사면에 있어서의 전계강도를 더욱 약하게 함으로써, 특히 비어나 비어 주변에 있어서의 방전을 방지할 수 있다.
또 비어와 대물렌즈 사이에서의 방전을 방지할 수 있으므로, 반도체 웨이퍼의 패턴 등을 방전 파손하는 일은 없다.
또 전극에 인가하는 전위를 피검사 시료에 인가하는 전하보다도 낮게 하였기때문에 피검사 시료의 하전입자의 조사면에 있어서의 전계강도를 약하게 할 수 있어 피검사 시료에의 방전을 방지할 수 있다.
또 전극에 인가하는 전위를 음전위로 하고, 피검사 시료는 접지하였기 때문에 피검사 시료의 하전입자의 조사면에 있어서의 전계강도를 약하게 할 수 있어 피검사시료에의 방전을 방지할 수 있다.
본원의 제 21 발명은, 제 1 하전입자선과, 제 1 하전입자선과는 대략 반대방향으로 진행하는 제 2 하전입자선이 입사되고, 상기 제 1 하전입자선 또는 제 2 하전입자선을 선택적으로 편향시키는 E ×B 분리기로서,
전계를 발생시키기 위한 전극이, 3쌍 이상의 비자성 도전체 전극으로 구성되고, 대략 원통을 구성하도록 배치되는 것을 특징으로 한다.
본원의 제 22 발명은, 제 21의 발명의 E ×B 분리기에 있어서,
자계를 발생시키는 평행 평판자극을 상기 3쌍 이상의 비자성 도전체 전극이 구성하는 원통의 바깥쪽에 배치하고, 또한 상기 평행 평판전극의 대향면 주변부에 돌기를 형성한 것을 특징으로 한다.
본원의 제 23 발명은, 제 22 발명의 E ×B 분리기에 있어서,
발생한 자계의 자력선의 통로 중, 상기 평행 평판자극 사이 이외의 통로의 대부분은 상기 3쌍 이상의 비자성 도전체 전극이 구성하는 원통과 동축의 원통형상인 것을 특징으로 한다.
본원의 제 24 발명은, 제 22 또는 제 23 발명의 E ×B 분리기에 있어서,
상기 평행 평판자극은, 영구자석인 것을 특징으로 한다.
본원의 제 25 발명은, 제 21 내지 제 24 발명 중 어느 하나의 E ×B 분리기를 사용한 검사장치에 있어서,
상기 제 1 하전입자선 또는 제 2 하전입자선의 한쪽이 피검사 시료에 조사하는 1차 하전입자선이고, 다른쪽이 상기 1차 하전입자선의 조사에 의하여 상기 시료로부터 발생하는 2차 하전입자선인 것을 특징으로 한다.
본원 제 21 내지 제 25 발명에 의하면 다음의 작용효과가 얻어진다.
광축의 둘레에 전계, 자계가 동시에 균일한 영역을 크게 취할 수 있어 하전입자의 조사범위를 넓혀도 E ×B 분리기를 통과시킨 상의 수차를 문제가 없는 값으로 할 수 있다.
또 자계를 형성하는 자극의 주변부에 돌기를 설치함과 동시에, 이 자극을 전계발생용 전극의 바깥쪽에 설치하였기 때문에, 균일한 자계가 발생할 수 있음과 동시에, 자극에 의한 전계의 왜곡을 작게 할 수 있다. 또 영구자석을 사용하여 자계를 발생시키고 있기 때문에, E ×B 분리기 전체를 진공 중에 넣을 수 있다. 다시 전계발생용 전극 및 자로형성용 자기회로를 광축을 중심축으로 하는 동축의 원통형상으로 함으로써 E ×B 분리기 전체를 소형화할 수 있다.
본원의 제 26 발명은, 하전입자 조사부, 렌즈계, 편향기, EXB 필터(빈필터;wien filter), 2차 하전입자 검출기를 가지고, 상기 하전입자 조사부로부터 하전입자를 상기 렌즈계, 편향기, EXB 필터를 거쳐 시료의 피검사영역에 조사하여 시료로부터 생성하는 2차 하전입자를 상기 2차 하전입자 검출기에 상기 렌즈계, 편향기, EXB 필터에 의하여 결상시키고, 그 전기신호를 화상으로서 검사하는 사상투영형 전자선 검사장치에 있어서, 검사 직전의 피검사영역을 미리 하전입자에 의하여 조사하는 하전입자 조사부를 구비하는 것을 특징으로 한다.
본원의 제 27 발명은, 제 26 발명의 장치에 있어서, 상기 하전입자가 전자, 양 또는 음의 이온, 또는 플라즈마인 것을 특징으로 한다.
본원의 제 28 발명은, 제 26 또는 제 27 발명의 장치에 있어서, 상기 하전입자의 에너지가 1OOeV 이하인 것을 특징으로 한다.
본원의 제 29 발명은, 제 26 또는 제 27 발명의 장치에 있어서, 상기 하전입자의 에너지가 30eV 이하인 것을 특징으로 한다.
본원의 제 30 발명은, 장치제조방법에 있어서, 제 26 내지 제 29의 발명 중 어느 하나에 의한 검사장치를 사용하여 장치제조 공정도중의 패턴검사를 행하는 것을 특징으로 한다.
본원 제 26 내지 제 30의 발명에 의하면 다음의 작용효과가 얻어진다.
하전입자조사에 의한 측정의 직전처리에 의하여 대전에 의한 측정화상 왜곡 이 생기지 않거나, 생기더라도 약간이므로 결함을 정확하게 측정할 수 있다.
또 종래에는 사용이 문제로 되어 있던 양의 대전류를 흘려 스테이지를 주사할 수 있으므로, 2차 전자도 다량으로 검출되어 S/N 비가 좋은 검출신호가 얻어져 결함검출의 신뢰성이 향상한다.
또 S/N 비가 크기 때문에, 더욱 빠르게 스테이지를 주사하여도 양호한 화상 데이터를 제작할 수 있어 검사의 스루풋을 크게 할 수 있다.
본원의 제 31 발명은, 빔 발생장치로부터 방출된 하전입자를 대상으로 조사하여 대상으로부터 방출된 2차 하전입자를 검출기를 사용하여 검출하고, 상기 대상의 화상정보의 수집, 대상의 결함의 검사 등을 행하는 촬상장치에 있어서, 상기 대상에 대전한 전하를 균일화 또는 저감화하는 수단을 가지는 것을 특징으로 한다.
본원의 제 32 발명은, 제 31 발명의 촬상장치에 있어서, 상기 수단이 상기 빔발생장치와 상기 대상과의 사이에 배치되어 있어, 상기 대전한 전하를 제어 가능한 전극을 구비하는 것을 특징으로 한다.
본원의 제 33 발명은, 제 31 발명의 촬상장치에 있어서, 상기 수단은 계측 타이밍의 빈 시간에 동작하도록 이루어져 있는 것을 특징으로 한다.
본원의 제 34 발명은, 제 31의 발명의 촬상장치에 있어서, 복수의 하전입자 빔을 상기 대상에 조사하는 적어도 1 이상의 1차 광학계와, 상기 대상으로부터 방출된 전자를 적어도 1 이상의 검출기로 유도하는 적어도 1 이상의 2차 광학계를 가지고, 상기 복수의 1차 하전입자빔은 서로 상기 2차 광학계의 거리 분해능보다 떨어진 위치에 조사되는 것을 특징으로 한다.
본원의 제 35 발명은, 장치제조방법에 있어서, 제 31 내지 제 34 발명의 촬상장치를 사용하여 공정도중 또는 종료후의 웨이퍼의 결함을 검출하는 공정을 포함하는 것을 특징으로 한다.
본원 제 31 내지 제 35 발명에 의하면, 다음과 같은 효과를 가지는 것이 가능하다.
(가) 대전에 의하여 발생하는 화상의 왜곡을 검사대상의 성상에 상관없이 저감할 수 있다.
(나) 종래의 계측 타이밍의 빈 시간을 이용하여 대전의 균일화, 상쇄를 실행하기 때문에 스루풋에 조금도 영향을 미치는 일이 없다.
(다) 실시간으로 처리가 가능하기 때문에 사후 처리의 시간, 메모리 등을 필요로 하지 않는다.
(라) 고속으로 정밀도가 높은 화상의 관측, 결함검출이 가능하다.
본원의 제 36 발명은, 1차 하전입자를 시료에 조사 가능한 하전입자 조사수단과, 1차 하전입자의 조사에 의하여 시료로부터 방출된 2차 하전입자를 사상투영하여 결상시키는 사상 투영수단과, 사상 투영수단에 의하여 결상된 상을 시료의 전자화상으로서 검출하는 검출수단과, 검출수단에 의하여 검출된 전자화상에 의거하여 시료의 결함을 판단하는 결함 판단수단을 포함하고, 적어도 검출수단이 전자화상을 검출하는 기간내에 조사한 1차 하전입자보다 저에너지를 가지는 전자를 시료에 공급하는 것을 특징으로 한다.
제 37 발명에서는 하전입자 조사수단이 시료에 1차 하전입자를 조사하고, 사 상 투영수단이 1차 하전입자의 조사에 의하여 시료로부터 방출된 2차 하전입자를 사상 투영하여 검출수단에 결상시킨다. 2차 하전입자를 방출한 시료는 양전위에 차지업한다. 검출수단은 결상된 상을 시료의 전자화상으로서 검출하고, 결함 판단수단은 검출된 전자화상에 의거하여 상기 시료의 결함을 판단한다. 이 경우에 있어서 적어도 검출수단이 전자화상을 검출하는 기간내에 조사한 1차 하전입자보다 저에너지를 가지는 전자를 시료에 공급한다. 이 저에너지의 전자는, 2차 하전입자의 방출에 의하여 양으로 차지업한 시료를 전기적으로 중화시킨다. 이와 같이 하여 2차 하전입자는 시료의 양전위에 의하여 실질적인 영향을 받는 일 없이 결상되어, 검출수단은 상 장해가 경감된 전자화상을 검출할 수 있다.
1차 하전입자보다 저에너지의 전자로서, 예를 들면 UV 광전자를 사용하는 것이 바람직하다. UV 광전자란, 자외선(UV)을 포함하는 광선이 금속 등의 물질에 조사됨으로써 광전효과에 따라 방출된 전자를 말한다. 또 하전입자 조사수단이란 별개의 저에너지전자의 생성수단, 예를 들면 전자총 등으로 1차 하전입자보다 저에너지의 전자를 생성하여도 좋다.
또한 1차 하전입자의 조사에 의하여 시료로부터 방출되는 전자 중에는 1차 하전입자의 충돌에 의하여 시료 내부의 전자가 표면으로부터 방출되어 생기는 2차 하전입자 외에 1차 하전입자가 시료 표면으로부터 반사되어 생기는 반사전자도 포함되어 있다. 당연히 본 발명의 검출수단으로 검출되는 전자화상에는 이와 같은 반사전자에 의한 기여도 포함되어 있다.
본원의 제 37 발명은, 1차 하전입자를 시료에 조사 가능한 하전입자 조사수 단과, 1차 하전입자의 조사에 의하여 시료로부터 방출된 2차 하전입자를 사상 투영하여 결상시키는 사상 투영수단과, 사상 투영수단에 의하여 결상된 상을 시료의 전자화상으로서 검출하는 검출수단과, 검출수단에 의하여 검출된 전자화상에 의거하여 시료의 결함을 판단하는 결함 판단수단과, 상기 시료에 UV 광전자를 공급 가능한 UV 광전자 공급수단을 더 포함하는 것을 특징으로 한다.
제 37 발명에서는 UV 광전자 공급수단이(또는 UV 광전자 공급에 있어서) 본 발명의 상 장해의 경감이라는 효과를 가질 수 있는 한, 임의의 타이밍, 임의의 기간내에서 저에너지전자를 시료에 공급한다. 예를 들면 1차 하전입자 조사의 실행 전 또는 2차 하전입자 결상의 실행전, 또는 2차 하전입자 결상후에 전자화상 검출전 중 어느 하나의 타이밍에서 UV 광전자의 공급을 개시하여도 좋다. 또 도 24의 형태와 같이 적어도 2차 하전입자 검출의 기간내는 UV 광전자 공급을 계속하여도 좋으나, 전자화상 검출전 또는 검출 중이어도 충분히 시료가 전기적으로 중화되면 UV 광전자를 정지하여도 좋다.
본원의 제 38 발명은, 시료의 결함을 검사하는 결함 검사방법에 있어서, 1차 하전입자를 상기 시료에 조사하는 공정과, 상기 1차 하전입자의 조사에 의하여 상기시료로부터 방출된 2차 하전입자를 사상투영하여 결상시키는 사상 투영공정과, 상기사상 투영공정으로 결상된 상을 상기 시료의 전자화상으로서 검출하는 검출공정과, 상기 검출공정에서 검출된 상기 전자화상에 의거하여, 상기 시료의 결함을 판단하는 결함 판단공정을 포함하여 적어도 상기 검출공정에서 상기 전자화상을 검출하는 기간내에 상기 1차 하전입자보다 저에너지를 가지는 전자를 상기 시료에 공 급하는 것을 특징으로 한다.
본원의 제 39 발명은, 시료의 결함을 검사하는 검사방법에 있어서, 1차 하전입자를 시료에 조사하는 하전입자 조사공정과, 상기 1차 하전입자의 조사에 의하여 상기 시료로부터 방출된 2차 하전입자를 사상투영하여 결상시키는 사상 투영공정과, 상기 사상 투영공정으로 결상된 상을 상기 시료의 전자화상으로서 검출하는 검출공정과, 상기 검출공정에서 검출된 전자화상에 의거하여 상기 시료의 결함을 판단하는 결함 판단공정을 포함하여, 상기 시료에 UV 광전자를 공급하는 UV 광전자 공급공정을 더 포함하는 것을 특징으로 한다.
본원의 제 40 발명은, 반도체제조방법에 있어서, 제 36 또는 제 37 발명의 검사장치를 사용하여 반도체장치의 제조에 필요하게 되는 시료의 결함을 검사하는 공정을 포함하는 것을 특징으로 한다.
본원 제 36 내지 제 40의 발명에 의하면 다음의 작용효과가 얻어진다.
1차 하전입자보다 저에너지를 가지는 전자를 시료에 공급하도록 하였기 때문에 2차 하전입자 방출에 따르는 시료 표면의 양의 차지업이 저감되어, 나아가서는 차지업에 따르는 2차 하전입자의 상 장해를 해소할 수 있어, 더욱 고정밀도로 시료의 결함을 검사하는 것이 가능하게 된다라는 뛰어난 효과가 얻어진다.
또한 장치제조방법에 상기와 같은 결함 검사장치를 사용하여 시료의 결함검사를 행하면, 제품의 수율의 향상 및 결함제품의 출하방지가 도모된다라는 뛰어난 효과가 얻어진다.
본원의 제 41 발명은, XY 스테이지에 시료를 탑재하고, 이 시료를 진공 중에 서 임의의 위치로 이동하여 시료면에 하전입자빔을 조사하는 장치에 있어서,
상기 XY 스테이지에는 정압베어링에 의한 비접촉 지지기구와 차동배기에 의한 진공시일기구를 설치하고,
상기 시료면상의 하전입자빔이 조사되는 개소와, 상기 XY 스테이지의 정압베어링 지지부와의 사이에 컨덕턴스가 작아지는 칸막이를 설치하고,
하전입자빔 조사영역과 정압베어링 지지부와의 사이에 압력차가 생기도록 한 것을 특징으로 한다.
본원의 제 42 발명은, 제 41 발명의 하전입자빔장치에 있어서, 상기 칸막이가 차동 배기구조를 내장하고 있는 것을 특징으로 한다.
본원의 제 43 발명은, 제 41 또는 제 42 발명의 하전입자빔장치에 있어서, 상기 칸막이가 냉각트랩 기능을 가지고 있는 것을 특징으로 한다.
본원의 제 44 발명은, 제 41 내지 제 43 발명 중 어느 하나의 하전입자빔장치에 있어서, 상기 칸막이가 하전입자빔 조사위치의 근방과, 정압베어링 근방의 2개소에 설치되어 있는 것을 특징으로 한다.
본원의 제 45 발명은, 제 41 내지 제 44 발명 중 어느 하나의 하전입자빔장치에 있어서, 상기 XY 스테이지의 정압베어링에 공급되는 가스가 질소 또는 불활성 가스인 것을 특징으로 한다.
본원의 제 46 발명은, 제 41 내지 제 45 발명 중 어느 하나의 하전입자빔장치에 있어서, 상기 XY 스테이지의 적어도 정압베어링에 면하는 부품 표면에 방출가스를 저감하기 위한 표면처리를 실시한 것을 특징으로 한다.
본원의 제 47 발명은, 제 41 내지 제 46 발명 중 어느 하나의 장치를 사용하여 반도체 웨이퍼 표면의 결함을 검사하는 웨이퍼결함 검사장치를 구성한 것을 특징으로 한다.
본원의 제 48 발명은, 제 41 내지 제 46 발명 중 어느 하나의 장치를 사용하여 반도체 웨이퍼 표면 또는 레티클에 반도체장치의 회로패턴을 묘획하는 노광장치를 구성한 것을 특징으로 한다.
본원의 제 49 발명은, 반도체제조방법에 있어서, 제 41 내지 제 48 발명의 장치를 사용하여 반도체를 제조하는 것을 특징으로 한다.
본원 제 41 내지 제 49 발명에 의하면, 다음과 같은 효과를 가지는 것이 가능하다.
(가) 스테이지장치가 진공내에서 고정밀도의 위치결정 성능을 발휘할 수 있고, 또한 하전입자빔 조사위치의 압력이 상승하기 어렵다. 즉 시료에 대한 하전입자빔에 의한 처리를 고정밀도로 행할 수 있다.
(나) 정압베어링 지지부로부터 방출된 가스가 칸막이를 통과하여 하전입자빔 조사영역측으로 통과하는 것을 거의 할 수 없다. 이에 의하여 하전입자빔 조사위치의 진공도를 더욱 안정시킬 수 있다.
(다) 하전입자빔 조사영역측으로 방출가스가 통과하는 것이 곤란하게 되어 하전입자빔 조사영역의 진공도를 안정되게 유지하기 쉬워진다.
(라) 진공챔버 내가 하전입자빔 조사실, 정압베어링실 및 그 중간실의 3실에 작은 컨덕턴스를 거쳐 분할된 형이 된다. 그리고 각각의 실의 압력을 낮은 순으로 하전빔 조사실, 중간실, 정압베어링실이 되도록 진공배기계를 구성한다. 중간실에의 압력변동은 칸막이에 의하여 더욱 낮게 억제되고, 하전입자빔 조사실에의 압력변동은 다른 1단의 칸막이에 의하여 더욱 저감되어 압력변동을 실질적으로 문제없는 레벨까지 저감하는 것이 가능하게 된다.
(마) 스테이지가 이동하였을 때의 압력상승을 낮게 억제하는 것이 가능하게 된다.
(바) 스테이지가 이동하였을 때의 압력상승을 더욱 낮게 억제하는 것이 가능하다.
(사) 스테이지의 위치결정 성능이 고정밀도로, 또한 하전입자빔의 조사영역의 진공도가 안정된 검사장치를 실현할 수 있으므로, 검사성능이 높고, 시료를 오염할 염려가 없는 검사장치를 제공할 수 있다.
(아) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전입자빔 조사영역의 진공도가 안정된 노광장치를 실현할 수 있으므로, 노광 정밀도가 높고, 시료를 오염할 염려가 없는 노광장치를 제공할 수 있다.
(자) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전입자빔 조사영역의 진공도가 안정된 장치에 의하여 반도체를 제조함으로써 미세한 반도체회로를 형성할 수 있다.
본원의 제 50 발명은, 시료의 결함을 검사하는 검사장치 및 방법에 있어서,
상기 시료상에서 부분적으로 겹쳐지면서 서로로부터 변위된 복수의 피검사영역의 화상을 각각 취득하는 화상 취득수단과,
기준화상을 기억하는 기억수단과,
상기 화상 취득수단에 의하여 취득된 복수의 피검사영역의 화상과, 상기 기억수단에 기억된 상기 기준화상을 비교함으로써 상기 시료의 결함을 판단하는 결함판단수단을 포함한 것을 특징으로 한다.
본원의 제 51 발명은, 제 50 발명의 검사장치 및 방법에 있어서, 1차 하전입자선을 상기 복수의 피검사영역에 각각 조사하고, 상기 시료로부터 2차 하전입자선을 방출시키는 하전입자 조사수단을 더 포함하고,
상기 화상 취득수단은 상기 복수의 피검사영역으로부터 방출된 2차 하전입자선을 검출함으로써 상기 복수의 피검사영역의 화상을 순서대로 취득하는 것을 특징으로 한다.
본원의 제 52 발명은, 제 51의 발명의 검사장치 및 방법에 있어서, 상기 하전입자조사수단은 1차 하전입자를 방출하는 입자원과, 1차 하전입자를 편향시키는 편향수단을 구비하고,
상기 입자원으로부터 방출된 1차 하전입자를 상기 편향수단으로 편향시킴으로써 상기 1차 하전입자를 상기 복수의 피검사영역에 차례로 조사하는 것을 특징으로 한다.
본원의 제 53 발명은, 제 50 내지 제 52 발명의 검사장치 및 방법에 있어서, 1차 하전입자선을 시료에 조사하는 1차 광학계와,
2차 하전입자를 검출기로 유도하는 2차 광학계를 가지는 것을 특징으로 한다.
본원의 제 54 발명은, 반도체제조방법에 있어서 제 50 내지 제 53의 발명 중 어느 하나의 검사장치를 사용하여 가공 중 또는 완성품의 웨이퍼의 결함을 검사하는 공정을 포함하는 것을 특징으로 한다.
본원 제 50 내지 제 54 발명에 의하면, 다음의 작용효과가 얻어진다.
시료상에서 부분적으로 겹쳐지면서 서로로부터 변위된 복수의 피검사영역의 화상을 각각 취득하고, 이들 피검사영역의 화상과 기준화상을 비교함으로써 시료의 결함을 검사하도록 하였기 때문에, 피검사 화상과 기준화상의 위치 어긋남에 의한 결함검사 정밀도의 저하를 방지할 수 있다라는 뛰어난 효과가 얻어진다.
또한 본 발명의 장치제조방법에 의하면, 상기와 같은 결함 검사장치를 사용하여 시료의 결함검사를 행하도록 하였기 때문에, 제품의 수율의 향상 및 결함제품의 출하방지가 도모된다는 뛰어난 효과가 얻어진다.
본원의 제 55 발명은, XY 스테이지 위에 탑재된 시료에 하전입자빔을 조사하는 장치에 있어서, 상기 XY 스테이지는 하우징내에 수용되고 또한 정압베어링에 의하여 하우징에 대하여 비접촉으로 지지되어 있고, 상기 스테이지가 수용된 하우징은 진공배기되고, 상기 하전입자빔장치의 상기 시료면상에 하전입자빔을 조사하는 부분의 주위에는 시료면상의 상기 하전빔이 조사되는 영역을 배기하는 차동 배기기구가 설치된 것을 특징으로 한다.
본 발명에 의하면, 진공챔버 내로 새어 나간 정압베어링용 고압가스는 먼저 진공챔버에 접속된 진공배기용 배관에 의하여 배기된다. 그리고 하전입자빔이 조사되는 영역을 배기하는 차동 배기기구를 하전입자빔을 조사하는 부분의 주위에 설치함으로써 하전입자빔 조사영역의 압력을 진공챔버 내의 압력보다 대폭으로 감소시켜 하전입자빔에 의한 시료에의 처리를 문제없게 실시할 수 있는 진공도를 안정되게 달성할 수 있다. 즉, 대기 중에서 일반적으로 사용되는 정압베어링식의 스테이지와 같은 구조를 가진 스테이지(차동 배기기구를 가지지 않는 정압베어링지지의 스테이지)를 사용하여 스테이지상의 시료에 대하여 하전입자빔에 의한 처리를 안정되게 행할 수 있다.
본원의 제 56 발명은, 제 55 발명의 하전입자빔장치에 있어서, 상기 XY 스테이지의 정압베어링에 공급되는 가스는 질소 또는 불활성 가스이고, 상기 질소 또는 불활성 가스는 상기 스테이지를 수납하는 하우징으로부터 배기된 후 가압되어, 다시 상기 정압베어링에 공급되는 것을 특징으로 한다.
본 발명에 의하면 진공의 하우징내의 잔류 가스성분은 고순도의 불활성 가스가 되기 때문에 시료 표면이나 하우징에 의하여 형성되는 진공챔버 내의 구조물의 표면을 수분이나 오일성분 등으로 오염할 염려가 없는 데다가 시료 표면에 불활성 가스분자가 흡착하여도 차동 배기기구 또는 하전입자빔 조사영역의 높은 진공부에 노출되면 신속하게 시료 표면으로부터 이탈하기 때문에 하전입자빔 조사영역의 진공도에 대한 영향을 최소한으로 억제하는 것이 가능하게 되어, 하전입자빔에 의한 시료에의 처리를 안정화시킬 수 있다.
본원의 제 57 발명은, 제 55 또는 제 56 발명의 장치를 사용하여 반도체 웨이퍼 표면의 결함을 검사하는 웨이퍼 결함 검사장치에 있다.
이에 의하여 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전입자빔 조 사영역의 진공도가 안정된 검사장치를 저렴하게 제공할 수 있다.
본원의 58 발명은, 제 55 또는 제 56 발명의 장치를 사용하여 반도체 웨이퍼 표면 또는 레티클에 반도체장치의 회로패턴을 묘획하는 노광장치에 있다.
이에 의하여 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전빔 조사영역의 진공도가 안정된 노광장치를 저렴하게 제공할 수 있다.
본원의 제 59 발명은, 제 55 내지 제 58 발명의 장치를 사용하여 반도체를 제조하는 반도체제조방법에 있다.
스테이지의 위치결정 성능이 고정밀도이고, 또한 하전빔 조사영역의 진공도가 안정된 장치에 의하여 반도체를 제조함으로써 미세한 반도체회로를 형성할 수 있다.
본원 제 55 내지 제 59 발명에 의하면, 다음의 작용효과가 얻어진다.
(가) 대기 중에서 일반적으로 사용되는 정압베어링식의 스테이지와 동일한 구조를 가진 스테이지(차동 배기기구를 가지지 않는 정압베어링 지지의 스테이지)를 사용하여 스테이지상의 시료에 대하여 하전입자빔에 의한 처리를 안정되게 행할 수 있다.
(나) 하전입자빔 조사영역의 진공도에 대한 영향을 최소한으로 억제할 수 있게 되어 하전입자빔에 의한 시료에의 처리를 안정화시킬 수 있다.
(다) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전입자빔의 조사영역의 진공도가 안정된 검사장치를 저렴하게 제공할 수 있다.
(라) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전입자빔 조사영역 의 진공도가 안정된 노광장치를 저렴하게 제공할 수 있다.
(마) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전입자빔 조사영역의 진공도가 안정된 장치에 의하여 반도체를 제조함으로써, 미세한 반도체회로를 형성할 수 있다.
본원 제 60 발명은, 하전입자 또는 전자파 중 어느 하나를 검사대상에 조사하여 상기 검사대상을 검사하는 검사방법에 있어서,
진공분위기로 제어 가능한 검사대상을 검사하는 워킹챔버와,
하전입자 또는 전자파 중 어느 하나를 빔으로서 발생시키는 빔 발생수단과,
상기 빔을 상기 워킹챔버 내에 유지한 검사대상으로 유도하여 조사하고, 검사대상으로부터 발생하는 2차 하전입자를 검출하여 화상처리계로 유도하는 전자 광학계와,
상기 2차 하전입자에 의하여 화상을 형성하는 화상처리계와,
상기 화상처리계의 출력에 의거하여 검사대상의 상태정보를 표시 및/또는 기억하는 정보처리계와,
상기 빔에 대하여 상대 이동 가능하게 검사대상을 유지하는 스테이지장치를 구비하고,
검사대상의 위치를 측정함으로써, 상기 빔을 검사대상 위에 정확하게 위치시켜,
측정된 상기 검사대상의 소망의 위치에 상기 빔을 편향시키고,
상기 검사대상 표면의 상기 소망위치를 상기 빔으로 조사하고,
상기 검사대상으로부터 생기는 2차 하전입자를 검출하여 상기 2차 하전입자에 의하여 화상을 형성하고,
상기 화상처리계의 출력에 의거하여 검사대상의 상태정보를 표시 및/또는 기억하는 것을 특징으로 한다.
도 1은 본 발명에 의한 검사장치의 주요 구성요소를 나타내는 입면도로서, 도 2의 선 A-A를 따라 본 도,
도 2a는, 도 1에 나타내는 검사장치의 주요 구성요소의 평면도로서, 도 1의 선 B-B를 따라 본 도,
도 2b는 본 발명에 있어서의 기판반입장치의 다른 실시예를 나타내는 개략 단면도,
도 3은 도 1의 미니 엔바이로먼트장치를 나타내는 단면도로서, 선 C-C를 따라 본 도,
도 4는 도 1의 로더 하우징을 나타내는 도면으로서, 도 2의 선 D-D를 따라 본 도,
도 5는 웨이퍼 랙의 확대도로서, [a]는 측면도, [b]는 [a]의 선 E-E를 따라 본 단면도,
도 6은 주 하우징의 지지방법의 변형예를 나타내는 도,
도 7은 주 하우징의 지지방법의 변형예를 나타내는 도,
도 8은 도 1의 검사장치의 전자 광학장치의 개략구성을 나타내는 모식도,
도 9는 EXB 분리기의 전자빔 편향부의 구조를 나타내는 단면도,
도 10은 도 9의 A-A 선을 따르는 단면도,
도 11은 본 발명의 실시예 장치를 설명하기 위한 전체구성도,
도 12는 전극의 사시도로서, 전극이 축대칭으로 원통형상인 경우를 나타내는 사시도,
도 13은 전극의 사시도로서, 전극이 축대칭으로 원반형상인 경우를 나타내는 사시도,
도 14는 웨이퍼와 대물렌즈 사이의 전압분포를 나타내는 그래프,
도 15는 전자선장치의 2차 전자 검출동작을 나타내는 플로우차트,
도 16은 본 발명의 E ×B 분리기의 단면도,
도 17은 본 발명의 E ×B 분리기의 전계분포를 나타내는 도,
도 18은 본 발명에 의한 프리차지유닛의 일 실시형태의 주요부를 나타내는 개략 구성도,
도 19는 프리차지유닛의 다른 실시형태를 나타내는 개략 구성도,
도 20은 프리차지유닛의 또 다른 실시형태를 나타내는 개략 구성도,
도 21은 프리차지유닛의 또 다른 실시형태를 나타내는 개략 구성도,
도 22는 본 발명에 의한 촬상장치의 하나의 실시형태의 모식도,
도 23은 도 22의 촬상장치의 대상에 대전한 전하를 균일화 또는 저감하는 동작타임을 나타내는 도,
도 24는 본 발명의 다른 실시형태에 관한 프리차지유닛을 구비한 결함 검사 장치의 개략 구성도,
도 25는 본 발명의 다른 실시형태에 관한 프리차지유닛을 구비한 결함 검사장치의 개략 구성도,
도 26은 본 발명의 또 다른 실시형태에 관한 프리차지유닛을 구비한 결함 검사장치의 개략 구성도,
도 27은 도 24 내지 도 26의 실시형태에 관한 결함 검사장치의 웨이퍼검사의 흐름을 나타내는 플로우차트,
도 28은 도 24 내지 도 26의 실시형태에 관한 결함 검사장치에 있어서의 웨이퍼의 결함 검출방법의 구체예를 설명하기 위한 도면으로, (a)는 패턴결함 검출, (b)는 선폭 측정, (c)는 전위 콘트라스트측정을 각각 나타내는 도,
도 29는 전위 인가기구를 나타내는 도,
도 30은 전자빔 캘리브레이션기구를 설명하는 도면으로, [a]는 측면도이고, [b]는 평면도,
도 31은 웨이퍼의 얼라인먼트제어장치의 개략 설명도,
도 32는 본 발명의 하전빔장치의 일 실시형태의 진공챔버 및 XY 스테이지를 나타내는 도면으로서, [a]가 정면도이고, [b]가 측면도,
도 33은 본 발명의 하전빔장치의 다른 실시형태의 진공챔버 및 XY 스테이지를 나타내는 도,
도 34는 본 발명의 하전빔장치의 다른 실시형태의 진공챔버 및 XY 스테이지를 나타내는 도,
도 35는 본 발명의 하전빔장치의 또 다른 실시형태의 진공챔버 및 XY 스테이지를 나타내는 도,
도 36은 본 발명의 하전빔장치의 또 다른 실시형태의 진공챔버 및 XY 스테이지를 나타내는 도,
도 37은 본 발명의 하전빔장치의 일 실시형태의 진공챔버 및 XY 스테이지를 나타내는 도,
도 38은 도 37에 나타낸 장치에 설치된 작동 배기기구의 일례를 나타내는 도,
도 39는 도 37에 나타낸 장치의 가스의 순환배관계를 나타내는 도,
도 40은 거울통에 설치되는 광학계 및 검출계의 일례를 나타내는 개략도,
도 41은 본 발명의 변형예에 의한 결함 검사장치의 개략구성도,
도 42는 도 41의 결함 검사장치에서 취득되는 복수의 피검사 화상 및 기준화상의 예를 나타내는 도,
도 43은 도 41의 결함 검사장치에 있어서의 웨이퍼검사의 메인루틴의 흐름을 나타내는 플로우차트,
도 44는 도 43에 있어서의 복수의 피검사 화상 데이터 취득공정(단계 1304)의 서브루틴의 상세한 흐름을 나타내는 플로우차트,
도 45는 도 43에 있어서의 비교공정(단계 1308)의 서브루틴의 상세한 흐름을 나타내는 플로우차트,
도 46은 도 41의 결함 검사장치의 검출기의 구체적 구성예를 나타내는 도,
도 47은 반도체 웨이퍼의 표면상에서 부분적으로 겹쳐지면서 서로로부터 위치가 어긋난 복수의 피검사영역을 개념적으로 나타내는 도,
도 48a는 본 발명의 다른 실시형태에 의한 전자선장치의 개략도,
도 48b는 도 48a의 실시형태에 있어서의 복수의 1차 전자선으로 시료를 주사하는 형태를 나타내는 개략 평면도,
도 49a는 도 48a의 실시형태의 더욱 상세한 설명도,
도 49b는 상기 실시형태에 있어서의 1차 전자선의 조사방법을 설명하기 위한 도,
도 50은 본 발명에 의한 반도체장치의 제조방법의 일 실시예를 나타내는 플로우차트,
도 51은 도 50의 웨이퍼 프로세싱공정의 중핵을 이루는 리소그래피공정을 나타내는 플로우차트,
도 52는 사상투영형 전자선 검사장치의 일례의 개략구성도,
도 53은 직사각형 영역으로부터 방출되는 2차 전자의 움직임을 나타내는 도,
도 54는 종래의 E ×B 분리기의 전계분포를 나타내는 도,
도 55는 종래의 하전빔장치의 진공챔버 및 XY 스테이지를 나타내는 도면으로서, [a]가 정면도이고, [b]가 측면도,
도 56은 도 1의 XY 스테이지에 사용되고 있는 정압베어링과 차동 배기기구와의 관계를 나타내는 도면이다.
이하, 도면을 참조하여 본 발명의 바람직한 실시형태에 대하여 검사대상으로 서 표면에 패턴이 형성된 기판, 즉 웨이퍼를 검사하는 반도체검사장치로서 설명한다.
도 1 및 도 2a에 있어서, 본 실시형태의 반도체검사장치(1)의 주요 구성요소가 입면 및 평면으로 표시되어 있다.
본 실시형태의 반도체검사장치(1)는 복수매의 웨이퍼를 수납한 카세트를 유지하는 카세트 홀더(10)와, 미니 엔바이로먼트장치(20)와, 워킹챔버를 구획 형성하는 주 하우징(30)과, 미니 엔바이로먼트장치(20)와 주 하우징(30) 사이에 배치되어 있어, 2개의 로딩챔버를 구획 형성하는 로더 하우징(40)과, 웨이퍼를 카세트 홀더 (10)로부터 주 하우징(30)내에 배치된 스테이지장치(50)상에 장전하는 로더(60)와, 진공 하우징에 설치된 전자 광학장치(70)를 구비하고, 그들은 도 1 및 도 2a에 나타내는 바와 같은 위치관계로 배치되어 있다. 반도체검사장치(1)는 다시 진공의 주 하우징(30)내에 배치된 프리 차지 유닛(81)과, 웨이퍼에 전위를 인가하는 전위 인가기구(83)(도 29에 도시)와, 전자빔 캘리브레이션기구(85)(도 30에 도시)와, 스테이지장치상에서의 웨이퍼의 위치결정을 행하기 위한 얼라인먼트제어장치(87)를 구성하는 광학현미경(871)을 구비하고 있다.
카세트 홀더
카세트 홀더(10)는 복수매(예를 들면 25매)의 웨이퍼가 상하방향으로 평행하에 나열된 상태로 수납된 카세트(c)(예를 들면 어시스트사 제품의 SMIF, FOUP와 같은 클로즈카세트)를 복수개(이 실시형태에서는 2개) 유지하도록 되어 있다. 이 카 세트 홀더로서는 카세트를 로봇 등에 의하여 반송하여 와서 자동적으로 카세트 홀더 (10)에 장전하는 경우에는 그것에 적합한 구조의 것을, 또 사람 손에 의하여 장전하는 경우에는 그것에 적합한 오픈 카세트구조의 것을 각각 임의로 선택하여 설치할 수 있게 되어 있다. 카세트 홀더(10)는 이 실시형태에서는 자동적으로 카세트(c)가 장전되는 형식이고, 예를 들면 승강 테이블(11)과, 그 승강 테이블(11)을 상하 이동시키는 승강기구(12)를 구비하고, 카세트(c)는 승강 테이블상에 도 2a에서 쇄선으로 나타낸 상태로 자동적으로 세트 가능하게 되어 있어, 세트후 도 2a에서 실선으로 나타낸 상태로 자동적으로 회전되어 미니 엔바이로먼트장치내의 제 1 반송 유닛의 회동 축선을 향해지게 된다. 또 승강 테이블(11)은 도 1에서 쇄선으로 나타낸 상태로 강하된다. 이와 같이 자동적으로 장전하는 경우에 사용하는 카세트 홀더, 또는 사람 손에 의하여 장전하는 경우에 사용하는 카세트 홀더는 모두 공지의 구조의 것을 적절하게 사용하면 좋기 때문에 그 구조 및 기능의 상세한 설명은 생략한다.
다른 실시형태에서는 도 2b에 나타내는 바와 같이, 복수의 300mm 기판을 박스본체(501)의 안쪽에 고정한 홈형 포켓(기재 생략)에 수납한 상태로 수용하여 반송, 보관 등을 행하는 것이다. 이 기판 반송박스(24)는 각진 통형상의 박스본체(501)와 기판 반출입 도어 자동 개폐장치에 연락되어 박스본체(501) 측면의 개구부를 기계에 의하여 개폐 가능한 기판 반출입 도어(502)와, 개구부와 반대측에 위치하여 필터류 및 팬모터의 착탈을 행하기 위한 개구부를 덮는 덮개(503)와, 기판(W)을 유지하기 위한 홈형 포켓(도시 생략), ULPA 필터(505), 케미컬 필터(506), 팬모터(507)로 구성되어 있다. 이 실시형태에서는 로더(60)의 로봇식의 제 1 반송 유닛(612)에 의하여 기판을 출입시킨다.
또한 카세트(c)내에 수납되는 기판, 즉 웨이퍼는 검사를 받는 웨이퍼이고, 그와 같은 검사는 반도체제조공정 중에서 웨이퍼를 처리하는 공정후, 또는 공정의 도중에 행하여진다. 구체적으로는 성막공정, CMP, 이온주입 등을 받은 기판, 즉 웨이퍼 표면에 배선패턴이 형성된 웨이퍼, 또는 배선패턴이 아직도 형성되어 있지 않은 웨이퍼가 카세트내에 수납된다. 카세트(c)내에 수용되는 웨이퍼는 다수매 상하방향으로 사이를 두고, 또한 평행하게 나열하여 배치되어 있기 때문에, 임의의 위치의 웨이퍼와 뒤에서 설명하는 제 1 반송유닛으로 유지할 수 있도록 제 1 반송유닛의 아암을 상하 이동할 수 있게 되어 있다.
미니 엔바이로먼트장치
도 1 내지 도 3에 있어서, 미니 엔바이로먼트장치(20)는 분위기 제어되도록 되어 있는 미니 엔바이로먼트 공간(21)을 구획 형성하는 하우징(22)과, 미니 엔바이로먼트 공간(21)내에서 청정공기와 같은 기체를 순환하여 분위기 제어하기 위한 기체순환장치(23)와, 미니 엔바이로먼트 공간(21)내에 공급된 공기의 일부를 회수하여 배출하는 배출장치(24)와, 미니 엔바이로먼트 공간(21)내에 배치되어 있어, 검사대상으로서의 기판, 즉 웨이퍼를 대략 위치결정하는 프리얼라이너(25)를 구비하고 있다.
하우징(22)은 정점벽(221), 바닥벽(222) 및 4 둘레를 둘러싸는 둘레벽(223)을 가지고 있어, 미니 엔바이로먼트 공간(21)을 외부로부터 차단하는 구조로 되어 있다. 미니 엔바이로먼트 공간을 분위기 제어하기 위하여 기체 순환장치(23)는 도 3에 나타내는 바와 같이 미니 엔바이로먼트 공간(21)내에 있어서 정점벽(221)에 설치되어 있어, 기체(이 실시형태에서는 공기)를 청정하게 하여 하나 또는 그 이상의 기체 분출구(도시 생략)를 통하여 청정공기를 바로 밑을 향하여 층류형상으로 흘리는 기체공급유닛(231)과, 미니 엔바이로먼트 공간내에 있어서 바닥벽(222)의 위에 배치되어 있어, 바닥을 향하여 흘러 내린 공기를 회수하는 회수덕트(232)와, 회수 덕트 (232)와 기체공급유닛(231)을 접속하여 회수된 공기를 기체공급유닛(231)으로 되돌리는 도관(233)을 구비하고 있다. 이 실시형태에서는 기체공급유닛(231)은 공급하는 공기의 약 20%를 하우징(22)의 외부로부터 도입하여 청정하게 하도록 되어 있으나, 이 외부로부터 도입되는 기체의 비율은 임의로 선택 가능하다. 기체공급유닛 (231)은 청정공기를 만들어 내기 위한 공지의 구조의 HEPA 또는 ULPA 필터를 구비하고 있다. 청정공기의 층류형상의 아래방향의 흐름, 즉 다운플로우는 주로 미니 엔바이로먼트 공간(21)내에 배치된 뒤에서 설명하는 제 1 반송유닛에 의한 반송면을 통하여 흐르도록 공급되고, 반송유닛에 의하여 발생할 염려가 있는 먼지가 웨이퍼에 부착되는 것을 방지하도록 되어 있다. 따라서 다운플로우의 분출구는 반드시 도시한 바와 같이 정점벽에 가까운 위치일 필요는 없고, 반송유닛에 의한 반송면보다 위쪽에 있으면 좋다. 또 미니 엔바이로먼트 공간 전면에 걸쳐 흘릴 필요도 없다. 또한 경우에 따라서는 청정공기로서 이온바람을 사용함으로써 청정도를 확보할 수 있다. 또 미니 엔바이로먼트 공간내에는 청정도를 관찰하기 위한 센서를 설치하여 청정도가 악화되었을 때에 장치를 정지할 수도 있다. 하우징 (22)의 둘레벽(223) 중 카세트 홀더(10)에 인접하는 부분에는 출입구(225)가 형성되어 있다. 출입구(225) 근방에는 공지의 구조의 셔터장치를 설치하여 출입구(225)를 미니 엔바이로먼트장치측으로부터 폐쇄하도록 하여도 좋다. 웨이퍼 근방에서 만드는 층류의 다운플로우는 예를 들면 0.3 내지 0.4 m/sec의 유속으로 좋다. 기체공급 유닛은 미니 엔바이로먼트 공간내가 아니라 그 바깥쪽에 설치하여도 좋다.
배출장치(24)는 상기 반송유닛의 웨이퍼반송면보다 아래쪽의 위치에서 반송 유닛의 하부에 배치된 흡입덕트(241)와, 하우징(22)의 바깥쪽에 배치된 블로워 (242)와, 흡입덕트(241)와 블로워(242)를 접속하는 도관(243)을 구비하고 있다. 이 배출장치(24)는 반송유닛의 주위를 흘러 내려 반송유닛에 의하여 발생할 가능성이 있는 먼지를 포함한 기체를 흡입덕트(241)에 의하여 흡인하여 도관(243, 244) 및 블로워(242)를 거쳐 하우징(22)의 바깥쪽으로 배출한다. 이 경우 하우징(22)의 가까이에 배치된 배기관(도시 생략)내로 배출하여도 좋다.
미니 엔바이로먼트 공간(21)내에 배치된 얼라이너(25)는 웨이퍼에 형성된 오리엔테이션 플랫(원형의 웨이퍼의 바깥 둘레에 형성된 평탄부분이라 함)이나, 웨이퍼의 바깥 둘레 가장자리에 형성된 하나 또는 그 이상의 V형의 노치, 즉 노치를 광학적으로 또는 기계적으로 검출하여 웨이퍼의 축선(0-0)의 둘레의 회전방향의 위치를 약 ±1도의 정밀도로 미리 위치 결정하여 두도록 되어 있다. 프리얼라이너는 청구항에 기재된 발명의 검사대상의 좌표를 정하는 기구의 일부를 구성하고, 검사대상의 대략 위치결정을 담당한다. 이 프리얼라아너 자체는 공지의 구조의 것이면 좋으므로, 그 구조, 동작의 설명은 생략한다.
또한 도시 생략하였으나, 프리얼라이너의 하부에도 배출장치용 회수덕트를 설치하여, 프리얼라이너로부터 배출된 먼지를 포함한 공기를 외부로 배출하도록 하여도 좋다.
주 하우징
도 1 및 도 2에 있어서, 워킹챔버(31)를 구획 형성하는 주 하우징(30)은, 하우징 본체(32)를 구비하고, 그 하우징 본체(32)는 베이스 프레임(36)상에 배치된 진동 차단장치, 즉 방진장치(37) 위에 탑재된 하우징 지지장치(33)에 의하여 지지되어 있다. 하우징 지지장치(33)는 직사각형으로 찌여진 프레임 구조체(331)를 구비하고 있다. 하우징 본체(32)는 프레임 구조체(331) 위에 배치 고정되어 있어, 프레임 구조체 위에 탑재된 바닥벽(321)과, 정점벽(322)과, 바닥벽(321) 및 정점벽(322)에 접속되어 4 둘레를 둘러싸는 둘레벽(323)을 구비하고 있어 워킹챔버(31)를 외부로부터 격리하고 있다. 바닥벽(321)은 이 실시형태에서는 위에 탑재되는 스테이지장치 등의 기기에 의한 가중으로 왜곡이 발생하지 않도록 비교적 두께가 두꺼운 강판으로 구성되어 있으나, 그 밖의 구조로 하여도 좋다. 이 실시형태에 있어서 하우징본체 및 하우징 지지장치(33)는 강구조로 조립되어 있어, 베이스 프레임(36)이 설치되어 있는 바닥으로부터의 진동이 이 강구조에 전달되는 것을 방진장치(37)로 저지하도록 되어 있다. 하우징 본체(32)의 둘레벽(323) 중 뒤에서 설명하는 로더 하우징에 인접하는 둘레벽에는 웨이퍼 출납용 출입구(325)가 형성되어 있다.
또한 방진장치는 공기스프링, 자기베어링 등을 가지는 능동식의 것이어도, 또는 이들을 가지는 수동식의 것이어도 좋다. 어느 것이나 공지의 구조의 것이어도 좋으므로, 그 자체의 구조 및 기능의 설명은 생략한다. 워킹챔버(31)는 공지의 구조의 진공장치(도시 생략)에 의하여 진공분위기로 유지되도록 되어 있다. 베이스 프레임(36)의 밑에는 장치 전체의 동작을 제어하는 제어장치(2)가 배치되어 있다.
로더 하우징
도 1, 도 2 및 도 4에 있어서, 로더 하우징(40)은 제 1 로딩챔버(41)와 제 2 로딩챔버(42)를 구획 형성하는 하우징 본체(43)를 구비하고 있다. 하우징 본체 (43)는 바닥벽(431)과, 정점벽(432)과, 4 둘레를 둘러 싸는 둘레벽(433)과, 제 1 로딩챔버(41)와 제 2 로딩챔버(42)를 칸막이하는 칸막이벽(434)을 가지고 있어, 양 로딩챔버를 외부로부터 격리할 수 있게 되어 있다. 칸막이벽(434)에는 양 로딩챔버 사이에서 웨이퍼의 수수를 행하기 위한 개구, 즉 출입구(435)가 형성되어 있다. 또 둘레벽(433)의 미니 엔바이로먼트장치 및 주 하우징에 인접한 부분에는 출입구(436 및 437)가 형성되어 있다. 이 로더 하우징(40)의 하우징 본체(43)는 하우징 지지장치(33)의 프레임 구조체(331)상에 탑재되고, 그것에 의하여 지지되어 있다. 따라서 이 로더 하우징(40)에도 바닥의 진동이 전달되지 않도록 되어 있다. 로더 하우징(40)의 출입구(436)와 미니 엔바이로먼트장치의 하우징(22)의 출입구 (226)는 정합되어 있어, 그곳에는 미니 엔바이로먼트 공간(21)과 제 1 로딩챔버 (41)와의 연통을 선택적으로 저지하는 셔터장치(27)가 설치되어 있다. 셔터장치 (27)는 출입구 (226 및 436)의 둘레를 둘러 싸고 측벽(433)과 기밀하게 접촉하여 고정된 시일재 (271), 시일재(271)와 협동하여 출입구를 거친 공기의 유통을 저지하는 도어(272)와, 그 도어를 움직이는 구동장치(273)를 가지고 있다. 또 로더 하우징(40)의 출입구(437)와 하우징 본체(32)의 출입구(325)는 정합되어 있어, 거기에는 제 2 로딩챔버(42)와 워킹챔버(31)의 연통을 선택적으로 밀봉 저지하는 셔터장치(45)가 설치되어 있다. 셔터장치(45)는 출입구(437 및 325)의 주위를 둘러 싸고 측벽(433 및 323)과 기밀하게 접촉하여 그것들에 고정된 시일재(451), 시일재(451)와 협동하여 출입구를 거친 공기의 유통을 저지하는 도어(452)와, 그 도어를 움직이는 구동장치 (453)를 가지고 있다. 다시 칸막이벽(434)에 형성된 개구에는 도어 (461)에 의하여 그것을 폐쇄하여 제 1 및 제 2 로딩챔버 사이의 연통을 선택적으로 밀봉저지하는 셔터장치(46)가 설치되어 있다. 이들 셔터장치(27, 45 및 46)는 폐쇄상태에 있을 때에 각 챔버를 기밀하게 시일할 수 있도록 되어 있다. 이들 셔터장치는 공지의 것으로 좋으므로, 그 구조 및 동작의 상세한 설명은 생략한다. 또한 미니 엔바이로먼트장치(20)의 하우징(22)의 지지방법과 로더 하우징의 지지방법이 다르고, 미니 엔바이로먼트장치를 거쳐 바닥으로부터의 진동이 로더 하우징(40), 주 하우징(30)에 전달되는 것을 방지하기 위하여 하우징(22)과 로더 하우징(40)과의 사이에는 출입구의 둘레를 기밀하게 둘러싸도록 방진용 쿠션재를 배치하여 두면 좋다.
제 1 로딩챔버(41)내에는 복수(이 실시형태에서는 2매)의 웨이퍼를 상하에 사이를 두고 수평의 상태로 지지하는 웨이퍼랙(47)이 배치되어 있다. 웨이퍼랙(47)은 도 5가 나타내는 바와 같이 직사각형의 기판(471)의 네 모서리에 서로 사이를 두고 직립상태로 고정된 지주(472)를 구비하고, 각 지주(472)에는 각각 2단의 지지부(473 및 474)가 형성되고, 그 지지부의 위에 웨이퍼(W)의 둘레 가장자리를 올려 유지하도록 되어 있다. 그리고 뒤에서 설명하는 제 1 및 제 2 반송유닛의 아암의 선단을 인접하는 지주 사이로부터 웨이퍼에 접근시켜 아암에 의하여 웨이퍼를 파지하도록 되어 있다.
로딩챔버(41 및 42)는 도시 생략한 진공펌프를 포함하는 공지의 구조의 진공배기장치(도시 생략)에 의하여 높은 진공상태(진공도로서는 1O-5 내지 1O-6Pa)로 분위기 제어될 수 있도록 되어 있다. 이 경우 제 1 로딩챔버(41)를 저진공챔버로 하여 낮은 진공분위기로 유지하고, 제 2 로딩챔버(42)를 고진공챔버로 하여 높은 진공분위기로 유지하여 웨이퍼의 오염방지를 효과적으로 행할 수도 있다. 이와 같은 구조를 채용함으로써 로딩챔버 내에 수용되어 있어, 다음에 결함 검사되는 웨이퍼를 워킹챔버 내에 지체없이 반송할 수 있다. 이와 같은 로딩챔버를 채용함으로써 뒤에서 설명하는 멀티빔형 전자장치 원리와 함께 결함검사의 스루풋을 향상시켜, 더욱 보관상태가 높은 진공상태일 것을 요구하는 전자원 주변의 진공도를 가능한 한 높은 진공도상태로 할 수 있다.
제 1 및 제 2 로딩챔버(41 및 42)는 각각 진공배기배관과 불활성 가스(예를 들면 건조 순질소)용 밴트배관(각각 도시 생략)이 접속되어 있다. 이에 의하여 각 로딩챔버 내의 대기압상태는 불활성 가스빼기(불활성 가스를 주입하여 불활성 가스이외의 산소가스 등이 표면에 부착하는 것을 방지함)에 의하여 달성된다. 이와 같은 불활성 가스빼기를 행하는 장치 자체는 공지의 구조의 것으로 좋으므로, 그 상세한 설명은 생략한다.
또한 전자선을 사용하는 본 발명의 검사장치에 있어서, 뒤에서 설명하는 전자 광학계의 전자원으로서 사용되는 대표적인 6붕화란탄(LaB6) 등은 한번 열전자를 방출하는 정도까지 고온상태로 가열된 경우에는 산소 등에 가능한 한 접촉시키지 않는 것이 그 수명을 단축하지 않으므로 긴요하나, 전자 광학계가 배치되어 있는 워킹챔버에 웨이퍼를 반입하는 전단층에서 상기와 같은 분위기제어를 행함으로써 더욱 확실하게 실행할 수 있다.
스테이지장치
스테이지장치(50)는 주 하우징(30)의 바닥벽(321) 위에 배치된 고정 테이블 (51)과, 고정 테이블 위에서 Y 방향(도 1에 있어서 지면에 수직인 방향)으로 이동하는 Y 테이블(52)과, Y 테이블 위에서 X 방향(도 1에 있어서 좌우방향)으로 이동하는 X 테이블(53)과, X 테이블 위에서 회전 가능한 회전 테이블(54)과, 회전 테이블 (54) 위에 배치된 홀더(55)를 구비하고 있다. 그 홀더(55)의 웨이퍼 탑재면(551) 위에 웨이퍼를 해방 가능하게 유지한다. 홀더는 웨이퍼를 기계적으로 또는 정전 척방식으로 해방 가능하게 파지할 수 있는 공지의 구조의 것으로 좋다. 스테이지장치 (50)는 서보모터, 인코더 및 각종 센서(도시 생략)를 사용하여 상기와 같은 복수의 테이블을 동작시킴으로써 탑재면(551) 위에서 홀더에 유지된 웨이퍼를 전자 광학장치로부터 조사되는 전자빔에 대하여 X 방향, Y 방향 및 Z 방향(도 1에 있어서 상하방향)으로, 다시 웨이퍼의 지지면에 연직인 축선의 둘레방향(θ방향)으로 고정밀도로 위치 결정할 수 있게 되어 있다. 또한 Z 방향의 위치결정은 예를 들면 홀더상의 탑재면의 위치를 Z 방향으로 미세 조정 가능하게 하여 두면 좋다. 이 경우 탑재면의 기준위치를 미세 지름 레이저에 의한 위치 측정장치(간섭계의 원리를 사용한 레이저 간섭 거리측정장치)에 의하여 검지하여, 그 위치를 도시 생략한 피드백회로에 의하여 제어하거나, 그것과 함께 또는 그것 대신에 웨이퍼의 노치 또는 오리엔테이션 플랫의 위치를 측정하여 웨이퍼의 전자빔에 대한 평면위치, 회전위치를 검지하여, 회전 테이블을 미소각도 제어 가능한 스텝핑모터 등에 의하여 회전시켜 제어한다. 워킹챔버 내에서의 먼지의 발생을 극력 방지하기 위하여 스테이지장치용 서보모터(521, 531) 및 인코더(522, 532)는 주 하우징(30)의 바깥쪽에 배치되어 있다. 또한 스테이지장치(50)는 예를 들면 스테퍼 등에서 사용되고 있는 공지의 구조의 것으로 좋으므로, 그 구조 및 동작의 상세한 설명은 생략한다. 또 상기 레이저 간섭 거리측정장치도 공지의 구조의 것으로 좋으므로, 그 구조, 동작의 상세한 설명은 생략한다.
전자빔에 대한 웨이퍼의 회전위치나 X, Y 위치를 미리 뒤에서 설명하는 신호검출계 또는 화상처리계에 입력함으로써 얻어지는 신호의 기준화를 도모할 수도 있다. 다시 이 홀더에 설치된 웨이퍼 척기구는 웨이퍼를 고정하기 위한 전압을 정전척의 전극에 인가하도록 되어 있어, 웨이퍼의 바깥 둘레부의 3점(바람직하게는 둘레방향으로 등간격으로 사이를 둔)을 눌러 위치 결정하도록 되어 있다. 웨이퍼 척기구는 2개의 고정 위치결정 핀, 1개의 가압식 크랭크핀을 구비하고 있다. 클램프 핀은 자동 척 및 자동 릴리스를 실현할 수 있게 되어 있고, 또한 전압인가의 도통개소를 구성하고 있다.
또한 이 실시형태에서는 도 2에서 좌우방향으로 이동하는 테이블을 X 테이블로 하고, 상하방향으로 이동하는 테이블을 Y 테이블로 하였으나, 도 2에서 좌우방향으로 이동하는 테이블을 Y 테이블로 하고, 상하방향으로 이동하는 테이블을 X 테이블로 하여도 좋다.
로더
로더(60)는 미니 엔바이로먼트장치(20)의 하우징(22)내에 배치된 로봇식 제 1 반송유닛(61)과, 제 2 로딩챔버(42)내에 배치된 로봇식 제 2 반송유닛(63)을 구비하고 있다.
제 1 반송유닛(61)은 구동부(611)에 관하여 축선(O1-O1)의 둘레에서 회전 가능하게 되어 있는 다관절 아암(612)을 가지고 있다. 다관절 아암으로서는 임의의 구조의 것을 사용할 수 있으나, 이 실시형태에서는 서로 회동 가능하게 설치된 3개의 부분을 가지고 있다. 제 1 반송유닛(61)의 아암(612)의 하나의 부분, 즉 가장 구동부(611)측의 제 1 부분은, 구동부(611)내에 설치된 공지의 구조의 구동기구(도시 생략)에 의하여 회전 가능한 축(613)에 설치되어 있다. 아암(612)은 축(613)에 의하여 축선(O1-O1)의 둘레에서 회동할 수 있음과 동시에, 부분 사이의 상대회전에 의하여 전체로서 축선(O1-01)에 관하여 반경방향으로 신축 가능하게 되어 있다. 아암(612)의 축(613)으로부터 가장 떨어진 제 3 부분의 선단에는 공지의 구조의 기계 식 척 또는 정전 척 등의 웨이퍼를 파지하는 파지장치(616)가 설치되어 있다. 구동부(611)는 공지의 구조의 승강기구(615)에 의하여 상하방향으로 이동 가능하게 되어 있다.
이 제 1 반송유닛(61)은 아암(612)이 카세트 홀더에 유지된 2개의 카세트(c) 중 어느 한쪽의 방향(M1 또는 M2)을 향하여 아암이 신장하여, 카세트(c)내에 수용된 웨이퍼를 1매 아암의 위에 탑재 또는 아암의 선단에 설치한 척(도시 생략)에 의하여 파지하여 인출한다. 그 후 아암이 줄어 들고(도 2에 나타내는 바와 같은 상태), 아암이 프리얼라이너(25)의 방향(M3)을 향하여 신장할 수 있는 위치까지 회전하여 그 위치에서 정지한다. 그러면 아암이 다시 신장하여 아암에 유지된 웨이퍼를 프리얼라이너(25)에 탑재한다. 프리얼라이너로부터 상기와 반대로 하여 웨이퍼를 수취한 후는 아암은 다시 회전하여 제 2 로딩챔버(41)를 향하여 신장할 수 있는 위치(방향 M4)에서 정지하여 제 2 로딩챔버(41)내의 웨이퍼받이(47)에 웨이퍼를 수수한다. 또한 기계적으로 웨이퍼를 파지하는 경우에는 웨이퍼의 둘레 가장자리부(둘레 가장자리로부터 약 5mm의 범위)를 파지한다. 이것은 웨이퍼에는 둘레 가장자리부를 제외하고 전면에 장치(회로배선)가 형성되어 있어, 이 부분을 파지하면 장치의 파괴, 결함을 발생시키기 때문이다.
제 2 반송유닛(63)도 제 1 반송유닛과 구조가 기본적으로 동일하고, 웨이퍼의 반송을 웨이퍼랙(47)과 스테이지장치의 탑재면상과의 사이에서 행하는 점에서만 상위할 뿐이므로, 상세한 설명은 생략한다.
상기 로더(60)에서는 제 1 및 제 2 반송유닛(61 및 63)은 카세트 홀더에 유지된 카세트로부터 워킹챔버(31)내에 배치된 스테이지장치(50)상에의 및 그 반대의 웨이퍼의 반송을 거의 수평상태로 유지한 채로 행하여 반송유닛의 아암이 상하이동하는 것은, 단지 웨이퍼의 카세트로부터의 인출 및 그것에의 삽입, 웨이퍼의 웨이퍼 랙에의 탑재 및 그곳으로부터의 인출 및 웨이퍼의 스테이지장치에의 탑재 및 그곳으로부터의 인출을 할 수 있을 뿐이다. 따라서 대형의 웨이퍼, 예를 들면 지름 30cm의 웨이퍼의 이동도 순조롭게 행할 수 있다.
웨이퍼의 반송
다음에 카세트 홀더에 지지된 카세트(c)로부터 워킹챔버(31)내에 배치된 스테이지장치(50)까지로의 웨이퍼의 반송을 순서대로 설명한다.
카세트 홀더(10)는 상기한 바와 같이 사람의 손에 의하여 카세트를 세트하는 경우에는 그것에 적합한 구조의 것이, 또 자동적으로 카세트를 세트하는 경우에는 그것에 적합한 구조의 것이 사용된다. 이 실시형태에 있어서 카세트(c)가 카세트 홀더(10)의 승강테이블(11)의 위에 세트되면 승강 테이블(11)은 승강기구(12)에 의하여 강하되어 카세트(c)가 출입구(225)에 정합된다.
카세트가 출입구(225)에 정합되면 카세트에 설치된 커버(도시 생략)가 개방되고, 또 카세트(c)와 미니 엔바이로먼트의 출입구(225)와의 사이에는 통형상의 덮개가 배치되어 카세트내 및 미니 엔바이로먼트 공간내를 외부로부터 차단한다. 이들구조는 공지의 것이므로, 그 구조 및 동작의 상세한 설명은 생략한다. 또한 미니 엔바이로먼트장치(20)측에 출입구(225)를 개폐하는 셔터장치가 설치되는 경우에는 그 셔터장치가 동작하여 출입구(225)를 개방한다.
한편 제 1 반송유닛(61)의 아암(612)은 방향(M1 또는 M2) 중 어느 하나를 향한 상태(이 설명에서는 M1의 방향)에서 정지하고 있고, 출입구(225)가 개방되면 아암이 신장되어 선단에서 카세트내에 수용되어 있는 웨이퍼 중 1매를 수취한다. 또한 아암과 카세트로부터 인출되어야 하는 웨이퍼와의 상하방향의 위치조정은 이 실시형태에서는 제 1 반송유닛(61)의 구동부(611) 및 아암(612)의 상하이동으로 행하나, 카세트 홀더의 승강 테이블의 상하이동을 행하여도 또는 그 양자로 행하여도 좋다.
아암(612)에 의한 웨이퍼의 수취가 완료하면, 아암은 축소되어 셔터장치를 동작하여 출입구를 폐쇄하고(셔터장치가 있는 경우), 다음에 아암(612)은 축선(O1- O1)의 둘레에서 회동하여 방향(M3)을 향하여 신장할 수 있는 상태가 된다. 그렇게 하면 아암은 신장하여 선단에 탑재되고, 또는 척으로 파지된 웨이퍼를 프리얼라이너 (25) 위에 탑재하여 그 프리얼라이너에 의하여 웨이퍼의 회전방향의 방향(웨이퍼 평면에 수직인 중심 축선의 둘레 방향)을 소정의 범위내에 위치 결정한다. 위치 결정이 완료되면 반송유닛(61)은 아암의 선단에 프리얼라이너(25)로부터 웨이퍼를 수취한 후 아암을 축소시켜 방향(M4)을 향하여 아암을 신장할 수 있는 자세가 된다. 그렇게 하면 셔터장치(27)의 도어(272)가 움직여 출입구(226 및 436)를 개방하고, 아암(612)이 신장하여 웨이퍼를 제 1 로딩챔버(41)내의 웨이퍼랙(47)의 상단측 또는 하단측에 탑재한다. 또한 상기한 바와 같이 셔터장치(27)가 개방되어 웨이퍼 랙(47)에 웨이퍼가 수수되기 전에 칸막이벽(434)에 형성된 개구(435)는 셔 터장치 (46)의 도어(461)에 의하여 기밀상태로 폐쇄되어 있다.
상기 제 1 반송유닛에 의한 웨이퍼의 반송과정에 있어서, 미니 엔바이로먼트장치의 하우징의 위에 설치된 기체공급 유닛(231)으로부터는 청정공기가 층류형상으로 흘러(다운 플로우로서) 반송도중에 먼지가 웨이퍼의 상면에 부착하는 것을 방지한다. 반송유닛 주변의 공기의 일부(이 실시형태에서는 공급유닛으로부터 공급되는 공기의 약 20%으로 주로 오염된 공기)는 배출장치(24)의 흡입덕트(241)로부터 흡인되어 하우징밖으로 배출된다. 나머지 공기는 하우징의 바닥부에 설치된 회수덕트 (232)를 거쳐 회수되어 다시 기체공급 유닛(231)으로 되돌아간다.
로더 하우징(40)의 제 1 로딩챔버(41)내의 웨이퍼랙(47)내에 제 1 반송유닛 (61)에 의하여 웨이퍼가 탑재되면 셔터장치(27)가 폐쇄되어 로딩챔버(41)내를 밀폐한다. 그렇게 하면 제 1 로딩챔버(41)내에는 불활성 가스가 충전되어 공기가 추출된 후, 그 불활성 가스도 배출되어 그 로딩챔버(41)내는 진공분위기가 된다. 이 제 1 로딩챔버의 진공분위기는 낮은 진공도로 좋다. 로딩챔버(41)내의 진공도가 어느정도 얻어지면 셔터장치(46)가 동작하여 도어(461)로 밀폐하고 있던 출입구(434)를 개방하고, 제 2 반송유닛(63)의 아암(632)이 신장하여 선단의 파지장치로 웨이퍼받이(47)로부터 1매의 웨이퍼를 수취한다[선단의 위에 탑재 또는 선단에 설치된 척으로 파지하여 웨이퍼의 수취가 완료하면 아암이 축소되고, 셔터장치(46)가 다시 동작하여 도어(461)와 출입구(435)를 폐쇄한다. 또한 셔터장치(46)가 개방되기 전에 아암(632)은 미리 웨이퍼랙(47)의 방향(N1)을 향하여 신장할 수 있는 자세가 된다. 또 상기한 바와 같이 셔터장치(46)가 개방되기 전에 셔터장치(45)의 도어(452)와 출입구(437, 325)를 폐쇄하고 있고, 제 2 로딩챔버(42)내와 워킹챔버(31)내와의 연통을 기밀상태로 저지하고 있어 제 2 로딩챔버(42)내는 진공배기된다.
셔터장치(46)가 출입구(435)를 폐쇄하면 제 2 로딩챔버 내는 다시 진공배기되어 제 1 로딩챔버 내보다도 높은 진공도로 진공이 된다. 그 사이에 제 2 반송유닛 (61)의 아암은 워킹챔버(31)내의 스테이지장치(50)의 방향을 향하여 신장할 수 있는 위치로 회전된다. 한편 워킹챔버(31)내의 스테이지장치에서는 Y 테이블(52)이 X 테이블(53)의 중심선(X0-X0)이 제 2 반송유닛(63)의 회동축선(O2-O2)을 지나는 X축선 (X1-X1)과 거의 일치하는 위치까지 도 2에서 위쪽으로 이동하고, 또 X 테이블(53)은 도 2에서 가장 왼쪽의 위치에 접근하는 위치까지 이동하고, 이 상태에서 대기하고 있다. 제 2 로딩챔버가 워킹챔버의 진공상태와 거의 같아지면 셔터장치(45)의 도어 (452)가 움직여 출입구(437, 325)를 개방하여, 아암이 신장하여 웨이퍼를 유지한 아암의 선단이 워킹챔버(31)내의 스테이지장치에 접근한다. 그리고 스테이지장치 (50)의 탑재면(551) 위에 웨이퍼를 탑재한다. 웨이퍼의 탑재가 완료하면 아암이 축소되어 셔터장치(45)가 출입구(437, 325)를 폐쇄한다.
이상은 카세트(c)내의 웨이퍼를 스테이지장치상으로 반송하기까지의 동작에 대하여 설명하였으나, 스테이지장치에 탑재되어 처리가 완료한 웨이퍼를 스테이지장치로부터 카세트(c)내로 되돌리기 위해서는 상기와 반대의 동작을 행하여 되돌린다. 또 웨이퍼랙(47)에 복수의 웨이퍼를 탑재하여 두기 위하여 제 2 반송유닛으로 웨이퍼랙과 스테이지장치와의 사이에서 웨이퍼의 반송을 행하는 사이에 제 1 반송 유닛으로 카세트와 웨이퍼랙과의 사이에서 웨이퍼의 반송을 행할 수 있어, 검사처리를 효율 좋게 행할 수 있다.
구체적으로는 제 2 반송유닛의 웨이퍼랙(47)에 이미 처리가 끝난 웨이퍼(A)와 미처리 웨이퍼(B)가 있는 경우,
① 먼저 스테이지장치(50)에 미처리 웨이퍼(B)를 이동하여 처리를 개시한다.
② 이 처리 중에 처리가 끝난 웨이퍼(A)를 아암에 의하여 스테이지장치(50)로부터 웨이퍼랙(47)으로 이동하여, 미처리 웨이퍼(C)를 마찬가지로 아암에 의하여 웨이퍼랙으로부터 빼내어 프리얼라이너로 위치 결정한 후, 로딩챔버(41)의 웨이퍼 랙(47)으로 이동한다.
이와 같이 함으로써, 웨이퍼랙(47)속은 웨이퍼(B)를 처리 중에, 처리가 끝난 웨이퍼(A)가 미처리 웨이퍼(C)로 치환할 수 있다.
또 검사나 평가를 행하는 이와 같은 장치의 이용방법에 따라서는 스테이지장치(50)를 복수대 병렬로 놓고, 각각의 장치에 하나의 웨이퍼랙(47)으로부터 웨이퍼를 이동함으로써 복수매의 웨이퍼를 동일 처리할 수도 있다.
도 6에 있어서, 주 하우징의 지지방법의 변형예가 나타나 있다. 도 6에 나타낸 변형예에서는 하우징 지지장치(33a)를 두께가 두꺼운 직사각형의 강판(331a)으로 구성하고, 그 강판의 위에 하우징 본체(32a)가 탑재되어 있다. 따라서 하우징 본체(32a)의 바닥벽(321a)은 상기 실시형태의 바닥벽과 비교하여 얇은 구조로 되어 있다. 도 7에 나타낸 변형예에서는 하우징 지지장치(33b)의 프레임 구조체(336b)에 의하여 하우징 본체(32b) 및 로더 하우징(40b)을 매달은 상태로 지지하도록 되어 있다. 프레임 구조체(336b)에 고정된 복수의 세로프레임(337b)의 하단은 하우징 본체(32b)의 바닥벽(321b)의 4개의 모서리에 고정되고, 그 바닥벽에 의하여 둘레벽 및 정점벽을 지지하도록 되어 있다. 그리고 방진장치(37b)는 프레임구조체(336b)와 베이스 프레임(36b) 사이에 배치되어 있다. 또 로더 하우징(40)도 프레임 구조체 (336)에 고정된 매달음부재(49b)에 의하여 매달려져 있다. 하우징 본체(32b)의 이 도 7에 나타낸 변형예에서는 매달림식으로 지지하므로 주 하우징 및 그 안에 설치된 각종 기기 전체의 저중심화가 가능하다. 상기 변형예를 포함한 주 하우징 및 로더 하우징의 지지 방법에서는 주 하우징 및 로더 하우징에 바닥으로부터의 진동이 전해지지 않도록 되어 있다.
도시 생략한 다른 변형예에서는 주 하우징의 하우징 본체만이 하우징 지지장치에 의하여 밑으로부터 지지되고, 로더 하우징은 인접하는 미니 엔바이로먼트장치와 동일한 방법으로 바닥 위에 배치될 수 있다. 또 도시 생략한 또 다른 변형예에서는 주 하우징의 하우징 본체만이 프레임 구조체에 매달림식으로 지지되고, 로더 하우징은 인접하는 미니 엔바이로먼트장치와 동일한 방법으로 바닥 위에 배치될 수 있다.
상기한 실시예에 의하면, 다음과 같은 효과를 가지는 것이 가능하다.
(가) 전자선을 사용한 사상 투영방식의 검사장치의 전체구성이 얻어져 높은 스루풋으로 검사대상을 처리할 수 있다.
(나) 미니 엔바이로먼트 공간내에서 검사대상에 청정기체를 흘려 먼지의 부 착을 방지함과 동시에 청정도를 관찰하는 센서를 설치함으로써 그 공간내의 먼지를 감시하면서 검사대상의 검사를 행할 수 있다.
(다) 로딩챔버 및 워킹챔버를 일체적으로 진동방지장치를 거쳐 지지하였기 때문에 외부의 환경에 영향받지 않고 스테이지장치에의 검사대상의 공급 및 검사를 행할 수 있다.
전자 광학장치
전자 광학장치(70)는 하우징 본체(32)에 고정된 거울통(71)을 구비하고, 그 안에는 도 8에 개략도로 나타내는 바와 같은 1차 전자 광학계(이하 단지 1차 광학계)(72)와, 2차 전자 광학계(이하 단지 2차 광학계)(74)를 구비하는 전자 광학계와, 검출계(76)가 설치되어 있다. 1차 광학계(72)는 전자선을 검사대상인 웨이퍼 (W)의 표면에 조사하는 광학계로서, 전자선을 방출하는 전자총(721)과, 전자총 (721)으로부터 방출된 1차 전자선을 집속하는 정전렌즈로 이루어지는 렌즈계(722)와, 빈필터(wien filter), 즉 E ×B 분리기(723)와, 대물렌즈계(724)를 구비하고, 그것들은 도 8에 나타내는 바와 같이 전자총(721)을 최상부로 하여 순차로 배치되어 있다. 이 실시형태의 대물렌즈계(724)를 구성하는 렌즈는 감속전계형 대물렌즈이다. 이 실시형태에서는 전자총(721)으로부터 방출되는 1차 전자선의 광축은 검사대상인 웨이퍼 (W)에 조사되는 조사광축(웨이퍼의 표면에 수직하게 되어 있다)에 관하여 비스듬하게 되어 있다. 대물렌즈계(724)와 검사대상인 웨이퍼(W)와의 사이에는 전극(725)이 배치되어 있다. 이 전극(725)은 1차 전자선의 조사광축에 관하여 축대칭의 형상으로 되어 있어 전원(726)에 의하여 전압제어되도록 되어 있다.
2차 광학계(74)는 E ×B형 편향기(724)에 의하여 1차 광학계로부터 분리된 2차 전자를 통과시키는 정전렌즈로 이루어지는 렌즈계(741)를 구비하고 있다. 이 렌즈계(741)는 2차 전자상을 확대하는 확대랜즈로서 기능한다.
검출계(76)는 렌즈계(741)의 결상면에 배치된 검출기(761) 및 화상처리부 (763)를 구비하고 있다.
전자총(전자선원)
전자선원으로서 열전자선원을 사용하고 있다. 전자방출(에미터)재는 LaB6이다. 고융점(고온에서의 증기압이 낮다)에서 일 함수가 작은 재료이면, 다른 재료를 사용하는 것이 가능하다. 선단을 원추형상으로 한 것 또는 원추의 선단을 잘라 낸 원추 사다리꼴형상의 것을 사용하고 있다. 원추 사다리꼴 선단의 지름은 100㎛정도이다. 다른 방식으로서는 전계방출형의 전자선원 또는 열전계 방출형의 것이 사용되고 있으나, 본 발명의 경우와 같이 비교적 넓은 영역(예를 들면 100 ×25 내지 400 ×100㎛2)을 큰 전류(1㎂ 정도)로 조사하는 경우는 LaB6을 사용한 열전자원이 가장 적합하다(SEM 방식에서는 일반적으로 열전계 전자선원이 사용되고 있다). 또한 열전자선원은 전자방출재를 가열함으로써 전자를 방출하는 방식이고, 열전계 방출전자선원이란, 전자방출재에 고전계를 인가함으로써 전자를 방출시키고, 다시 전자선방출부를 가열함으로써 전자방출을 안정시킨 방식이다.
1차 전자광학계
전자총으로부터 조사되는 전자빔을 형성하여 웨이퍼면상에 직사각형 또는 원 형(타원)전자빔을 조사하는 부분에서 1차 전자 광학계라 부른다. 1차 전자 광학계의 렌즈조건을 제어함으로써 빔 크기나 전류밀도를 제어할 수 있다. 또 1차/2차 전자 광학계 연결부의 E ×B(위너 필터)필터에 의하여 1차 전자빔은 웨이퍼에 수직으로 입사된다.
LaB6 캐소드로부터 방출한 열전자를 베넬트, 트리플 애노드 렌즈로 건 조리개 위에 크로스오버상으로서 결상시킨다. 조명시야 조리개 렌즈에 대한 입사각을 적정화한 전자빔을 1차계 정전렌즈를 제어함으로써, 회전 비대칭의 형으로 NA 조리개 위에 결상시키고, 그 후 웨이퍼면상에 면조사한다. 1차계 정전렌즈의 후단은 3단 4극자(QL)와, 1단의 개구 수차보정용 전극으로 구성되어 있다. 4극자 렌즈는 얼라인먼트 정밀도가 엄격하다는 제약은 있으나, 회전대칭 렌즈에 비하여 강한 수속작용을 가지는 특징이 있고, 회전대칭 렌즈의 구면 수차에 상당하는 개구 수차를 개구 수차보정전극에 적절한 전압을 인가하면서 보정을 행할 수 있다. 이에 의하여 소정의 영역에 균일한 면 빔을 조사할 수 있다.
2차 전자 광학계
웨이퍼 위에 조사된 전자빔에 의하여 발생하는 2차원의 2차 전자화상을 대물렌즈에 상당하는 정전렌즈(CL, TL)에 의하여 시야 조리개 위치에서 결상시켜 후단의 렌즈(PL)로 확대 투영한다. 이 결상 투영 광학계를 2차 전자 광학계라 부른다.
이때 웨이퍼에는 마이너스의 바이어스전압(감속 전계전압)이 인가되어 있다. 감속전계는 조사빔에 대하여 감속의 효과를 가지고, 시료의 손상을 저감시킴과 동시에 CL과 웨이퍼 사이의 전위차로 시료면상으로부터 발생한 2차 전자를 가속시켜 색수차를 저감시키는 효과를 가진다. CL에 의하여 수속한 전자는 TL로 FA 위에 결상시키고, 그 상을 PL로 확대 투영하여 2차 전자 검출기(MCP) 위에 결상시킨다. 본 광학계에서는 CL-TL 사이에 NA를 배치하고, 이것을 최적화함으로써 축외 수차저감이 가능한 광학계를 구성하고 있다.
또 전자 광학계의 제조상의 오차나 E ×B 필터(빈필터)를 통과함으로써 발생하는 상의 비점수차나 이방성 배율을 보정하기 위하여, 정전 8극자(STIG)를 배치하여 보정을 행하고, 축 어긋남에 대해서는 각 렌즈 사이에 배치한 편향기(OP)로 보정을 행한다. 이에 의하여 시야내에 있어서의 균일한 분해능으로의 사상 광학계를 달성할 수 있다.
E ×B 유닛(빈필터)
전극과 자극을 직교방향에 배치하고, 전계와 자계를 직교시킨 전자프리즘 광학계의 유닛이다. 전자계를 선택적으로 부여하면 한 방향으로부터 그 곳에 입사하는 전자빔은 편향시켜 그 반대방향으로부터 입사하는 전자빔은 전계로부터 받는 힘과 자계로부터 받는 힘의 영향이 상쇄되는 조건(위너조건)을 만드는 것이 가능하여, 이에 의하여 1차 전자빔은 편향되어 웨이퍼 위에 수직으로 조사하여 2차 전자빔은 검출기를 향하여 직진할 수 있다.
전자빔 편향부(723)의 상세한 구조에 대하여 도 9 및 도 9의 A-A 선을 따르는 종단면을 나타낸 도 10을 사용하여 설명한다. 도 9에 나타내는 바와 같이 전자 빔 편향부의 장소는 상기 사상투영 광학부의 광축에 수직인 평면내에 있어서, 전계와 자계를 직교시킨 구조, 즉 E ×B 구조로 한다.
여기서 전계는 오목형상의 곡면을 가지는 전극(723-1 및 723-2)에 의하여 발생시킨다. 전극(723-1 및 723-2)이 발생하는 전계는 각각 제어부(723a 및 723d)에 의하여 제어된다. 한편 전계 발생용 전극(723-1 및 723-2)과 직교하도록 전자 코일(723-1a 및 723-2a)을 배치시킴으로써 자계를 발생시키고 있다. 또 전해 발생용 전극(723-1, 723-2)은 점 대상이다(동심원이어도 상관없다).
이 경우는 자계의 균일성을 향상시키기 위하여 평행 평판형상을 가지는 폴피스를 가지게 하여 자로(42)를 형성하고 있다. A-A 선을 따르는 종단면에 있어서의 전자빔의 거동은 도 10에 나타내는 바와 같다. 조사된 전자빔(711a 및 711b)은 전극(723-1 및 723-2)이 발생하는 전계와, 전자코일(723-1a 및 723-2a)이 발생하는 자계에 의하여 편향된 후, 시료면상에 대하여 수직방향으로 입사한다.
여기서 조사 전자빔(711a 및 711b)의 전자빔 편향부(723)에의 입사위치 및 각도는 전자의 에너지가 결정되면 일의적에 결정된다. 다시 2차 전자(712a 및 712b)가 직진하도록 전계 및 자계의 조건, 즉 vB = E 가 되도록 전극(723-1 및 723-2)이 발생하는 전계와, 전자코일(723-1a 및 723-2a)이 발생하는 자계를 각각의 제어부 (723a 및 723d, 723c 및 723b)가 제어함으로써 2차 전자는 전자빔 편향부(723)를 직진하여 상기 사상투영 광학부에 입사한다. 여기서 V는 전자(712)의 속도(m/s), B는 자장(T), e는 전하량(C), E는 전계(V/m)이다.
검출기
2차 광학계에서 결상되는 웨이퍼로부터의 2차 전자화상은 먼저 마이크로 채널 플레이트(MCP)에서 증폭된 후, 형광 스크린에 닿아 빛의 상으로 변환된다. MCP의 원리로서는 지름 6 내지 25㎛, 길이 0.24 내지 1.0mm라는 매우 미세한 도전성의 유리 캐필러리를 수백만개 묶어, 박판형상으로 정형한 것으로, 소정의 전압인가를 행함으로써 하나 하나의 캐피러리가 독립된 2차 전자 증폭기로서 작용하고, 전체로서 2차 전자 증폭기를 형성한다.
이 검출기에 의하여 빛으로 변환된 화상은 진공 투과창을 거쳐 대기 중에 놓여진 FOP계에서 TDI-CCD 위에 1 대 1로 투영된다.
다음에 상기 구성의 전자 광학장치(70)의 동작에 대하여 설명한다.
도 8에 나타내는 바와 같이, 전자총(721)으로부터 방출된 1차 전자선은 렌즈계(722)에 의하여 수속된다. 수속된 1차 전자선은 E ×B형 편향기(723)에 입사되어, 웨이퍼(W)의 표면에 수직으로 조사되도록 편향되어, 대물렌즈계(724)에 의하여 웨이퍼(W)의 표면 위에 결상된다.
1차 전자선의 조사에 의하여 웨이퍼로부터 방출된 2차 전자는 대물렌즈계 (724)에 의하여 가속되고, E ×B형 편향기(723)에 입사하여 그 편향기를 직진하여 2차 광학계의 렌즈계(741)에 의하여 검출기(761)에 유도된다. 그리고 그 검출기 (761)에 의하여 검출되고, 그 검출신호가 화상처리부(763)에 보내진다.
또한 이 실시형태에 있어서, 대물렌즈계(724)는 10 내지 20kV의 고전압이 인가되고, 웨이퍼는 설치되어 있는 것으로 한다.
여기서 웨이퍼(W)에 비어(b)가 있는 경우에, 전극(725)에 인가하는 전압을 - 200V라 하면, 웨이퍼의 전자선 조사면의 전계는 O 내지 -0.1V/mm[-는 웨이퍼(W)측이 고전위인 것을 나타냄)가 되었다. 이 상태에서 대물렌즈계(724)와 웨이퍼(W) 사이에 방전은 발생하지 않아 웨이퍼(W)의 결함검사는 행할 수 있었으나, 2차 전자의 검출효율이 약간 저하한다. 따라서 전자선을 조사하여 2차 전자를 검출하는 일련의 동작을 예를 들면 4회 행하여 얻어진 4회분의 검출결과를 누적가산이나 평균화 등의 처리를 실시하여 소정의 검출감도를 얻었다.
또 웨이퍼에 비어(b)가 없는 경우에 전극(725)에 인가하는 전압을 +350V로 하여도, 대물렌즈계(724)와 웨이퍼 사이에 방전은 발생하지 않아 웨이퍼(W)의 결함검사는 행할 수 있었다. 이 경우 전극(725)에 인가한 전압에 의하여 2차 전자가 수속되고, 대물렌즈(724)에 의해서도 더욱 수속되기 때문에, 검출기(761)에 있어서의 2차 전자의 검출효율은 향상하였다. 따라서 웨이퍼 결함장치로서의 처리도 고속 이 되어 높은 스루풋으로 검사를 행할 수 있었다.
사상 투영방식의 주된 기능의 관계와 그 전체 상의 설명
도 11에 본 실시형태의 전체 구성도를 나타낸다. 단 일부구성을 도시 생략하고 있다.
도 11에 있어서, 검사장치는 1차 컬럼(71-1), 2차 컬럼(71-2) 및 챔버(32)를 가지고 있다. 1차 컬럼(71-1)의 내부에는 전자총(721)이 설치되어 있고, 전자총 (721)으로부터 조사되는 전자빔(1차 빔)의 광축 위에 1차 광학계(72)가 배치된다. 또 챔버(32)의 내부에는 스테이지(50)가 설치되고, 스테이지(50) 위에는 시료(W)가 탑재된다.
한편, 2차 컬럼(71-2)의 내부에는 시료(W)로부터 발생하는 2차 빔의 광축 위에 캐소드 렌즈(724), 뉴메리컬 어페처(NA-2), 빈필터(723), 제 2 렌즈(741-1),필터어퍼처(NA-3), 제 3 렌즈(741-2), 제 4 렌즈(741-3) 및 검출기(761)가 배치된다. 또한 뉴메리컬 어페처(numerical aperture)(NA-2)는 개구 조리개에 상당하는 것으로, 원형의 구멍이 개구한 금속제(Mo 등)의 박판이다. 그리고 개구부가 1차 빔의 집속위치 및 캐소드 렌즈(724)의 초점위치가 되도록 배치되어 있다. 따라서 캐소드 렌즈(724)와 뉴메리컬 어페처(NA-2)는 텔레센트릭한 전자 광학계를 구성하고 있다.
한편, 검출기(761)의 출력은 제어유닛(780)에 입력되고, 제어유닛(780)의 출력은 CPU(781)에 입력된다. CPU(781)의 제어신호는 1차 컬럼제어유닛(71a), 2차 컬럼제어유닛(71b) 및 스테이지 구동기구(56)에 입력된다. 1차 컬럼제어유닛(71a)은 1차 광학계(72)의 렌즈전압 제어를 행하고, 2차 컬럼제어유닛(71b)은 캐소드 렌즈 (724), 제 2 렌즈(741-1) 내지 제 4 렌즈(741-3)의 렌즈전압제어 및 빈필터(723)에 인가하는 전자계 제어을 행한다.
또 스테이지 구동기구(56)는 스테이지의 위치정보를 CPU(781)에 전달한다. 또한 1차 컬럼(71-1), 2차 컬럼(71-2), 챔버(32)는 진공배기계(도시 생략)와 연결되어 있어, 진공배기계의 터보펌프에 의하여 배기되어 내부는 진공상태를 유지하고 있다.
(1차 빔) 전자총(721)으로부터의 1차 빔은 1차 광학계(72)에 의하여 렌즈작용을 받으면서 빈필터(723)에 입사한다. 여기서는 전자총의 칩으로서는 직사각형 음극에서 대전류를 인출할 수 있는 LaB6를 사용한다. 또 1차 광학계(72)는 회전축 비대칭의 4중극 또는 8중극의 정전(또는 전자)렌즈를 사용한다. 이것은 소위 실린드리컬즈 렌즈와 마찬가지로 X축, Y축 각각에서 집속과 발산을 야기할 수 있다. 이 렌즈를 2단, 3단으로 구성하여 각 렌즈조건을 최적화함으로써 조사전자를 손실하는 일 없이 시료면상의 빔조사 영역을 임의의 직사각형상, 또는 타원형상으로 정형할 수 있다.
구체적으로는 정전렌즈를 사용한 경우, 4개의 원주 로드를 사용한다. 대향하는 전극끼리를 등전위로 하여 서로 반대의 전압특성을 인가한다.
또한 4중극 렌즈로서 원주형이 아니고, 정전 편향기로 통상 사용되는 원형판을 4분할한 형상의 렌즈를 사용하여도 좋다. 이 경우 렌즈의 소형화를 도모할 수 있다. 1차 광학계(72)를 통과한 1차 빔은 빈필터(723)의 편향작용에 의하여 궤도가 구부러진다. 빈필터(723)는 자계와 전계를 직교시켜 전계를 E, 자계를 B, 하전입자의 속도를 v로 한 경우, E = vB의 위너조건을 만족하는 하전입자만을 직진시키고, 그 이외의 하전입자의 궤도를 구부린다. 1차 빔에 대해서는 자계에 의한 힘 (FB)과 전계에 의한 힘(FE)이 발생하여 빔궤도는 구부러진다. 한편 2차 빔에 대해서는 힘(FB)과 힘(FE)이 역방향으로 작용하기 때문에 서로 상쇄되기 때문에 2차 빔은 그대로 직진한다.
1차 광학계(72)의 렌즈전압은 1차 빔이 뉴메리컬 어페처(NA-2)의 개구부에서 결상하도록 미리 설정되어 있다. 이 뉴메리컬 어페처(NA-2)는 장치내에 산란하는 쓸데 없는 전자빔이 시료면에 도달하는 것을 저지하여 시료(W)의 차지업이나 오염을 방지하고 있다. 또한 뉴메리컬 어페처(NA-2)와 캐소드 렌즈(724)는 텔레센트릭한 전자 광학계를 구성하고 있기 때문에, 캐소드 렌즈(724)를 투과한 1차 빔은 평행 빔이 되어 시료(W)에 균일하고 또한 똑같이 조사한다. 즉 광학현미경에서 말하는 컬러조명이 실현된다.
(2차 빔) 1차 빔이 시료에 조사되면 시료의 빔 조사면으로부터는 2차 빔으로서 2차전자, 반사전자 또는 후방 산란전자가 발생한다.
2차 빔은 캐소드 렌즈(724)에 의한 렌즈작용을 받으면서 렌즈를 투과한다.
그런데 캐소드 렌즈(724)는 3매의 전극으로 구성되어 있다. 제일 아래의 전극은 시료(W)측의 전위와의 사이에서 양의 전계를 형성하여 전자(특히, 지향성이 작은 2차 전자)를 인입하여 효율 좋게 렌즈내로 유도하도록 설계되어 있다.
또 렌즈작용은 캐소드 렌즈(724)의 1번째, 2번째의 전극에 전압을 인가하여 3번째의 전극을 제로전위로 함으로써 행하여진다. 한편 뉴메리컬 어페처(NA-2)는 캐소드 렌즈(724)의 초점위치, 즉 시료(W)로부터의 백포커스에 배치되어 있다. 따라서 시야중심 밖으로부터 나온 전자빔의 광속도, 평행빔이 되어 이 뉴메리컬 어페처(NA-2)의 중심위치를 벗어나는 일 없이 통과한다.
또한 뉴메리컬 어페처(NA-2)는 2차 빔에 대해서는 제 2 렌즈(741-1) 내지 제 4 렌즈(741-3)의 렌즈 수차를 억제하는 역활을 하고 있다. 뉴메리컬 어페처(NA-2)를 통과한 2차 빔은 빈필터(723)의 편향작용을 받지 않고 그대로 직진하여 통과한다. 또한 빈필터(723)에 인가하는 전자계를 바꿈으로써 2차 빔으로부터 특정한 에 너지를 가지는 전자(예를 들면 2차 전자 또는 반사전자 또는 후방 산란전자)만을 검출기(761)로 유도할 수 있다.
2차 빔을 캐소드 렌즈(724)만으로 결상시키면 렌즈작용이 강해져 수차가 발생하기 쉽다. 따라서 제 2 렌즈(741-1)와 맞추어서 1회의 결상을 행하게 한다. 2차빔은 캐소드 렌즈(724) 및 제 2 렌즈(741-1)에 의하여 필드 어페처(NA-3) 위에서 중간 결상을 얻는다. 이 경우 통상 2차 광학계로서 필요한 확대 배율이 부족한 것이 많기 때문에 중간 상을 확대하기 위한 렌즈로서 제 3 렌즈(741-2), 제 4 렌즈 (741-3)를 가한 구성으로 한다. 2차 빔은 제 3 렌즈(741-2), 제 4 렌즈(741-3) 각각에 의하여 확대 결상하고, 여기서는 모두 3회 결상한다. 또한 제 3 렌즈(741-2)와 제 4 렌즈(741-3)를 맞추어서 1회(모두 2회) 결상시켜도 좋다.
또 제 2 렌즈(741-1) 내지 제 4 렌즈(741-3)는 모두 유니포텐셜렌즈 또는 아인첼(Einzell)렌즈라 불리우는 회전축 대칭형의 렌즈이다. 각 렌즈는 3매 전극의 구성으로 통상은 바깥쪽의 2전극을 제로전위로 하고, 중앙의 전극에 인가하는 전압으로 렌즈작용을 행하여 제어한다. 또 중간의 결상점에는 필드 어페처(NA-3)가 배치되어 있다. 필드 어페처(NA-3)는 광학 현미경의 시야 조리개와 마찬가지로 시야를 필요범위로 제한하고 있으나, 전자빔의 경우 쓸데 없는 빔을 후단의 제 3 렌즈(741-2) 및 제 4 렌즈(741-3)와 함께 차단하여 검출기(761)의 차지업나 오염을 방지하고 있다. 또한 확대배율은 이 제 3 렌즈(741-2) 및 제 4 렌즈(741-3)의 렌즈조건(촛점거리)을 바꿈으로써 설정된다.
2차 빔은 2차 광학계에 의하여 확대 투영되고, 검출기(761)의 검출면에 결상 한다. 검출기(761)는 전자를 증폭하는 MCP와, 전자를 빛으로 변환하는 형광판과, 진공계와 외부와의 중계 및 광학상을 전달시키기 위한 렌즈나 그 밖의 광학소자와, 촬상소자(CCD 등)로 구성된다. 2차 빔은 MCP 검출면에서 결상하고 증폭되어, 형광판에 의하여 전자는 광신호으로 변환되어 촬상소자에 의하여 광전신호에 변환된다.
제어유닛(780)은 검출기(761)로부터 시료의 화상신호를 판독하여 CPU(781)에 전달한다. CPU(781)는 화상신호로부터 템플릿 매칭 등에 의하여 패턴의 결함검사를 실시한다. 또 스테이지(50)는 스테이지 구동기구(56)에 의하여 XY 방향으로 이동 가능하게 되어 있다. CPU(781)는 스테이지(50)의 위치를 판독하여 스테이지 구동기구(56)에 구동 제어신호를 출력하여 스테이지(50)를 구동시켜 순차 화상의 검출, 검사를 행한다.
이와 같이 본 실시형태의 검사장치에서는 뉴메리컬 어페처(NA-2)와 캐소드 렌즈(724)가 텔레센트릭한 전자 광학계를 구성하고 있으므로, 1차 빔에 대해서는 빔을 시료에 균일하게 조사시킬 수 있다. 즉 컬러조명을 용이하게 실현할 수 있다.
또한 2차 빔에 대해서는 시료(W)로부터의 모든 주 광선이 캐소드 렌즈(724)에 수직(렌즈광축에 평행)으로 입사하여 뉴메리컬 어페처(NA-2)를 통과하기 때문에 주변 광도 벗어나는 일이 없어 시료 주변부의 화상 휘도가 저하하는 일이 없다. 또 전자가 가지는 에너지의 불균일에 의하여 결상하는 위치가 다른, 이른바 배율 색수차가 일어나나(특히, 2차 전자는 에너지의 불균일이 크기 때문에 배율 색수차가 크다), 캐소드 렌즈(724)의 초점위치에 뉴메리컬 어페처(NA-2)를 배치함으로써 이 배율 색수차를 억제할 수 있다.
또 확대 배율의 변경은 뉴메리컬 어페처(NA-2)의 통과후에 행하여지기 때문에 제 3 렌즈(741-2), 제 4 렌즈(741-3)의 렌즈조건의 설정배율을 바꾸어도 검출측에서의 시야 전면에 균일한 상이 얻어진다. 또한 본 실시형태에서는 불균일이 없는 균일한 상을 취득할 수 있으나, 통상 확대배율을 고배율로 하면 상의 밝기가 저하한다는 문제점이 생겼다. 따라서 이것을 개선하기 위하여 2차 광학계의 렌즈조건을 바꾸어 확대배율을 변경할 때, 그것에 따라 결정되는 시료면상의 유효시야와, 시료면상에 조사되는 전자빔을 동일한 크기가 되도록 1차 광학계의 렌즈조건을 설정한다.
즉, 배율을 올려 가면, 그것에 따라 시야가 좁아지나, 그것과 동시에 전자빔의 조사 에너지밀도를 올려 감으로써 2차 광학계에서 확대 투영되어도 검출전자의 신호밀도는 항상 일정하게 유지되어, 상의 밝기는 저하하지 않는다.
또 본 실시형태의 검사장치에서는 1차 빔의 궤도를 구부려, 2차 빔을 직진시키는 빈필터(723)를 사용하였으나, 그것에 한정되지 않고, 1차 빔의 궤도를 직진시켜, 2차 빔의 궤도를 구부리는 빈필터를 사용한 구성의 검사장치이어도 좋다. 또 본 실시형태에서는 직사각형 음극과 4극자 렌즈로 직사각형 빔을 형성하였으나, 그것에 한정되지 않고, 예를 들면 원형 빔으로부터 직사각형 빔이나 타원형 빔을 만들어 내도 좋고, 원형 빔을 슬릿을 통하여 직사각형 빔을 인출하여도 좋다.
전극
대물렌즈(724)와 웨이퍼(W) 사이에는 전자선의 조사광축에 대하여 대략 축대 칭인 형상의 전극(725)이 배치되어 있다. 전극(725)의 형상의 일례를 도 12, 도 13에 나타낸다.
도 12, 도 13은 전극(725)의 사시도이고, 도 12는 전극(725)이 축대칭으로 원통형상인 경우를 나타내는 사시도이고, 도 13은 전극(725)이 축대칭으로 원반형상 인 경우를 나타내는 사시도이다.
본 실시형태에서는 도 12에 나타내는 바와 같이 전극(725)이 원통형상으로서 설명하나, 전자선의 조사광축에 대하여 대략 축대칭이면 도 13에 나타내는 바와 같은 원반형상이어도 좋다.
또한 전극(725)에는 대물렌즈(724)와 웨이퍼(W) 사이에 있어서의 방전을 방지하는 전계를 발생하기 위하여 웨이퍼(W)에 대한 인가전압(본 실시형태에서는 접지되어 있으므로 전위는 0V)보다도 낮은 소정의 전압(음전위)이 전원(726)에 의하여 인가되어 있다. 이 때의 웨이퍼(W)와 대물렌즈(724) 사이의 전위분포를 도 14를 참조하여 설명한다.
도 14는 웨이퍼(W)와 대물렌즈(724) 사이의 전압분포를 나타내는 그래프이다.
도 14에 있어서 전자선의 조사광축에 있어서의 위치를 가로축으로 하여 웨이퍼(W)로부터 대물렌즈(724)의 위치까지의 전압분포를 나타내고 있다.
전극(725)이 없었던 종래의 전자선장치에 있어서는 대물렌즈(724)로부터 웨이퍼(W)까지의 전압분포는 대물렌즈(724)에 인가한 전압을 최대값으로 하여 접지하고 있는 웨이퍼(W)까지 완만하게 변화하고 있다(도 14의 가는 선).
한편, 본 실시형태의 전자선장치에 있어서는 대물렌즈(724)와 웨이퍼(W) 사이에 전극(725)이 배치되고, 또한 전극(725)에는 웨이퍼(W)에의 인가 전압보다도 낮은 소정의 전압(음전위)이 전원(726)에 의하여 인가되어 있으므로 웨이퍼(W)의 전계가 약해진다(도 14의 굵은 선).
따라서 본 실시형태의 전자선장치에 있어서는 웨이퍼(W)에 있어서의 비어(b) 근방에 전계가 집중하지 않아 고전계로는 되지 않는다. 그리고 비어(b)에 전자선이 조사되어 2차 전자가 방출되어도 이 방출된 2차 전자는 잔류가스를 이온화할 정도로는 가속되지 않으므로 대물렌즈(724)와 웨이퍼(W) 사이에 방전을 방지할 수 있다.
또 대물렌즈(724)와 비어(b) 사이에서의 방전을 방지할 수 있으므로, 웨이퍼 (W)의 패턴 등을 방전 파손하는 일은 없다.
또 상기 실시형태에 있어서는 대물렌즈(724)와 비어(b)가 있는 웨이퍼(W)와의 사이의 방전을 방지할 수 있으나, 전극(725)에 음전위를 인가하고 있기 때문에, 음전위의 크기에 따라서는 검출기(761)에 의한 2차 전자의 검출감도가 저하하는 경우도 있다. 따라서 검출감도가 저하한 경우는 상기한 바와 같이 전자선을 조사하여 2차 전자를 검출하는 일련의 동작을 복수회에 걸쳐 행하여 얻어진 복수의 검출결과를 누적가산이나 평균화 등의 처리를 실시하여 소정의 검출감도(신호의 S/N 비)를 얻도록 하면 좋다.
본 실시형태에서는 일례로서 검출감도를 신호대 잡음비(S/N비)로서 설명하고 있다.
여기서 상기한 2차 전자 검출동작에 대하여 도 15를 참조하여 설명한다.
도 15는 전자선장치의 2차 전자 검출동작을 나타내는 플로우차트이다.
먼저, 검출기(761)에 의하여 피검사 시료로부터의 2차 전자를 검출한다(단계 1). 다음에 신호대 잡음비(S/N 비)가 소정의 값 이상인지의 여부의 판단을 행한다 (단계 2). 단계 2에 있어서 신호대 잡음비가 소정값 이상인 경우는 검출기(761)에 의한 2차 전자의 검출은 충분하므로, 2차 전자 검출동작은 완료한다.
한편, 단계(2)에 있어서 신호대 잡음비가 소정값 미만인 경우는 전자선을 조사하여 2차 전자를 검출하는 일련의 동작을 4N회 행하여 평균화 처리를 행한다(단계 3). 여기서 N의 초기값은 「1」로 설정되어 있으므로, 단계(3)에 있어서 첫회는 2차 전자의 검출동작이 4회 행하여진다.
다음에 N에 「1」을 가산하여 카운트업하고(단계 4), 단계(2)에 있어서 다시 신호대 잡음비가 소정의 값 이상인지의 여부의 판단을 행한다. 여기서 신호대 잡음비가 소정값 미만인 경우는 다시 단계(3)로 진행하고, 이번은 2차 전자의 검출동작을 8회 행한다. 그리고 N을 카운트업하여 신호대 잡음비가 소정값 이상이 될 때까지 단계(2 내지 4)를 반복한다.
또 본 실시형태에서는 전극(725)에 웨이퍼(W)에의 인가전압보다도 낮은 소정의 전압(음전위)을 인가함으로써 비어(b)가 있는 웨이퍼(W)에 대한 방전방지에 대하여 설명하였으나, 2차 전자의 검출효율이 저하하는 경우가 있다.
따라서 피검사 시료가 비어가 없는 웨이퍼 등, 대물렌즈(724)와의 사이에서 방전이 생기기 어려운 종류의 피검사 시료인 경우는, 검출기(761)에 있어서의 2차 전자의 검출효율이 높아지도록 전극(725)에 인가하는 전압을 제어할 수 있다.
구체적으로는 피시험 시료가 접지되어 있는 경우에도 전극(725)에 인가하는 전압을 피시험 시료에 대한 인가전압보다도 높은 소정의 전압으로 하는 예를 들면 +10V로 한다. 또 이 때 전극(725)과 피검사 시료와의 거리는 전극(725)과 피검사 시료와의 사이에 방전이 생기지 않는 거리에 배치한다.
이 경우 피검사 시료에 대한 전자선의 조사에 의하여 발생한 2차 전자는 전극 (725)에 인가된 전압에 따라 발생한 전계에 의하여 전자선원(721)측에 가속된다. 그리고 대물렌즈(724)에 인가된 전압에 의하여 발생한 전계에 의하여 더욱 전자선원 (721)측으로 가속되어 수속작용을 받기 때문에 검출기(761)에 많은 2차 전자가 입사되어 검출효율을 높게 할 수 있다.
또한 전극(725)은 축대칭이므로, 피검사 시료에 조사하는 전자선을 수속하는 렌즈작용도 가진다. 따라서 전극(725)에 인가하는 전압에 의하여 1차 전자선을 더욱 가늘게 조절할 수도 있다. 또 전극(725)에 의하여 1차 전자선을 가늘게 조절할 수도 있으므로 대물렌즈(724)와의 조합에 의하여 보다 낮은 수차의 대물렌즈계를 구성할 수도 있다. 이와 같은 렌즈작용이 가능한 정도로 전극(725)은 약 축대칭이면 좋다.
상기 실시예의 전자선장치에 의하면, 피검사 시료와 대물렌즈 사이에 전자선의 조사축에 대하여 대략 축대칭의 형상이고, 상기 피검사 시료의 상기 전자선의 조사면에 있어서의 전계강도를 제어하는 전극을 구비하였기 때문에 피검사 시료와 대물렌즈와의 사이의 전계를 제어할 수 있다.
또 피검사 시료와 대물렌즈 사이에 전자선의 조사축에 대하여 대략 축대칭의 형상이고, 상기 피검사 시료의 상기 전자선의 조사면에 있어서의 전계강도를 약하게 하는 전극을 구비하였기 때문에 피검사 시료와 대물렌즈와의 사이의 방전을 없앨 수 있다.
또 대물렌즈에 대한 인가전압을 저하시키는 등, 변경하고 있지 않으므로 2차 전자를 효율적으로 대물렌즈를 통과시키기 때문에 검출효율을 향상시켜 S/N 비가 좋은 신호를 얻을 수 있다.
또 피검사 시료의 종류에 따라 피검사 시료의 전자선의 조사면에 있어서의 전계강도를 약하게 하기 위한 전압을 제어할 수 있다.
예를 들면 피검사 시료가 대물렌즈와의 사이에서 방전하기 쉬운 종류의 피검사 시료인 경우는 전극의 전압을 변화시키고, 피검사 시료의 전자선의 조사면에 있어서의 전계강도를 더욱 약하게 함으로써 방전을 방지할 수 있다.
또 반도체 웨이퍼의 비어의 유무에 의하여 전극에 인가하는 전압을 변경하는 즉, 반도체 웨이퍼의 전자선의 조사면에 있어서의 전계강도를 약하게 하기 위한 전압을 변경할 수 있다.
예를 들면 피검사 시료가 대물렌즈와의 사이에서 방전하기 쉬운 종류의 피검사 시료인 경우는 전극에 의한 전계를 변화시키고, 피검사 시료의 전자선의 조사면에 있어서의 전계강도를 더욱 약하게 함으로써 특히 비어나 비어 주변에 있어서의 방전을 방지할 수 있다.
또 비어와 대물렌즈 사이에서의 방전을 방지할 수 있으므로 반도체 웨이퍼의 패턴 등을 방전 파손하는 일은 없다.
또 전극에 인가하는 전위를 피검사 시료에 인가하는 전하보다도 낮게 하였기때문에 피검사 시료의 전자선의 조사면에 있어서의 전계강도를 약하게 할 수 있어 피검사 시료에 대한 방전을 방지할 수 있다.
또 전극에 인가하는 전위를 음전위로 하고, 피검사 시료는 접지하였기 때문에 피검사 시료의 전자선의 조사면에 있어서의 전계강도를 약하게 할 수 있어 피검사 시료에의 방전을 방지할 수 있다.
E ×B 분리기의 변형예
도 16은 본 발명의 실시형태의 E ×B 분리기를 나타낸다. 도 16은 광축에 수직인 면에서 자른 단면도이다. 전계를 발생시키기 위한 4쌍의 전극(701과 708, 702와 707, 703과 706, 704와 705)은 비자성 도전체로 형성되고, 전체로서 대략 원통형상이고, 절연재료로 형성된 전극 지지용 원통(713)의 내면에 나사(도시 생략) 등에 의하여 고정된다. 전극 지지용 원통(713)의 축 및 전극이 형성하는 원통의 축은 광축(716)에 일치시킨다. 각 전극(701, 702, 703, 704, 705, 706, 707, 708) 사이의 전극 지지용 원통(713) 내면에는 광축(716)과 평행한 홈(714)이 설치된다. 그리고 그 내면의 영역은 도전체(715)로 코팅되어 어스전위로 설정된다.
전계를 발생시킬 때에 전극(702, 703)에 「cosθ1」, 전극(706, 707)에 「-cosθ1」, 전극(701, 704)에 「cosθ2」, 전극(705, 708)에 「-cosθ2」에 비례하는 전압을 인가하면 전극 내경의 60% 정도의 영역에서 대략 균일한 평행전계가 얻어진다. 도 17에 전계분포의 시뮬레이션결과를 나타낸다. 또한 이 예에서는 4쌍 의 전극을 사용하였으나, 3쌍이어도 내경의 40%정도의 영역에서 균일한 평행전계가 얻어진다.
자계의 발생은 전극 지지용 원통(713)의 바깥쪽에 2개의 직사각형상의 백금합금 영구자석(709, 710)을 평행으로 배치함으로써 행한다. 영구자석(709, 710)의 광축(716)측의 면의 주변에는 자성재료로 구성되는 돌기(712)가 설치된다. 이 돌기 (712)는 광축(716)측의 자력선이 바깥쪽으로 볼록하게 왜곡되는 것을 보상하는 것으로 그 크기 및 형상은 시뮬레이션해석에 의하여 정할 수 있다.
영구자석(709, 710)의 바깥쪽은 영구자석(709, 710)에 의한 자력선의 광축 (716)과 반대측의 통로가 전극 지지용 원통(713)과 동축의 원통이 되도록 강자성체 재료로 구성되는 자기회로(711)가 설치된다.
도 16에 나타낸 바와 같은 E ×B 분리기는 도 8에 나타내는 바와 같은 사상 투영형 전자선 검사장치뿐만 아니라, 주사형 전자선 검사장치에도 적용할 수 있다.
이상의 설명으로부터 분명한 바와 같이 본 실시예에 의하면 광축의 주위에 전계, 자계가 모두 일정한 영역을 크게 취할 수 있어 1차 전자선의 조사범위를 넓게 하여 E ×B 분리기를 통과한 상의 수차를 문제가 없는 값으로 할 수 있다.
또 자계를 형성하는 자극의 주변부에 돌기를 설치함과 동시에, 이 자극을 전계발생용 전극의 바깥쪽에 설치하였기 때문에 균일한 자계가 발생할 수 있음과 동시에 자극에 의한 전계의 왜곡을 작게 할 수 있다. 또 영구자석을 사용하여 자계를 발생시키고 있기 때문에 E ×B 분리기 전체를 진공 중에 넣을 수 있다. 다시 전계발생용 전극 및 자로 형성용 자기회로를 광축을 중심축으로 하는 동축의 원통 형상으로 함으로써 E ×B 분리기 전체를 소형화할 수 있다.
프리차지유닛
프리차지유닛(81)은 도 1에 나타내는 바와 같이 워킹챔버(31)내에서 전자 광학장치(70)의 거울통(71)에 인접하여 배치되어 있다. 본 검사장치에서는 검사대상 인 기판, 즉 웨이퍼에 전자선을 조사함으로써 웨이퍼 표면에 형성된 장치패턴 등을 검사하는 형식의 장치이므로, 전자선의 조사에 의하여 생기는 2차 전자 등의 정보를 웨이퍼 표면의 정보로 하나, 웨이퍼재료, 조사전자의 에너지 등의 조건에 의하여 웨이퍼 표면이 대전(차지업)하는 일이 있다. 또한 웨이퍼 표면에서도 강하게 대전하는 개소, 약한 대전개소가 생길 가능성이 있다. 웨이퍼 표면의 대전량에 불균일이 있으면 2차 전자정보도 불균일을 일으켜 정확한 정보를 얻을 수 없다. 따라서 본 실시형태에서는 이 불균일을 방지하기 위하여 하전입자조사부(811)를 가지는 프리차지유닛(81)이 설치되어 있다. 검사하는 웨이퍼의 소정의 개소에 검사전자를 조사하기 전에 대전 불균일을 없애기 위하여 이 프리차지유닛의 하전입자조사부(811)로부터 하전입자를 조사하여 대전의 불균일을 없앤다. 이 웨이퍼 표면의 차지업은 미리 검출대칭인 웨이퍼면의 화상을 형성하여 그 화상을 평가함으로써 검출하고, 그 검출 에 의거하여 프리차지유닛(81)을 동작시킨다.
또 이 프리차지유닛에서는 1차 전자선을 흐리게 하여 조사하여도 좋다.
도 18은 본 발명에 의한 프리차지유닛의 일 실시형태의 주요부를 나타낸다. 하전입자(818)는 하전입자조사선원(819)으로부터 시료 기판(W)에 바이어스전원 (820)으로 설정된 전압으로 가속되어 조사된다. 피검사영역(815)은 영역(816)과 함께 이미 전처리의 하전입자조사를 행한 장소를 나타내고, 영역(817)은 하전입자조사를 행하고 있는 장소를 나타낸다. 이 도면에서는 시료 기판(W)을 도면의 화살표 방향으로 주사하고 있으나, 왕복주사를 행하는 경우는 도시점선으로 나타내는 바와 같이 하전입자선원(819)을 또 1대 1차 전자선원의 반대측에 설치하여 시료 기판(W)의 주사방향에 동기하여 교대로 하전입자선원(819, 819)을 온, 오프하면 좋다. 이 경우 하전입자의 에너지가 지나치게 높으면 시료 기판(W)의 절연부로부터의 2차 전자 수율이 1을 초과하여 표면이 양으로 대전하고, 또 그것 이하에서도 2차 전자가 생성하면 현상이 복잡해져 조사효과가 감소하기 때문에 2차 전자의 생성이 격감하는 1OOeV 이하 (이상적으로는 0eV 이상에서 30eV 이하)의 랜딩전압으로 설정하는 것이 효과적이다.
도 19는 본 발명에 의한 프리차지유닛의 제 2 실시형태를 나타낸다. 본 도면은 하전입자선으로서 전자선(825)을 조사하는 타입의 조사선원을 나타낸다. 조사선원은 열 필라멘트(821), 인출 전극(824), 시일드케이스(826), 필라멘트전원(827), 전자 인출 전원(823)으로 구성되어 있다. 인출 전극(824)은 두께 0.1mm이고, 폭 0.2mm, 길이 1.0mm의 슬릿이 설치되어 있고, 지름 0.1mm의 필라멘트(821)와의 위치관계는 3전극 전자총의 형태로 되어 있다. 시일드 케이스(826)에는 폭 1mm, 길이 2mm의 슬릿이 설치되어 있고, 인출 전극(824)과는 거리 1mm의 간격으로 하여 양자의 슬릿센터가 일치하도록 이루어져 있다. 필라멘트의 재질은 텅스텐(W)으로 2A의 전류를 흘려, 인출 전압 20V, 바이어스전압 -30V로 수 ㎂의 전자전류가 얻어지고 있다.
여기에 나타낸 예는 일례로서, 예를 들면 필라멘트재질은 Ta, Ir, Re 등의 고융점 금속이나, 산화토륨이 코팅된 W, 산화물 음극 등이 사용될 수 있고, 그 재질, 선 지름, 길이에 의하여 필라멘트전류가 변하는 것은 물론이다. 또 그 밖의 종류의 전자총이어도 전자선 조사영역, 전자전류, 에너지를 적당한 값으로 설정할 수 있는 것이면 사용하는 것이 가능하다.
도 20은 제 3 실시예를 나타낸다. 본 하전입자선으로서 이온(829)을 조사하는 타입의 조사선원을 나타낸다. 본 조사선원은 필라멘트(821), 필라멘트전원 (822), 방전전원(827), 양극 시일드 케이스(826)로 구성되어 있고, 양극(828)과 시일드 케이스(826)에는 1mm ×2mm의 동일한 크기의 슬릿이 뚫려 있고, 1mm 간격으로 양 슬릿의 중심이 일치하도록 조립되어 있다. 시일드 케이스(826)내에 파이프 (831)를 거쳐 Ar가스(830)를 1Pa 정도 도입하여 열 필라멘트(821)에 의한 아크방전 형으로 동작시킨다. 바이어스전압은 양의 값으로 설정한다.
도 21은 제 4 실시예인 플라즈마조사방식의 경우를 나타낸다. 구조는 도 20과 동일하다. 동작도 상기와 마찬가지로 열 필라멘트(821)에 의한 아크방전형으로 동작시키나, 바이어스전위를 0V로 함으로써, 가스압에 의하여 플라즈마(832)가 슬릿으로부터 스며 나와 시료 기판에 조사된다. 플라즈마조사의 경우는 다른 방법에 비하여 음양 양쪽의 전하를 가지는 입자의 집단을 위하여 시료 기판 표면의 음양 어느쪽의 표면전위도 0에 근접시키는 것이 가능하게 된다.
시료 기판(W)에 접근하여 배치된 하전입자조사부(819)는 도 18 내지 도 21에 나타내는 구조의 것으로, 시료 기판(W)의 산화막이나 질화막의 표면구조의 차이나, 다른 공정후마다의 각각의 시료 기판에 대하여 적당한 조건에 의하여 하전입자 (818)를 조사하도록 되어 있고, 시료 기판에 대하여 최적의 조사조건으로 조사를 행한 후에, 즉 시료 기판(W) 표면의 전위를 평활화, 또는 하전입자에 의하여 포화시킨 후에 전자선(711, 712)에 의하여 화상을 형성하여 결함을 검출하도록 되어 있다.
이상 설명한 바와 같이 본 실시예에 있어서는 하전입자조사에 의한 측정 직전 처리에 의하여 대전에 의한 측정화상 왜곡이 생기지 않거나, 생기더라도 약간이므로 결함을 정확하게 측정할 수 있다.
또 종래에는 사용이 문제로 되어 있던 양의 대전류를 흘려 스테이지를 주사할 수 있으므로, 2차 전자도 다량으로 검출되어 S/N 비가 좋은 검출신호를 얻을 수 있어 결함검출의 신뢰성이 향상한다.
또 S/N 비가 크기 때문에 더욱 빠르게 스테이지를 주사하여도 양호한 화상 데이터를 제작할 수 있어, 검사의 스루풋을 크게 할 수 있다.
도 22에 있어서, 본 실시형태에 의한 프리차지유닛을 구비한 촬상장치가 모식적으로 나타나 있다. 이 촬상장치는 1차 광학계(72)와, 2차 광학계(74)와, 검출계 (76)와, 대상에 대전한 전하를 균일화 또는 저감화하는 전하 제어수단(840)을 구비하고 있다. 1차 광학계(72)는 전자선을 검사대상(이하 대상)(W)의 표면에 조사하는 광학계이고, 전자선을 방출하는 전자총(721)과, 전자총(721)으로부터 방출된 1차 전자빔(711)을 편향하는 정전렌즈(722)와, 1차 전자빔을 그 광축이 대상의 면에 수직이 되도록 편향하는 빈필터, 즉 E ×B 편광기(723)와, 전자선을 편향하는 정전렌즈(724)를 구비하고, 그것들은 도 22에 나타내는 바와 같이 전자총(721)을 최상부로 하여 순서대로 또한 전자총으로부터 방출되는 1차 전자선(711)의 광축이 대상(W)의 표면(시료면)에 연직한 선에 대하여 경사져 배치되어 있다. E ×B 편향기(723)는 전극(723-1) 및 자석(723-2)을 구비하고 있다.
2차 광학계(74)는 1차 광학계의 E ×B형 편향기(723)의 위쪽에 배치된 정전 렌즈(741)를 구비하고 있다. 검출계(76)는 2차 전자(712)를 광 신호로 변환하는 신틸레이터 및 마이크로채널플레이트(MCP)의 조합(751)과, 광 신호를 전기신호로 변환하는 CCD(762)와, 화상처리장치(763)를 구비하고 있다. 상기 1차 광학계(72), 2차 광학계(74) 및 검출계(76)의 각 구성요소의 구조 및 기능은 종래의 것과 동일하기 때문에 그것들에 대한 상세한 설명은 생략한다.
대상에 대전한 전하를 균일화 또는 저감화하는 전하 제어수단(840)은 이 실시예에서는 대상(W)과 그 대상(W)에 가장 근접한 1차 광학계(72)의 정전편향 렌즈 (724)와의 사이에서 대상(W)에 접근시켜 배치된 전극(841)과, 전극(841)에 전기적으로 접속된 전환 스위치(842)와, 그 전환 스위치(842)의 한쪽의 단자(843)에 전기적으로 접속된 전압발생기(844)와, 전환 스위치(842)의 다른쪽의 단자(845)에 전기적으로 접속된 전하검출기(846)를 구비하고 있다. 전하검출기(846)는 높은 임피던스를 가지고 있다. 전하 저감수단(840)은 또한 1차 광학계(72)의 전자총(721)과 정전렌즈(722) 사이에 배치된 그리드(847)와, 그리드(847)에 전기적으로 접속되어 있는 전압발생기(848)를 구비하고 있다. 타이밍 제네레이터(849)가 검출계(76)의 CCD (762) 및 화상처리장치(763), 전하 저감수단(840)의 전환 스위치(842), 전압발 생기 (844) 및 전하 검출기(846 및 848)에 동작 타이밍을 지령하도록 되어 있다.
다음에 상기 구성의 전자선장치의 동작에 대하여 설명한다.
전자총(721)으로부터 방출된 1차 전자빔(711)은 1차 광학계(72)의 정전렌즈 (722)를 거쳐 E ×B 편광기(723)에 도달하고, 그 E ×B 편광기(723)에 의하여 대상 (W)의 면에 대하여 연직이 되도록 편향되고, 다시 정전편광기(724)를 거쳐 대상(W)의 표면(대상면)(WF)을 조사한다. 대상(W)의 표면(WF)으로부터는 대상의 성상에 따라 2차 전자(712)가 방출된다. 이 2차 전자(712)는 2차 광학계(74)의 정전 렌즈(741)를 거쳐 검출계(76)의 신틸레이터 및 MCP의 조합(751)에 보내져 그 신틸레이터에 의하여 빛으로 변환되고, 그 빛은 CCD(762)에 의하여 광전변환되고, 그 변환된 전기신호에 의하여 화상처리장치(763)가 2차원 화상(계조를 가짐)을 형성한다. 또한 통상의 이와 같은 종류의 검사장치와 마찬가지로 대상에 조사되는 1차 전자빔은 1차 전자빔을 공지의 편향수단(도시 생략)에 의하여 주사시킴으로써, 또는 대상을 지지하는 테이블(L)을 X, Y의 2차원 방향으로 이동시킴으로써, 또는 그것들의 조합에 의하여 대상면(WF) 위의 필요개소 전체를 조사시켜 그 대상면의 데이터를 수집할 수 있게 되어 있다.
대상(W)에 조사된 1차 전자선(711)에 의하여 대상(W)의 표면 근방에는 전하가 생겨 양으로 대전한다. 그 결과 대상(W)의 표면(WF)으로부터 발생하는 2차 전자 (712)는 이 전하와의 쿨롱력에 의하여 전하의 상황에 따라 궤도가 변화된다. 그 결과, 화상처리장치(763)에 형성되는 화상에 왜곡이 발생하게 된다. 대상면(WF)의 대전은 대상(W)의 성상에 따라 변화되기 때문에, 대상으로서 웨이퍼를 사용한 경우, 동일 웨이퍼이더라도 반드시 동일은 아니고, 시간적으로도 변화한다. 따라서 웨이퍼 위의 2개소의 패턴을 비교하는 경우에 오검출이 발생할 염려가 있다.
따라서 본 발명에 의한 이 실시형태에서는 검출계(76)의 CCD(762)가 화상을 1주사분 포착한 후의 빈 시간을 이용하여 높은 임피던스를 가지는 전하 검출기 (846)에 의하여 대상(W)의 근방에 배치된 전극(841)의 대전량을 계측한다. 그리고 계측한 대전량에 따른 전자를 조사시키는 전압을 전압 발생기(844)로 발생시키고, 계측후에 전환 스위치(842)를 동작시켜 전극(841)을 전압발생기(844)에 접속하여 전압발생기에 의하여 발생된 전압을 전극(841)에 인가함으로써 대전한 전화를 상쇄한다. 이에 의하여 화상처리장치(763)에 형성되는 화상에 왜곡이 발생하지 않게 되어 있다. 구체적으로는 전극(841)에 통상의 전압이 인가되어 있을 때는 집속한 전자선을 대상(W)에 조사하나, 전극(841)에 다른 전압을 인가하면 초점 맞춤조건이 크게 어긋나 대전이 예상되는 넓은 영역에 작은 전류밀도로 조사가 행하여지고, 양으로 대전한 대상의 양전하를 중화시킴으로써 대전이 예상되는 넓은 영역의 전압을 특정한 양(음)전압으로 균일화하거나, 균일화 또한 저감화함으로써 더욱 낮은 양(음)전압(제로볼트도 포함한다)으로 할 수 있다. 상기와 같은 상쇄의 동작은 주사마다 실시된다.
베넬트전극, 즉 그리드(847)는 빈 시간의 타이밍 중에 전자총(721)으로부터 조사되는 전자빔을 정지시켜 대전량의 계측 및 대전의 상쇄동작을 안정되게 실행하는 기능을 가진다. 상기 동작의 타이밍은 타이밍 제네레이터(849)에 의하여 지령 되고, 예를 들면 도 23의 타이밍차트에 나타내는 바와 같은 타이밍이다. 또한 대전량은 대상으로서 웨이퍼를 사용한 경우, 그 위치에 따라 다르기 때문에, CCD의 주사방향에 전극(841), 전환 스위치(842), 전압발생기(844) 및 전하검출기(846)를 복수세트 설치하고 세분화하여, 보다 정밀도가 높은 제어를 행하는 것도 가능하다.
본 실시예에 의하면 다음과 같은 효과를 가지는 것이 가능하다.
(가) 대전에 의하여 발생하는 화상의 왜곡을 검사대상의 성상에 의하지 않고 저감할 수 있다.
(나) 종래의 계측 타이밍의 빈 시간을 이용하여 대전의 균일화, 상쇄를 실행하기 때문에 스루풋에 아무런 영향을 미치는 일이 없다.
(다) 실시간으로 처리가 가능하기 때문에 사후 처리의 시간, 메로리 등을 필요로 하지 않는다.
(라) 고속이고 정밀도가 높은 화상의 관측, 결함검출이 가능하다.
도 24에는 본 발명의 다른 실시형태에 관한 프리차지유닛을 구비한 결함 검사장치의 개략구성이 나타나 있다. 이 결함 검사장치는 1차 전자선을 방출하는 전자총(721), 방출된 1차 전자선을 편향, 성형시키는 정전렌즈(722), 도시 생략한 펌프에 의하여 진공으로 배기 가능한 시료실(32), 그 시료실내에 배치되어 반도체 웨이퍼(W) 등의 시료를 탑재한 상태로 수평면내를 이동 가능한 스테이지(50), 1차 전자선의 조사에 의하여 웨이퍼(W)로부터 방출된 2차 전자선 및/또는 반사 전자선을 소정의 배율로 사상 투영하여 결상시키는 사상 투영계의 정전렌즈(741), 결상된 상을 웨이퍼의 2차 전자화상으로서 검출하는 검출기(770) 및 장치 전체를 제어함과 동시에 검출기(770)에 의하여 검출된 2차 전자화상에 의거하여 웨이퍼(W)의 결함을 검출하는 처리를 실행하는 제어부(1016)를 포함하여 구성된다. 또한 상기 2차 전자화상에는 2차 전자뿐만 아니라 반사전자에 의한 기여도 포함되어 있으나, 여기서는 2차전자화상이라 부르기로 한다.
또 시료실(32)내에는 웨이퍼(W)의 위쪽에 자외광을 포함하는 파장영역의 광선을 발하는 UV 램프(1111)가 설치되어 있다. 이 UV 램프(1111)의 유리 표면에는 UV 램프(1111)로부터 방사된 광선에 의하여 광전효과에 기인하는 광전자(e-)를 발하는 광전자 방출재(111O)가 코팅되어 있다. 이 UV 램프(1111)는 광전자 방출재(1110)로부터 광전자를 방출시키는 능력을 가지는 파장영역의 광선을 방사하는 광원이면 임의의 것으로부터 선택할 수 있다. 일반적으로는 254nm의 자외선을 방사하는 저압 수은램프를 사용하는 것이 비용적으로 유리하다. 또 광전자 방출재(111O)는 광전자를 방출시키는 능력이 있는 한 임의의 금속으로부터 선택할 수 있고, 예를 들면 Au 등이 바람직하다.
상기한 광전자는 1차 전자선보다 저에너지이다. 여기서 저에너지란, 수 eV 내지 수십 eV의 오더, 바람직하게는 O 내지 1OeV의 것을 의미한다. 본 발명은 이와 같은 저에너지의 전자를 생성하는 임의의 수단을 사용할 수 있다. 예를 들면 UV 램프(1111)를 대용하여 도시 생략한 저에너지 전자총을 구비하는 것이어도 달성할 수 있다.
또한 본 실시형태의 결함 검사장치는 전원(1113)을 구비하고 있다. 이 전원 (1113)의 음극은 광전자 방출재(1110)에 접속되고, 그 양극은 스테이지(50)에 접속 되어 있다. 따라서 광전자 방출재(1110)는 스테이지(50), 즉 웨이퍼(W)의 전압에 대하여 음의 전압이 인가된 상태가 된다.
검출기(770)는 정전렌즈(741)에 의하여 결상된 2차 전자 화상을 후처리 가능한 신호로 변환할 수 있는 한, 임의의 구성으로 할 수 있다. 예를 들면 도 46에 그 상세를 나타내는 바와 같이 검출기(770)는 멀티채널플레이트(771)와, 형광면(772)과, 릴레이 광학계(773)와, 다수의 CCD 소자로 이루어지는 촬상센서(774)를 포함하여 구성할 수 있다. 멀티채널플레이트(771)는 플레이트내의 다수의 채널을 구비하고 있고, 정전렌즈(741)에 의하여 결상된 2차 전자가 상기 채널내를 통과하는 사이에 다시 다수의 전자를 생성시킨다. 즉 2차 전자를 증폭시킨다. 형광면(772)은 증폭된 2차 전자에 의하여 형광을 발함으로써 2차 전자를 빛으로 변환한다. 릴레이 렌즈(773)가 이 형광을 CCD 촬상센서(774)로 유도하고, CCD 촬상센서(774)는 웨이퍼 (W) 표면상의 2차 전자의 강도분포를 소자마다의 전기신호, 즉 디지털 화상 데이터로 변환하여 제어부(1016)에 출력한다.
제어부(1016)는 도 24에 나타내는 바와 같이 범용적인 퍼스널컴퓨터 등으로 구성할 수 있다. 이 컴퓨터는 소정의 프로그램에 따라 각종 제어, 연산처리를 실행하는 제어부 본체(1O14)와, 본체(1O14)의 처리결과를 표시하는 CRT(1015)와, 조작자가 명령을 입력하기 위한 키보드나 마우스 등의 입력부(1018)를 구비하는, 물론 결함 검사장치 전용의 하드웨어, 또는 워크스테이션 등으로 제어부(1016)를 구성하여도 좋다.
제어부 본체(1014)는 도시 생략한 CPU, RAM, ROM, 하드디스크, 비디오기판 등의 각종 제어기판 등으로 구성된다. RAM 또는 하드디스크 등의 메모리상에는 검출기(770)로부터 수신한 전기신호, 즉 웨이퍼(W)의 2차 전자화상의 디지털 화상 데이터를 기억하는 2차 전자 화상 기억영역(8)이 할당되어 있다. 또 하드디스크상에는 결함 검사장치 전체를 제어하는 제어 프로그램 외에, 기억영역(1008)으로부터 2차 전자 화상 데이터를 판독하고, 그 화상 데이터에 의거하여 소정의 알고리즘에 따라 웨이퍼(W)의 결함을 자동적으로 검출하는 결함 검출프로그램(1009)이 저장되어 있다. 이 결함 검출프로그램(1009)은 예를 들면 웨이퍼(W)의 해당 검사개소와, 다른 검사개소를 비교하여 다른 대부분의 개소의 패턴과 상위한 패턴을 결함으로서 조작자에게 경고 표시하는 기능을 가진다. 또한 CRT(1015)의 표시부에 2차 전자 화상(1017)을 표시하여 조작자의 육안으로 웨이퍼(W)의 결함을 검출하도록 하여도 좋다.
다음에 도 24의 실시형태에 관한 전자선 장치의 작용을 도 27의 플로우차트를 예로 하여 설명한다.
먼저 검사대상이 되는 웨이퍼(W)를 스테이지(50) 위에 세트한다(단계 1200). 이것은 도시 생략한 로더에 다수 저장된 웨이퍼(W)를 1매마다 자동적으로 스테이지(50)에 세트하는 형태이어도 좋다. 다음에 전자총(721)으로부터 1차 전자선을 방출하여 정전렌즈(722)를 통하여 세트된 웨이퍼(W) 표면상의 소정의 검사영역에 조사한다(단계 1202). 1차 전자선이 조사된 웨이퍼(W)에서는 2차 전자 및/또는 반사전자(이하, 「2차 전자」만 칭함)가 방출되고, 그 결과 웨이퍼(W)는 양전위에 차지업한다. 다음에 발생한 2차 전자선을 확대 투영계의 정전렌즈(741)에 의하여 소정의 배율로 검출기(770)에 결상시킨다(단계 1204). 이때 광전자 방출재(1110)에 스테이지(50)로부터 음의 전압을 인가한 상태에서 UV 램프(1111)를 발광시킨다(단계 1206). 그 결과 UV 램프(1111)로부터 발생한 진동수(ν)의 자외선이 그 에너지 양자(hν)(h는 플랭크정수)에 의하여 광전자 방출재(111O)로부터 광전자를 방출시킨다. 이들 광전자(e-)는 음으로 대전한 광전자 방출재(1110)로부터 양으로 차지업한 웨이퍼(W)를 향하여 조사되고, 그 웨이퍼(W)를 전기적으로 중화시킨다. 이와 같이 하여 2차 전자선은 웨이퍼(W)의 양전위에 의하여 실질적인 영향을 받는 일 없이 검출기 (770)상에 결상된다.
이와 같이 전기적으로 중화된 웨이퍼(W)로부터 방출된 상 장해가 경감된 2차전자선의 화상을 검출기(770)가 검출하여 디지털 화상 데이터로 변환 출력한다(단계 1208). 다음에 제어부(1016)가 결함 검출프로그램(1009)에 따라 검출된 화상 데이터에 의거하여 웨이퍼(W)의 결함 검출처리를 실행한다(단계 1210). 이 결함 검출처리에서는 제어부(1016)는 동일한 다이를 다수개 가지는 웨이퍼의 경우, 상기와 같이 검출된 다이끼리의 검출화상을 비교함으로써 결함부분을 추출한다. 메모리에 미리 축적되어 있던 결함이 존재하지 않는 웨이퍼의 기준 2차 전자선 화상과, 실제로 검출된 2차 전자선 화상을 비교 대조하여 결함부분을 자동적으로 검출하여도 좋다. 이때 검출화상을 CRT(1015)에 표시함과 동시에 결함부분으로 판정된 부분을 마크표시하여도 좋고, 이에 의하여 조작자는 웨이퍼(W)가 실제로 결함을 가지는지의 여부를 최종적으로 확인, 평가할 수 있다. 이 결함 검출방법의 구체예에 대해서는 다시 뒤에서 설명한다.
단계(1210)의 결함 검출처리의 결과, 웨이퍼(W)에 결함있다라고 판정된 경우 (단계 1212 긍정판정), 조작자에게 결함의 존재를 경고한다(단계 1218). 경고의 방법으로서 예를 들면 CRT(1015)의 표시부에 결함의 존재를 알리는 메시지를 표시하거나, 이와 동시에 결함이 존재하는 패턴의 확대 화상(1017)을 표시하여도 좋다. 이와 같은 결함 웨이퍼를 즉시 시료실(32)로부터 인출하여 결함이 없는 웨이퍼와는 별도의 보관장소에 저장하여도 좋다(단계 1219).
단계(1210)의 결함 검출처리의 결과, 웨이퍼(W)에 결함이 없다고 판정된 경우 (단계 1212 부정판정), 현재 검사대상으로 되어 있는 웨이퍼(W)에 대하여 검사해야 할 영역이 아직 남아 있는지의 여부가 판정된다(단계 1214). 검사해야 할 영역이 남아 있는 경우(단계 1214 긍정판정), 스테이지(50)를 구동하여 이제부터 검사해야 할 다른 영역이 1차 전자선의 조사영역내에 들어 가도록 웨이퍼(W)를 이동시킨다(단계 1216). 그후 단계(1202)로 되돌아가 상기 다른 검사영역에 관하여 동일한 처리를 반복한다.
검사해야 할 영역이 남아 있지 않은 경우(단계 1214 부정판정), 또는 결함 웨이퍼의 추출공정(단계 1219)의 다음, 현재 검사대상으로 되어 있는 웨이퍼(W)가 최종의 웨이퍼인지의 여부, 즉 도시 생략한 로더에 미검사 웨이퍼가 남아 있지 않은 가의 여부가 판정된다(단계 1220). 최종 웨이퍼가 아닌 경우(단계 1220 부정판정) 검사가 끝난 웨이퍼를 소정의 저장개소에 보관하고, 그 대신에 새로운 미검사 웨이퍼를 스테이지(50)에 세트한다(단계 1222). 그 후 단계(1202)로 되돌아가 해당 웨이퍼에 대하여 동일한 처리를 반복한다. 최종 웨이퍼인 경우(단계 1220 긍정판정) 검사가 끝난 웨이퍼를 소정의 저장개소에 보관하고, 전 공정을 종료한다.
UV 광전자 조사(단계 1206)는 웨이퍼(W)의 양의 차지업이 회피되어 상 장해가 경감된 상태에서 2차 전자 화상검출(단계 1206)을 할 수 있으면 임의의 타이밍, 임의의 기간내에서 행할 수 있다. 도 27의 처리가 계속되고 있는 동안, 항상 UV 램프 (1111)를 점등한 상태로 하여도 좋으나, 1매의 웨이퍼마다 기간을 정하여 발광, 소등을 반복하여도 좋다. 후자의 경우 발광의 타이밍으로서 도 27에 나타낸 타이밍 외에 2차 전자선 결상(단계 1204)의 실행 전, 또는 1차 전자선 조사(단계 1202)의 실행 전으로부터 개시하여도 좋다. 적어도 2차 전자검출의 기간내는 UV 광전자 조사를 계속하는 것이 바람직하나, 2차 전자 화상검출전 또는 검출 중이더라도 충분히 웨이퍼가 전기적으로 중화되면 UV 광전자의 조사를 정지하여도 좋다.
단계(1210)의 결함 검출방법의 구체예를 도 28(a) 내지 도 28(c)에 나타낸다. 먼저 도 28(a)에는 첫번째로 검출된 다이의 화상(1231) 및 2번째로 검출된 다른 다이의 화상(1232)이 나타나 있다. 3번째로 검출된 다른 다이의 화상이 첫번째의 화상(1231)과 동일하거나 또는 유사하다고 판단되면 2번째의 다이 화상(1232)의 1233의 부분이 결함을 가진다고 판정되어 결함부분을 검출할 수 있다.
도 28(b)에는 웨이퍼 위에 형성된 패턴의 선폭을 측정하는 예가 나타나 있다. 웨이퍼상의 실제의 패턴(1234)을 1235의 방향으로 주사하였을 때의 실제의 2차전자의 강도신호가 1236이고, 이 신호가 미리 교정하여 정해진 스레숄드레벨(1237)을 연속적으로 초과하는 부분의 폭(1238)을 패턴(1234)의 선폭으로서 측정할 수 있다. 이와 같이 측정된 선폭이 소정의 범위내에 없는 경우, 해 당 패턴이 결함을 가진다고 판정할 수 있다.
도 28(c)에는 웨이퍼 위에 형성된 패턴의 전위 콘트라스트를 측정하는 예가 나타나 있다. 도 24에 나타내는 구성에 있어서, 웨이퍼(W)의 위쪽에 축대칭의 전극(1239)을 설치하고, 예를 들면 웨이퍼 전위 0V 에 대하여 -10V의 전위를 인가하여 둔다. 이때의 -2V의 등전위면은 1240으로 나타내는 바와 같은 형상으로 한다. 여기서 웨이퍼에 형성된 패턴(1241 및 1242)은 각각 -4V와 0V의 전위라고 한다. 이 경우 패턴(1241)으로부터 방출된 2차 전자는 -2V 등전위면(1240)에서 2eV의 운동 에너지에 상당하는 상향의 속도를 가지고 있기 때문에, 이 포텐셜장벽(1240)을 넘어 궤도(1243)에 나타내는 바와 같이 전극(1239)으로부터 탈출하여 검출기(770)에서 검출된다. 한편 패턴(1242)으로부터 방출된 2차 전자는 -2V의 전위장벽을 넘지 않고 궤도(1244)에 나타내는 바와 같이 웨이퍼면을 따라 되돌아가기 때문에 검출되지 않는다. 따라서 패턴(1241)의 검출화상은 밝고, 패턴(1242)의 검출화상은 어두워진다. 이와 같이 하여 전위 콘트라스트가 얻어진다. 검출화상의 밝기와 전위를 미리 교정하여 두면, 검출화상으로부터 패턴의 전위를 측정할 수 있다. 그리고 이 전위분포로부터 패턴의 결함부분을 평가할 수 있다.
본 발명의 다른 실시형태에 관한 프리차지유닛을 구비한 결함 검사장치의 개략구성을 도 25에 나타낸다. 또한 도 24의 실시형태와 동일한 구성요소에 대해서는 동일한 부호를 붙이고 상세한 설명을 생략한다.
이 실시형태에서는 도 25에 나타내는 바와 같이 UV 램프(1111)의 유리 표면에 광전자 방출재가 코팅되어 있지 않다. 그 대신에 광전자 방출판(1110b)이 시료 실 (322)내에서 웨이퍼(W)의 위쪽에 배치되고, UV 램프(1111)는 방사한 자외선이 광전자 방출판(111Ob)에 조사되는 위치에 배치된다. 광전자 방출판(1110b)에는 전원 (13)의 음극이 접속되고, 스테이지(50)에는 전원의 양극이 접속되어 있다. 이 광전자 방출판(1110b)은 Au 등의 금속으로 만들어지고, 또는 그와 같은 금속이 코팅된 판으로서 만들어져도 좋다.
도 25의 실시형태의 작용은 도 24의 실시형태와 동일하다. 이 도 25의 실시형태에 있어서도 광전자를 웨이퍼(W)의 표면 위에 적시 조사하는 것이 가능하기 때문에 도 24의 실시형태와 동일한 효과를 가진다.
본 발명의 또 다른 실시형태에 관한 프리차지유닛을 구비한 결함 검사장치의 개략구성을 도 26에 나타낸다. 또한 도 24 및 도 25의 실시형태와 동일한 구성요소 에 대해서는 동일한 부호를 붙이고 상세한 설명을 생략한다.
도 26의 실시형태에서는 도 26에 나타내는 바와 같이 시료실(32)의 측면벽에 투명한 창재(1112)를 설치하여 UV 램프(1111)로부터 방사된 자외선이 이 창재 (1112)를 통하여 시료실(32)내에서 웨이퍼(W)의 위쪽에 배치된 광전자 방출판 (1110b)에 조사되도록 UV 램프(1111)가 시료실(32)의 외부에 배치되어 있다. 도 26의 실시형태에서는 진공이 되는 시료실(32)의 외부에 UV 램프(1111)를 배치하였기때문에 UV 램프(1111)의 내진공 성능을 고려할 필요가 없어져, 도 24 및 도 25의 실시형태와 비교하여 UV 램프(1111)의 선택 브랜치를 넓힐 수 있다.
도 26의 실시형태의 다른 작용은 도 24 및 도 25의 실시형태와 동일하다. 도 26의 실시형태에 있어서도 광전자를 웨이퍼(W)의 표면 위에 적시 조사하는 것이 가능하기 때문에, 도 24 및 도 25의 실시형태와 동일한 효과를 가진다.
이상이 상기 각 실시형태이나, 본 발명에 의한 프리차지유닛을 구비한 결함 검사장치는 상기예에만 한정되는 것이 아니라 본 발명의 요지의 범위내에서 임의로 적절하게 변경 가능하다.
예를 들면 피검사 시료로서 반도체 웨이퍼(W)를 예로 들었으나, 본 발명의 피검사 시료는 이것에 한정되지 않고, 전자선에 의하여 결함을 검출할 수 있는 임의의 것이 선택 가능하다. 예를 들면 웨이퍼에 대한 노광용 패턴이 형성된 마스크 등을 검사대상으로 할 수도 있다.
또 결함 검사용 전자선장치로서, 도 24 내지 도 26의 구성을 나타내었으나, 전자 광학계 등은 임의로 적절하게 변경 가능하다. 예를 들면 도시된 결함 검사장치의 전자선 조사수단(721, 722)은 웨이퍼(W)의 표면에 대하여 비스듬하게 위쪽으로부터 1차 전자선을 입사하는 형식이나, 정전렌즈(741)의 아래쪽에 1차 전자선의 편향수단을 설치하고, 1차 전자선을 웨이퍼(W)의 표면에 수직으로 입사되도록 하여도 좋다. 이와 같은 편향수단으로서, 예를 들면 전장과 자장이 직교하는 곳(E ×B)에 의하여 1차 전자선을 편향시키는 빈필터 등이 있다.
또한 광전자를 방사하는 수단으로서, 도 24 내지 도 26에 나타낸 UV 램프(1111) 및 광전자 방출부재(1110) 또는 광전자 방출판(111Ob)의 조합 이외의 임의의 수단을 채용할 수 있음은 물론이다.
또 도 27의 플로우차트의 흐름도 이것에 한정되지 않는다. 예를 들면 단계 (1212)에서 결함있음으로 판정된 시료에 대하여, 다른 영역의 결함검사는 행하지 않는 것으로 하였으나, 전 영역을 망라하여 결함을 검출하도록 처리의 흐름을 변경하여도 좋다. 또 1차 전자선의 조사영역을 확대하여 1회의 조사로 시료의 전 검사영역을 커버할 수 있으면 단계(1214) 및 단계(1216)를 생략할 수 있다.
다시 도 27에서는 단계(1212)에서 웨이퍼에 결함있음으로 판정한 경우, 단계 (1218)에서 즉시 조작자에게 결함의 존재를 경고하고 사후처리(단계 1219)하였으나, 결함정보를 기록하여 두고 배치처리종료후(단계 1220 긍정판정의 다음), 결함을 가지는 웨이퍼의 결함정보를 보고하도록 처리의 흐름을 변경하여도 좋다.
이상 상세하게 설명한 바와 같이 도 24 내지 도 26의 실시예에 의한 결함검사장치 및 결함 검사방법에 의하면, 1차 전자선보다 저에너지를 가지는 전자를 시료에 공급하도록 하였기 때문에 2차 전자 방출에 따르는 시료 표면의 양의 차지업이 저감되고, 나아가서는 차지업에 따르는 2차 전자선의 상 장해를 해소할 수 있어, 보다 고정밀도로 시료의 결함을 검사하는 것이 가능하게 된다라는 뛰어난 효과가 얻어진다.
또한 도 24 내지 도 26의 결함 검사장치를 사용한 장치제조방법에 의하면, 상기와 같은 결함 검사장치를 사용하여 시료의 결함검사를 행하도록 하였기 때문에 제품의 수율의 향상 및 결함제품의 출하방지가 도모된다라는 뛰어난 효과가 얻어진다.
전위 인가기구
도 29에 있어서, 전위 인가기구(83)는 웨이퍼로부터 방출되는 2차 전자정보 (2차 전자 발생율)가 웨이퍼의 전위에 의존한다는 사실에 의거하여 웨이퍼를 탑재 하는 스테이지의 설치대에 ±수 V의 전위를 인가함으로써 2차 전자의 발생을 제어하는 것이다. 또 이 전위 인가기구는 조사 전자가 당초 가지고 있는 에너지를 감속하여 웨이퍼에 100 내지 500eV 정도의 조사 전자 에너지로 하기 위한 용도도 한다.
전위 인가기구(83)는 도 29에 나타내는 바와 같이 스테이지장치(50)의 탑재면 (541)과 전기적으로 접속된 전압 인가장치(831)와, 차지업조사 및 전압결정시스템 (이하 조사 및 결정시스템)(832)을 구비하고 있다. 조사 및 결정시스템(832)은 전자 광학장치(70)의 검출계(76)의 화상형성부(763)에 전기적으로 접속된 모니터 (833)와, 모니터(833)에 접속된 조작자(834)와, 조작자(834)에 접속된 CPU(835)를 구비하고 있다. CPU(835)는 상기 전압 인가장치(831)에 신호를 공급하도록 되어 있다.
상기 전위 인가기구는 검사대상인 웨이퍼가 대전하기 어려운 전위를 찾아서 그 전위를 인가하도록 설계되어 있다.
검사시료의 전기적 결함을 검사하는 방법으로서는, 원래 전기적으로 절연되어 있는 부분과 그 부분이 통전상태에 있는 경우에서는 그 부분의 전압이 다른 것을 이용할 수도 있다.
그것은 먼저 시료에 사전에 전하를 부여함으로써 원래 전기적으로 절연되어 있는 부분의 전압과, 원래 전기적으로 절연되어 있는 부분이나, 무엇인가의 원인으로 통전상태에 있는 부분의 전압과 전압차를 생기게 하고, 그 후 본 발명의 빔을 조사함으로써 전압차가 있는 데이터를 취득하고, 이 취득 데이터를 해석하여 통전 상태로 되어 있는 것을 검출한다.
전자빔 캘리브레이션기구
도 30에 있어서, 전자빔 캘리브레이션기구(85)는 상기 회전 테이블(54) 위에서 웨이퍼의 탑재면(541)의 측부의 복수개소에 설치된 빔 전류 측정용의 각각 복수의 패러데이컵(851 및 852)을 구비하고 있다. 패러데이컵(851)은 가는 빔용(약 Φ2㎛)이고, 패러데이컵(852)은 굵은 빔용(약 Φ30㎛)이다. 가는 빔용 패러데이컵 (851)에서는 회전 테이블(54)을 단계 이송함으로써 빔 프로파일을 측정하여 굵은 빔용 패러데이컵(852)에서는 빔의 총 전류량을 계측한다. 패러데이컵(851 및 852)은 상표면이 탑재면(541) 위에 탑재된 웨이퍼(W)의 상표면과 동일 레벨이 되도록 배치되어 있다. 이와 같이 하여 전자총으로부터 방출되는 1차 전자선을 항상 감시한다. 이것은 전자총이 항상 일정한 전자선을 방출할 수 있을 뿐만 아니라, 사용하고 있는 동안에 그 방출량이 변화되기 때문이다.
얼라인먼트제어장치
얼라인먼트제어장치(87)는 스테이지장치(50)를 사용하여 웨이퍼(W)를 전자 광학장치(70)에 대하여 위치 결정시키는 장치로서, 웨이퍼를 광학현미경(871)을 사용한 광시야 관찰에 의한 개략 맞춤(전자 광학계에 의하기 보다도 배율이 낮은 측정), 전자 광학장치(70)의 전자 광학계를 사용한 고배율맞춤, 초점조정, 검사영역설정, 패턴 얼라인먼트 등의 제어를 행하도록 되어 있다. 이와 같이 광학계를 사용하여 저배율로 웨이퍼를 검사하는 것은, 웨이퍼의 패턴의 검사를 자동적으로 하기 위해서는 전자선을 사용한 협시야로 웨이퍼의 패턴을 관찰하여 웨이퍼 얼라인먼 트를 행할 때에 전자선에 의하여 얼라인먼트 마크를 용이하게 검출할 필요가 있기 때문이다.
광학현미경(871)은 하우징에 설치되어(하우징내에서 이동 가능하게 설치되어있어도 좋다)있고, 광학현미경을 동작시키기 위한 광원도 도시 생략하나 하우징내에 설치되어 있다. 또 고배율의 관찰을 행하는 전자 광학계는 전자 광학장치(70)의 전자 광학계[1차 광학계(72) 및 2차 광학계(74)]를 공용하는 것이다. 그 구성을 개략나타내면, 도 31에 나타내는 바와 같이 된다. 웨이퍼 위의 피 관찰점을 저배율로 관찰하기 위해서는 스테이지장치(50)의 X 스테이지(53)를 X 방향으로 움직임으로써 웨이퍼의 피 관찰점을 광학현미경의 시야내로 이동시킨다. 광학현미경(871)으로 광 시야로 웨이퍼를 눈으로 확인하여 그 웨이퍼 위의 관찰해야 할 위치를 CCD(872)를 거쳐 모니터(873)에 표시시켜 관찰위치를 대략 결정한다. 이 경우 광학현미경의 배율을 저배율로부터 고배율로 변화시키고 있어도 좋다.
다음에 스테이지장치(50)를 전자 광학장치(70)의 광축과 광학현미경(871)의 광축과의 간격(δx)에 상당하는 거리만큼 이동시켜 광학현미경으로 미리 정한 웨이퍼 위의 피 관찰점을 전자 광학장치의 시야위치로 이동시킨다. 이 경우 전자 광학장치의 축선(O3-O3)과 광학현미경(871)의 광축(O4-O4) 사이의 거리(이 실시형태에서는 X축선을 따른 방향으로만 양자는 위치 어긋나 있는 것으로 하나, Y축 방향 및 Y축 방향으로 위치 어긋나 있어도 좋음)(δx)는 미리 알고 있기 때문에 그 값(δx)만큼 이동시키면 피 관찰점을 눈으로 확인할 수 있는 위치로 이동시킬 수 있다. 전자 광학장치의 눈으로 확인할 수 있는 위치로의 피 관찰점의 이동이 완료한 후, 전자 광학계에 의하여 고배율로 피 관찰점을 SEM 촬상하여 화상을 기억하거나 또는 CCD (761)를 거쳐 모니터(765)에 표시시킨다.
이와 같이 하여 전자 광학계에 의한 고배율로 웨이퍼의 관찰점을 모니터에 표시한 후, 공지의 방법에 의하여 스테이지장치(50)의 회전 테이블(54)의 회전중심에 관한 웨이퍼의 회전방향의 위치 어긋남 전자 광학계의 광축(O3-O3)에 대한 웨이퍼의 회전방향의 어긋남((δθ)을 검출하고, 또 전자 광학장치에 관한 소정의 패턴의 X축 및 Y축 방향의 위치 어긋남을 검출한다. 그리고 그 검출값 및 별도로 얻어진 웨이퍼에 설치된 검사 마크의 데이터 또는 웨이퍼의 패턴의 형상 등에 관한 데이터에 의거하여 스테이지장치(50)의 동작을 제어하여 웨이퍼의 얼라인먼트를 행한다.
진공배기계
진공배기계는 진공펌프, 진공밸브, 진공게이지, 진공배관 등으로 구성되고, 전자 광학계, 검출기부, 시료실, 로드록실을 소정의 시퀀스에 따라 진공배기를 행한다. 각 부에 있어서는 필요한 진공도를 달성하도록 진공밸브가 제어된다. 항상 진공도의 모니터를 행하여 이상시에는 인터록기능에 의하여 격리밸브 등의 긴급제어를 행하여 진공도의 확보를 한다. 진공펌프로서는 주 배기에 터보분자펌프, 러프용으로서 루츠식의 드라이 펌프를 사용한다. 검사장소(전자선 조사부)의 압력은 1O-3∼ 1O-5 Pa, 바람직하게는 그 1자리수 밑의 1O-4∼1O-6 Pa가 실용적이다.
제어계
제어계는 주로 주 컨트롤러, 제어 컨트롤러, 스테이지 컨트롤러로 구성되어 있다.
주 컨트롤러에는 맨 머신 인터페이스가 구비되어 있고, 조작자의 조작은 여기를 통해서 행하여진다(여러가지의 지시/명령, 레시피 등의 입력, 검사시작의 지시, 자동과 수동검사모드의 전환, 수동검사모드일 때의 필요한 모든 코맨드의 입력 등). 그외 공장의 호스트컴퓨터와의 커뮤니케이션, 진공배기계의 제어, 웨이퍼 등의 시료반송, 위치맞춤의 제어, 다른 제어 컨트롤러나 스테이지 컨트롤러에의 코맨트의 전달이나 정보의 수취 등도 주 컨트롤러에서 행하여진다. 또 광학현미경으로부터의 화상신호의 취득, 스테이지의 변동신호를 전자 광학계에 피드백시켜 상의 악화를 보정하는 스테이지 진동 보정기능, 시료 관찰위치의 Z방향(2차 광학계의 축방향)의 변위를 검출하여 전자 광학계에 피드백하여 자동적으로 초점을 보정하는 자동초점 보정기능을 구비하고 있다. 전자 광학계에의 피드백신호 등의 수수 및 스테이지로부터의 신호의 수수는 각각 제어 컨트롤러 및 스테이지 컨트롤러를 거쳐 행하여진다.
제어 컨트롤러는 주로 전자선 광학계의 제어(전자총, 렌즈, 얼라이너, 빈필터용 등의 고정밀도 전원의 제어 등)를 담당한다. 구체적으로는 조사영역에 배율이 변하였을 때에도 항상 일정한 전자전류가 조사되도록 하는 것, 각 배율에 대응한 각 렌즈계나 얼라이너에 대한 자동전압설정 등의, 각 조작모드에 대응한 각 렌즈계나 얼라이너에 대한 자동전압설정 등의 제어(연동제어)가 행하여진다.
스테이지 컨트롤러는 주로 스테이지의 이동에 관한 제어를 행하여 정밀한 X 방향 및 Y 방향의 ㎛ 오더의 이동(±0.5㎛정도의 오차)을 가능하게 하고 있다. 또본 스테이지에서는 오차 정밀도 ±0.3초 정도 이내에서 회전방향의 제어(θ제어)도 행하여진다.
전극의 청소
본 발명의 전자빔장치가 작동하면 근접 상호작용(표면 가까이에서의 입자의 대전)에 의하여 표적물질이 부유하여 고압영역으로 잡아 당겨지므로, 전자빔의 형성이나 편향에 사용되는 여러가지 전극에는 유기물질이 퇴적한다. 표면의 대전에 의해 서서히 퇴적하여 가는 절연체는 전자빔의 형성이나 편향기구에 악영향을 미치므로 퇴적한 절연체는 주기적으로 제거하지 않으면 안된다. 절연체의 주기적인 제거는 절연체가 퇴적하는 영역의 근방의 전극을 이용하여 진공 중에서 수소나 산소 또는 불소 및 그것들을 포함하는 화합물 HF, O2, H2O, CMFN 등의 플라즈마를 만들어 내어 공간내의 플라즈마 전위를 전극면에 스패터가 생기는 전위(수 kV, 예를 들면 20 V 내지 5kV)로 유지함으로써 유기물질만 산화, 수소화, 불소화에 의하여 제거한다.
스테이지장치의 변형예
도 32는 본 발명에 의한 검출장치에 있어서의 스테이지장치의 일 변형예를 나타낸다.
스테이지(93)의 Y 방향 가동부(95)의 상면에는 +Y방향과 -Y방향(도 32[b]에 서 좌우방향)으로 크게 거의 수평으로 돌출한 칸막이판(914)이 설치되고, X방향 가동부(96)의 상면과의 사이에 항상 컨덕턴스가 작은 스로틀부(950)가 구성되도록 되어 있다. 또 X방향 가동부(96)의 상면에도 동일한 칸막이판(912)이 ±X방향 (도 32 [a]에서 좌우방향)으로 돌출하도록 구성되어 있고, 스테이지대(97)의 상면과의 사이에 항상 스로틀부(951)가 형성되도록 되어 있다. 스테이지대(97)는 하우징 (98)내에 있어서 바닥벽의 위에 공지의 방법으로 고정되어 있다.
이 때문에 시료대(94)가 어떤 위치로 이동하여도 항상 스로틀부(950, 951)가 형성되므로, 가동부(95, 96)의 이동시에 가이드면(96a, 97a)으로부터 가스가 방출되더라도 스로틀부(950, 951)에 의하여 방출가스의 이동이 방해되기 때문에 하전빔이 조사되는 시료 근방의 공간(924)의 압력상승을 아주 작게 억제할 수 있다.
스테이지의 가동부(95)의 측면 및 하면 및 가동부(96)의 하면에는 정압베어링 (90)의 둘레에 도 56에 나타내는 바와 같은 차동 배기용 홈이 형성되어 있어 이 홈에 의하여 진공배기되므로, 스로틀부(950, 95l)가 형성되어 있는 경우는 가이드면으로부터의 방출가스는 이들 차동 배기부에 의하여 주로 배기되게 된다. 이 때문에 스테이지 내부의 공간(913이나 915)의 압력은 챔버(C)내의 압력보다도 높은 상태로 되어 있다. 따라서 공간(913이나 915)을 차동 배기홈(917이나 918)으로 배기할 뿐만 아니라, 진공배기하는 개소를 따로 설치하면 공간(913이나 915)의 압력을 내릴 수 있어 시료 근방(924)의 압력상승을 더욱 작게 할 수 있다. 이를 위한 진공배기통로(91-1와 91-2)가 설치되어 있다. 배기통로는 스테이지대(97) 및 하우징(98)을 관통하여 하우징(98)의 외부와 통하고 있다. 또 배기통로(91-2)는 X방향 가동부 (96)에 형성되어 X방향 가동부(96)의 하면에 개구하고 있다.
또 칸막이판(912, 914)을 설치하면 챔버(C)와 칸막이판이 간섭하지 않도록 챔버를 크게 할 필요가 생기나, 칸막이판을 신축 가능한 재료나 구조로 함으로써 이 점을 개선하는 것이 가능하다. 이 실시예에서는 칸막이판을 고무로 구성하거나 주름상자형상으로 하고, 그 이동방향의 끝부를 칸막이판(914)의 경우는 X방향 가동부 (96)에, 칸막이판(912)의 경우는 하우징(98)의 내벽에 각각 고정하는 구성으로 하는 것이 생각된다.
도 33에 있어서, 스테이지장치의 제 2 변형예가 나타나 있다.
이 실시형태에서는 거울통의 선단부, 즉 하전빔 조사부(72)의 주위에 시료 (W)의 상면과의 사이에 스로틀부가 생기도록 원통형의 칸막이(916)가 구성되어 있다. 이와 같은 구성에서는 XY 스테이지로부터 가스가 방출되어 챔버(C)내의 압력이 상승하여도 칸막이의 내부(924)는 칸막이(916)로 칸막이되어 있어, 진공배관(910)으로 배기되고 있기 때문에 챔버(C)내와 칸막이의 내부(924)와의 사이에 압력차가 생겨 칸막이 내부의 공간(924)의 압력상승을 낮게 억제된다. 칸막이(916)와 시료면과의 간극은 챔버(C)내와 조사부(72) 주변의 압력을 어느 정도로 유지하는지에 따라 변하나, 대충 수십 ㎛ 내지 수 mm 정도가 적당하다. 또한 칸막이(916)내와 진공배관은 공지의 방법에 의하여 연통되어 있다.
또 하전빔 조사장치에서는 시료(W)에 수 kV정도의 고전압을 인가하는 일이 있어, 도전성의 재료를 시료의 근방에 설치하면 방전을 일으킬 염려가 있다. 이 경우에는 칸막이(916)의 재질을 세라믹 등의 절연물로 구성하면, 시료(W)와 칸막이 (916)와의 사이에서 방전을 일으키는 일이 없어진다.
또한 시료(W)(웨이퍼)의 주위에 배치한 링부재(94-1)는 시료대(94)에 고정된 판형상의 조정부품이고, 웨이퍼와 같은 시료의 끝부에 하전빔을 조사하는 경우에도 칸막이(916)의 선단부 전체 둘레에 걸쳐 미소한 간극(952)이 형성되도록 웨이퍼와 동일한 높이로 설정되어 있다. 이에 의하여 시료(W)의 어느 위치에 하전빔을 조사하여도 칸막이(916)의 선단부에는 항상 일정한 미소한 간극(952)이 형성되어 거울통선단부 주위의 공간(924)의 압력을 안정되게 유지할 수 있다.
도 34에 있어서 다른 변형예가 나타나 있다.
거울통(71)의 하전빔 조사부(72)의 주위에 차동 배기구조를 내장한 칸막이 (919)가 설치되어 있다. 칸막이(919)는 원통형의 형상을 하고 있고, 그 내부에 원주홈(920)이 형성되고, 그 원주홈으로부터는 위쪽에 배기통로(921)가 연장되어 있다. 그 배기통로는 내부공간(922)을 경유하여 진공배관(923)에 연결되어 있다. 칸막이(919)의 하단은 시료(W)의 상면과의 사이에 수십 ㎛ 내지 수 mm 정도의 미소한 간극을 형성하고 있다.
이와 같은 구성에서는 스테이지의 이동에 따라 스테이지로부터 가스가 방출되어 챔버(C)내의 압력이 상승하여, 선단부, 즉 하전빔 조사부(72)에 가스가 유입하려고 하여도 칸막이(919)가 시료(W)와의 간극을 조절하여 컨덕턴스를 매우 작게 하고 있기 때문에 가스는 유입이 방해되어 유입량은 감소한다. 또한 유입한 가스는 원주홈(920)으로부터 진공배관(923)으로 배기되기 때문에, 하전빔 조사부(72) 주위의 공간(924)으로 유입하는 가스는 거의 없어져, 하전빔 조사부(72)의 압력을 소망의 고진공 그대로 유지할 수 있다.
도 35에 있어서, 또 다른 변형예가 나타나 있다.
챔버(C)와 하전빔 조사부(72)의 주위에는 칸막이(926)가 설치되고, 하전빔 조사부(72)를 챔버(C)로부터 사이를 두고 있다. 이 칸막이(926)는 구리나 알루미늄 등의 열전도성이 좋은 재료로 이루어지는 지지부재(929)를 거쳐 냉동기(930)에 연결되어 있고, -100℃ 내지 -200℃정도로 냉각되어 있다. 부재(927)는 냉각되어 있는 칸막이(926)와 거울통 사이의 열전도를 저해하기 위한 것으로, 세라믹이나 수지재 등의 열전도성이 나쁜 재료로 이루어져 있다. 또 부재(928)는 세라믹 등의 비절연체로 이루어지고, 칸막이(926)의 하단에 형성되어 시료(W)와 칸막이(926)가 방전하는 것을 방지하는 역할을 가지고 있다.
이와 같은 구성에 의하여 챔버(C)내로부터 하전빔 조사부로 유입하려고 하는 가스분자는 칸막이(926)로 유입을 저해받는 데다가 유입하더라도 칸막이(926)의 표면에 동결 포집되어 버리기 때문에 하전빔 조사부(924)의 압력을 낮게 유지할 수 있다.
또한 냉동기로서는 액체질소에 의한 냉각이나, He냉동기, 펄스튜브식 냉동기 등의 다양한 냉동기를 사용할 수 있다.
도 36에 있어서, 또 다른 변형예가 나타나 있다.
스테이지(93)의 양 가동부에는 도 32에 나타낸 것과 마찬가지로 칸막이판 (912, 914)이 설치되어 있고, 시료대(94)가 임의의 위치로 이동하여도 이들 칸막이에 의하여 스테이지내의 공간(913)과 챔버(C)내가 스로틀(950, 951)을 거쳐 칸막이 된다. 다시 하전빔 조사부(72)의 주위에는 도 33에 나타낸 것과 마찬가지로 칸막이 (916)가 형성되어 있어, 챔버(C)내와 하전빔 조사부(72)가 있는 공간(924)이 스로틀 (952)을 거쳐 칸막이되어 있다. 이 때문에 스테이지가동시, 스테이지에 흡착하고 있는 가스가 공간(913)으로 방출되어 이 부분의 압력을 상승시켜도 챔버(C)의 압력상승은 낮게 억제되어 공간(924)의 압력상승은 더욱 낮게 억제된다. 이에 의하여 하전빔 조사공간(924)의 압력을 낮은 상태로 유지할 수 있다. 또 칸막이(916)에 나타낸 바와 같이 차동 배기기구를 내장한 칸막이(919)로 하거나, 도 34에 나타낸 바와 같이 냉동기로 냉각된 칸막이(926)로 함으로써 공간(924)을 더욱 낮은 압력으로 안정되게 유지할 수 있게 된다.
이들 실시예에 의하면, 다음과 같은 효과를 가지는 것이 가능하다.
(가) 스테이지장치가 진공내에서 고정밀도의 위치결정 성능을 발휘할 수 있어, 하전빔 조사위치의 압력이 더욱 상승하기 어렵다. 즉, 시료에 대한 하전빔에의한 처리를 고정밀도로 행할 수 있다.
(나) 정압베어링 지지부로부터 방출된 가스가 칸막이를 통과하여 하전빔 조사영역측으로 통과하는 것을 거의 할 수 없다. 이에 의하여 하전빔 조사위치의 진공도를 더욱 안정시킬 수 있다.
(다) 하전빔 조사영역측으로 방출가스가 통과하는 것이 곤란하게 되어 하전빔 조사영역의 진공도를 안정되게 유지하기 쉬워진다.
(라) 진공챔버 내가 하전빔 조사실, 정압베어링실 및 그 중간실의 3실에 작은 컨덕턴스를 거쳐 분할된 형이 된다. 그리고 각각의 실의 압력을 낮은 순서로 하전빔 조사실, 중간실, 정압베어링실이 되도록 진공배기계를 구성한다. 중간실에의 압력변동은 칸막이에 의하여 더욱 낮게 억제되어 하전빔 조사실에의 압력변동은 또 한단의 칸막이에 의하여 더욱 저감되어, 압력변동을 실질적으로 문제없는 레벨까지 저감하는 것이 가능하게 된다.
(마) 스테이지가 이동하였을 때의 압력상승을 낮게 억제할 수 있게 된다.
(바) 스테이지가 이동하였을 때의 압력상승을 더욱 낮게 억제하는 것이 가능하다.
(사) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전빔의 조사영역의 진공도가 안정된 검사장치를 실현할 수 있으므로, 검사성능이 높고, 시료를 오염할염려가 없는 검사장치를 제공할 수 있다.
(아) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전빔 조사영역의 진공도가 안정된 노광장치를 실현할 수 있으므로, 노광 정밀도가 높고, 시료를 오염할 염려가 없는 노광장치를 제공할 수 있다.
(자) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전빔 조사영역의 진공도가 안정된 장치에 의하여 반도체를 제조함으로써, 미세한 반도체회로를 형성할 수 있다.
또한 도 32 내지 도 36의 스테이지장치를 도 1의 스테이지(50)에 적용할 수 있음은 분명하다.
도 37 내지 도 39를 참조하여 본 발명에 의한 XY 스테이지의 다른 실시형태를 설명한다. 또한 도 55의 종래예 및 실시형태에 있어서 공통되는 구성부재를 나 타내는 참조번호는 동일하게 되어 있다. 또한 이 명세서 중에서 「진공」이란, 해당 기술분야에 있어서 불리우는 진공으로서, 반드시 절대진공을 가리키는 것은 아니다.
도 37에 있어서, XY 스테이지의 다른 실시형태가 나타나 있다.
하전빔을 시료를 향하여 조사하는 거울통(71)의 선단부, 즉 하전빔 조사부 (72)가 진공챔버(C)를 구획 형성하는 하우징(98)에 설치되어 있다. 거울통(71)의 바로 밑에는 XY 스테이지(93)의 X 방향(도 37에 있어서 좌우방향)의 가동 테이블 위에 탑재되어 있는 시료(W)가 배치되도록 되어 있다. 이 시료(W)는 고정밀도의 XY 스테이지(93)에 의하여 그 시료면 위의 임의의 위치에 대하여 정확하게 하전빔을 조사시킬 수 있다.
XY 스테이지(93)의 대좌(906)는 하우징(98)의 바닥벽에 고정되어, Y방향 (도 37에 있어서 지면에 수직인 방향)으로 이동하는 Y 테이블(95)이 대좌(906)의 위에 탑재되어 있다. Y 테이블(95)의 양 측면(도 37에 있어서 좌우 측면)에는 대좌 (906)에 탑재된 1쌍의 Y 방향 가이드(907a 및 907b)의 Y 테이블을 면한 측에 형성된 홈내에 돌출하는 돌출부가 형성되어 있다. 그 오목홈은 Y 방향 가이드의 거의 전체 길이에 걸쳐 Y 방향으로 신장되어 있다. 오목홈내에 돌출하는 돌출부의 상, 하면 및 측면에는 공지의 구조의 정압베어링(911a, 909a, 911b, 909b)이 각각 설치되고, 이들 정압베어링을 거쳐 고압가스를 분출함으로써 Y 테이블(95)은 Y 방향 가이드(907a, 907b)에 대하여 비접촉으로 지지되어 Y 방향으로 원활하게 왕복 운동할 수 있도록 되어 있다. 또 대좌(906)와 Y 테이블(95) 사이에는 공지구조의 리니어 모터(932)가 배치되어 있고, Y 방향의 구동을 그 리니어모터로 행하도록 되어 있다. Y 테이블에는 고압가스공급용 플렉시블 배관(934)에 의하여 고압가스가 공급되고, Y 테이블내에 형성된 가스통로(도시 생략)를 통하여 상기 정압베어링(909a 내지 911a 및 909b 내지 911b)에 대하여 고압가스가 공급된다. 정압베어링에 공급된 고압가스는 Y 방향 가이드의 대향하는 안내면과의 사이에 형성된 수 마이크론 내지 수십 마이크론의 간극으로 분출하여 Y 테이블을 안내면에 대하여 X 방향과 Z 방향(도 37에 있어서 상하방향)으로 정확하게 위치결정하는 역활을 한다.
Y 테이블 위에는 X 테이블(96)이 X 방향(도 37에 있어서 좌우방향)으로 이동 가능하게 탑재되어 있다. Y 테이블(95) 위에는 Y 테이블용 Y 방향 가이드(907a, 907b)와 동일 구조의 1쌍의 X 방향 가이드(908a, 908b)(908a만 도시)가 X 테이블 (96)를 사이에 두고 설치되어 있다. X 방향 가이드의 X 테이블에 면한 측에도 홈이 형성되고, X 테이블의 측부(X 방향 가이드에 면한 측부)에는 오목홈내에 돌출하는 돌출부가 형성되어 있다. 그 오목홈은 X 방향 가이드의 거의 전체 길이에 걸쳐 신장되어 있다. 오목홈내에 돌출하는 X 방향 테이블(96)의 돌출부의 상, 하면 및 측면에는 상기 정압베어링(911a, 909a, 910a, 911b, 909b, 910b)과 동일한 정압베어링(도시 생략)이 동일한 배치로 설치되어 있다. Y 테이블(95)과 X 테이블 (96) 사이에는 공지구조의 리니어모터(933)가 배치되어 있고, X 테이블의 X 방향의 구동을 그 리니어모터로 행하도록 하고 있다. 그리고 X 테이블(96)에는 플렉시블 배관(931)에 의하여 고압가스가 공급되어 정압베어링에 고압가스를 공급하도록 되어 있다. 이 고압가스가 정압베어링으로부터 X 방향 가이드의 안내면에 대하여 분출함으로써, X 테이블(96)이 Y 방향 가이드에 대하여 고정밀도로 비접촉으로 지지되어 있다. 진공챔버(C)는 공지구조의 진공펌프 등에 접속된 진공배관(919, 920a, 920b)에 의하여 배기되어 있다. 배관(920a, 920b)의 입구측(진공챔버 안쪽)은 대좌(906)를 관통하여 그 상면에 있어서 XY 스테이지(93)로부터 고압가스가 배출되는 위치의 가까이에서 개구하고 있어, 진공챔버 내의 압력이 정압베어링으로부터 분출되는 고압가스에 의하여 상승하는 것을 극력 방지하고 있다.
거울통(71)의 선단부, 즉 하전빔 조사부(72)의 주위에는 차동 배기기구(925)가 설치되어 진공챔버(C)내의 압력이 높더라도 하전빔 조사공간(930)의 압력이 충분히 낮아지도록 하고 있다. 즉 하전빔 조사부(72) 주위에 설치된 차동 배기기구 (925)의 고리형상부재(926)는 그 하면[시료(W)측의 면]과 시료와의 사이에서 미소한 간극(수 마이크로 내지 수백 마이크로)(940)이 형성되도록 하우징(98)에 대하여 위치결정되어 있고, 그 하면에는 고리형상홈(927)이 형성되어 있다. 고리형상홈(927)은 배기관(928)에 의하여 도시 생략한 진공펌프 등에 접속되어 있다. 따라서 미소한 간극(940)은 고리형상홈(927) 및 배기구(928)를 거쳐 배기되어, 진공챔버(C)로부터 고리형상부재(926)에 의하여 둘러 싸인 공간(930)내에 가스분자가 침입하려고 하여도 배기되어 버린다. 이에 의하여 하전빔 조사공간(930)내의 압력을 낮게 유지할 수 있어 하전빔을 문제없이 조사할 수 있다.
이 고리형상홈은 챔버 내의 압력, 하전빔 조사공간(930)내의 압력에 따라서는 2중 구조 또는 3중 구조로 하여도 좋다.
정압베어링에 공급하는 고압가스는 일반적으로 건조질소가 사용된다. 그러 나 가능하면 더욱 고순도의 불활성 가스로 하는 것이 바람직하다. 이것은 수분이나 오일성분 등의 불순물이 가스 중에 포함되면 이들 불순물 분자가 진공챔버를 구획 형성하는 하우징의 내면이나 스테이지 구성 부품의 표면에 부착되어 진공도를 악화시키거나, 시료 표면에 부착되어 하전빔 조사공간의 진공도를 악화시켜 버리기 때문이다.
또한 이상의 설명에 있어서, 시료(W)는 통상 X 테이블 위에 직접 탑재되는 것이 아니고, 시료를 떼어 내기 가능하게 유지하거나 XY 스테이지(93)에 대하여 미소한 위치변경을 행하는 등의 기능을 가지게 한 시료대의 위에 탑재되어 있으나, 시료대의 유무 및 그 구조는 본 실시예의 요지에는 관계없기 때문에 설명을 간소화하기위하여 생략되어 있다.
이상으로 설명한 하전빔장치에서는 대기 중에서 사용되는 정압베어링의 스테이지기구를 거의 그대로 사용할 수 있으므로, 노광장치 등에서 사용되는 대기용 고정밀도 스테이지와 동등한 고정밀도의 XY 스테이지를 거의 동등한 비용 및 크기로 하전빔장치용 XY 스테이지에 대하여 실현할 수 있다.
또한 이상 설명한 정압가이드의 구조나 배치 및 엑츄에이터(리니어모터)는 어디까지나 일 실시예이며, 대기 중에서 사용 가능한 정압가이드나 엑츄에이터이면 무엇이든지 적용할 수 있다.
다음에 차동 배기기구의 고리형상부재(926) 및 그것에 형성되는 고리형상홈의 크기의 수치예를 도 38에 나타낸다. 또한 이 예에서는 고리형상홈은 927a 및 927b의 2중 구조를 가지고 있고, 그들은 반경방향으로 떨어져 있다.
정압베어링에 공급되는 고압가스의 유량은 통상 대략 20L/min(대기압 환산)정도이다. 진공챔버(C)를 내경 50mm로, 길이 2m의 진공배관을 거쳐 20000L/min의 배기속도를 가지는 건식펌프로 배기한다고 가정하면 진공챔버 내의 압력은 약 160Pa (약 1.2Torr)가 된다. 이때 차동 배기기구의 고리형상부재(926) 및 고리형상홈 등의 치수를 도 38에 나타내는 바와 같이 하면 하전빔 조사공간(930)내의 압력을 10-4 Pa(10-6Torr)로 할 수 있다.
도 39에 있어서, XY 스테이지의 다른 실시형태가 나타나 있다. 하우징(98)에 의하여 구획 형성된 진공챔버(C)에는 진공배관(974, 975)를 거쳐 건식 진공펌프 (953)가 접속되어 있다. 또 차동 배기기구(925)의 고리형상홈(927)은 배기구(928)에 접속된 진공배관(970)을 거쳐 초고 진공펌프인 터보분자펌프(951)가 접속되어 있다. 다시 거울통(71)의 내부는 배기구(710)에 접속된 진공배관(971)을 거쳐 터보분자펌프(952)가 접속되어 있다. 이들 터보분자펌프(951, 952)는 진공배관(972, 973)에 의하여 건식 진공펌프(953)에 접속되어 있다(본 도면에서는 터보분자펌프의 러핑펌프와 진공챔버의 진공배기용 펌프를 1대의 건식 진공펌프로 겸용하였으나, XY 스테이지의 정압베어링에 공급하는 고압가스의 유량, 진공챔버의 용적이나 내표면적, 진공배관의 내경이나 길이에 따라 그들을 다른 계통의 건식 진공펌프로 배기하는 경우도 생각할 수 있다).
XY 스테이지(93)의 정압베어링에는 플렉시블 배관(921, 922)을 통하여 고순도의 불활성 가스(N2가스, Ar가스 등)가 공급된다. 정압베어링으로부터 분출한 이들가스분자는 진공챔버 내로 확산하여 배기구(919, 920a, 920b)를 통하여 건식 진공펌프(953)에 의하여 배기된다. 또 차동 배기기구나 하전빔 조사공간에 침입한 이들 가스분자는 고리형상홈(927) 또는 거울통(71)의 선단부로부터 흡인되어 배기구 (928, 710)를 통하여 터보분자펌프(951, 952)에 의하여 배기되고, 터보분자펌프로부터 배출된 후 건식 진공펌프(953)에 의하여 배기된다.
이와 같이 정압베어링에 공급된 고순도 불활성 가스는 건식 진공펌프에 모여져 배출된다.
한편, 건식 진공펌프(953)의 배기구는 배관(976)을 거쳐 압축기(954)에 접속되고, 압축기(954)의 배기구는 배관(977, 978, 979) 및 레귤레이터(961, 962)를 거쳐 플렉시블 배관(931, 932)에 접속되어 있다. 이 때문에 건식 진공펌프(953)로부터 배출된 고순도 불활성 가스는, 압축기(954)에 의하여 다시 가압되어 레귤레이터 (961, 962)로 적정한 압력으로 조정된 후, 다시 XY 테이블의 정압베어링에 공급된다.
또한 정압베어링에 공급되는 가스는 상기한 바와 같이 가능한 한 고순도로 하여 수분이나 오일성분이 극력 포함되지 않도록 해야 할 필요가 있기 때문에 터보분자펌프, 드라이 펌프 및 압축기는 가스유로에 수분이나 오일성분이 혼입하지 않는 구조일 것이 요구된다. 또 압축기의 배출측 배관(977)의 도중에 냉각트랩이나 필터 등(960)을 설치하여 순환하는 가스 중에 혼입한 수분이나 오일성분 등의 불순물질을 트랩하여 정압베어링에 공급되지 않도록 하는 것도 유효하다.
이와 같이 함으로써 고순도 불활성 가스를 순환시켜 재이용할 수 있으므로 고순도 불활성 가스를 절약할 수 있고, 또 본 장치가 설치된 챔버에 불활성 가스를 방류하지 않으므로 불활성 가스에 의한 질식 등의 사고가 발생할 염려도 없앨 수 있다.
또한 순환배관계에는 고순도 불활성 가스공급계(963)가 접속되어 있고, 가스의 순환을 시작할 때에 진공챔버(C)나 진공배관(970 내지 975) 및 가압측 배관(976내지 980)을 포함하는 모든 순환계에 고순도 불활성 가스를 채우는 역할과, 무엇인가의 원인으로 순환하는 가스의 유량이 감소하였을 때에 부족분을 공급하는 역할을 담당하고 있다.
또 건식 진공펌프(953)에 대기압 이상까지 압축하는 기능을 가지게 함으로써, 건식 진공펌프(953)와 압축기(954)를 1대의 펌프로 겸하게 하는 것도 가능하다.
또한 거울통의 배기에 사용하는 초고 진공펌프에는 터보분자펌프 대신에 이온펌프나 겟터펌프 등의 펌프를 사용하는 것도 가능하다. 단 이들 저장식 펌프를 사용한 경우는 이 부분에는 순환배관계를 구축할 수는 없게 된다. 또 건식 진공펌프대신에 다이어프램식 드라이 펌프 등, 다른식의 드라이 펌프를 사용하는 것도 물론 가능하다.
도 40에 있어서, 본 실시형태에 의한 하전빔장치의 광학계 및 검출기가 모식적으로 나타나 있다. 광학계는 거울통(71)내에 설치되어 있으나, 이 광학계 및 검출기는 어디까지나 예시이며, 필요에 따라 임의의 광학계, 검출기를 사용할 수 있다. 하전빔장치의 광학계(760)는 하전빔을 스테이지(50) 위에 탑재된 시료(W)에 조사하는 1차 광학계(72)와, 시료로부터 방출된 2차 전자가 투입되는 2차 광학계(74)를 구비하고 있다. 1차 광학계(72)는 하전빔을 방출하는 전자총(721)과, 전자총 (721)으로부터 방출된 하전빔을 집속하는 2단의 정전렌즈로 이루어지는 렌즈계 (722)와, 편향기(730)와, 하전빔을 그 광축이 대상의 면에 수직이 되도록 편향하는 빈필터, 즉 E ×B 분리기(723)와, 2단의 정전렌즈로 이루어지는 렌즈계(724) 를 구비하여 이들은 도 40에 나타내는 바와 같이 전자총(721)을 최상부로 하여 순서대로 하전빔의 광축이 시료(W)의 표면(시료면)에 연직인 선에 대하여 경사져 배치되어 있다. E ×B 편향기(723)는 전극(723-1) 및 자석(723-2)을 구비하고 있다.
2차 광학계(74)는 시료(W)로부터 방출된 2차 전자가 투입되는 광학계로서, 1차 광학계의 E ×B형 편향기(723)의 위쪽에 배치된 2단의 정전렌즈로 이루어지는 렌즈계(741)를 구비하고 있다. 검출기(761)는 2차 광학계(74)를 거쳐 보내진 2차 전자를 검출한다. 상기 광학계(760) 및 검출기(761)의 각 구성요소의 구조 및 기능은 종래의 것과 동일하므로 그것들에 대한 상세한 설명은 생략한다.
전자총(721)으로부터 방출된 하전빔은 전자총의 정방형 개구에서 정형되어 2단의 렌즈계(722)에 의하여 축소되고, 편광기(730)로 광축이 조정되어 E ×B 편향기 (723)의 편향 중심면에 한 변이 1.925mm의 정방형으로 결상된다. E ×B 편향기 (723)는 시료의 법선에 수직인 평면내에 있어서 전계와 자계를 직교시킨 구조로 되어 있고, 전계, 자계, 전자의 에너지의 관계가 일정한 조건을 충족할 때에는 전자를 직진시키고, 그 이외일 때에는 이들 전계, 자계 및 전계의 에너지의 상호의 관계에 의하여 소정방향으로 편향되도록 되어 있다. 도 4O에 있어서는 전자총으로부터의 하전빔을 시료(W)에 수직으로 입사시키고, 또 시료로부터 방출된 2차 전자를 검출기 (761)의 방향으로 직진시키도록 설정되어 있다. E ×B 편광기로 편향된 성형 빔은 렌즈계(724)에서 1/5로 축소되어 시료(W)에 투영된다. 시료(W)로부터 방출된 패턴화상의 정보를 가진 2차 전자는 렌즈계(724, 741)에서 확대되어 검출기(761)로 2차전자화상을 형성한다. 이 4단의 확대랜즈는 렌즈계(724)가 대칭 더블릿 렌즈를 형성하고, 렌즈계(741)도 역시 대칭 더블릿 렌즈를 형성하고 있으므로 왜곡이 없는 렌즈로 되어 있다.
본 실시예에 의하면 다음과 같은 효과를 가지는 것이 가능하다.
(가) 대기 중에서 일반적으로 사용되는 정압베어링식의 스테이지와 동일한 구조를 가진 스테이지(차동 배기기구를 가지지 않은 정압베어링 지지의 스테이지)를 사용하여 스테이지 위의 시료에 대하여 하전빔에 의한 처리를 안정되게 행할 수 있다.
(나) 하전빔 조사영역의 진공도에 대한 영향을 최소한으로 억제하는 것이 가능하게 되어 하전빔에 의한 시료에의 처리를 안정화시킬 수 있다.
(다) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전빔의 조사영역의 진공도가 안정된 검사장치를 저렴하게 제공할 수 있다.
(라) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전빔 조사영역의 진공도가 안정된 노광장치를 저렴하게 제공할 수 있다.
(마) 스테이지의 위치결정 성능이 고정밀도이고, 또한 하전빔 조사영역의 진 공도가 안정된 장치에 의하여 반도체를 제조함으로써, 미세한 반도체회로를 형성할 수 있다.
검사장치의 변형예
도 41은 본 발명의 변형예에 의한 결함 검사장치의 개략구성을 나타낸다.
이 결함 검사장치는 상기한 사상 투영형의 검사장치로서, 1차 전자선을 방출하는 전자총(721), 방출된 1차 전자선을 편향, 성형시키는 정전렌즈(722), 성형된 1차 전자선을 전장(E) 및 자장(B)이 직교하는 곳에서 반도체 웨이퍼(W)에 대략 수직으로 닿도록 편향시키는 E ×B 편향기(723), 편향된 1차 전자선을 웨이퍼(W) 위에 결상시키는 대물렌즈(724), 진공으로 배기 가능한 도시 생략한 시료실내에 설치되어 웨이퍼(W)를 탑재한 상태에서 수평면내를 이동 가능한 스테이지(50), 1차 전자선의 조사에 의하여 웨이퍼(W)로부터 방출된 2차 전자선 및/또는 반사 전자선을 소정의 배율로 사상 투영하여 결상시키는 사상 투영계의 정전렌즈(741), 결상된 상을 웨이퍼의 2차 전자화상으로서 검출하는 검출기(770) 및 장치 전체를 제어함과 동시에 검출기(770)에 의하여 검출된 2차 전자화상에 의거하여 웨이퍼(W)의 결함을 검출하는 처리를 실행하는 제어부(1016)를 포함하여 구성된다. 또한 상기 2차 전자화상에는 2차 전자뿐만 아니라 산란전자나 반사전자에 의한 기여도 포함되어 있으나, 여기서는 2차 전자화상이라 부르기로 한다.
또 대물렌즈(724)와 웨이퍼(W)와의 사이에는 1차 전자선의 웨이퍼(W)에 대한 입사각도를 전장 등에 의하여 편향시키는 편향전극(1011)이 개재되어 있다. 이 편향전극(1011)에는 그 편향전극의 전장을 제어하는 편향제어기(1012)가 접속되어 있 다. 이 편향제어기(1012)는 제어부(1016)에 접속되어 제어부(1016)로부터의 지령에 따른 전장이 편향전극(1011)에서 생성되도록 해당 편향전극을 제어한다. 또한 편향제어기(1012)는 편향전극(1011)에 인가하는 전압을 제어하는 전압제어장치로서 구성할 수 있다.
검출기(770)는 정전렌즈(741)에 의하여 결상된 2차 전자화상을 후처리 가능한 신호로 변환할 수 있는 한, 임의의 구성으로 할 수 있다. 예를 들면 도 46에 그 상세를 나타내는 바와 같이 검출기(770)는 멀티 채널 플레이트(771)와, 형광면(772)과, 릴레이 광학계(773)와, 다수의 CCD 소자로 이루어지는 촬상센서(56)를 포함하여 구성할 수 있다. 멀티 채널 플레이트(771)는 플레이트내에 다수의 채널을 구비하고 있고, 정전렌즈(741)에 의하여 결상된 2차 전자가 해당 채널내를 통과하는 사이에 더욱 다수의 전자를 생성시킨다. 즉 2차 전자를 증폭시킨다. 형광면(772)은 증폭된 2차 전자에 의하여 형광을 발함으로써 2차 전자를 빛으로 변환한다. 릴레이 렌즈(773)가 이 형광을 CCD 촬상센서(774)로 유도하고, CCD 촬상센서(774)는 웨이퍼 (W)표면 위의 2차 전자의 강도분포를 소자마다의 전기신호, 즉 디지털 화상 데이터로 변환하여 제어부(1016)에 출력한다.
제어부(1016)는, 도 41에 예시된 바와 같이 범용적인 퍼스널컴퓨터 등으로 구성할 수 있다. 이 컴퓨터는 소정의 프로그램에 따라 각종 제어, 연산처리를 실행하는 제어부 본체(1014)와, 본체(1014)의 처리결과를 표시하는 CRT(1015)와, 조작자가 명령을 입력하기 위한 키보드나 마우스 등의 입력부(1018)를 구비한다. 물론, 결함검사장치 전용의 하드웨어, 또는 워크스테이션 등으로 제어부(1016)를 구 성하여도 좋다.
제어부 본체(1014)는 도시 생략한 CPU, RAM, ROM, 하드디스크, 비디오기판 등의 각종 제어기판 등으로 구성된다. RAM 또는 하드디스크 등의 메모리상에는 검출기(770)로부터 수신한 전기신호, 즉 웨이퍼(W)의 2차 전자화상의 디지털 화상 데이터를 기억하기 위한 2차 전자 화상 기억영역(1008)이 할당되어 있다. 또 하드디스크상에는 미리 결함이 존재하지 않는 웨이퍼의 기준화상 데이터를 기억하여 두는 기준화상 기억부(1013)가 존재한다. 또한 하드디스크상에는 결함 검사장치 전체를 제어하는 제어프로그램 외에 기억영역(1008)으로부터 2차 전자 화상 데이터를 판독하고, 그 화상 데이터에 의거하여 소정의 알고리즘에 따라 웨이퍼(W)의 결함을 자동적으로 검출하는 결함 검출프로그램(1009)이 저장되어 있다. 이 결함 검출 프로그램(1009)은 상세를 다시 뒤에서 설명하는 바와 같이 기준화상 기억부(1013)로부터 판독한 기준화상과, 실제로 검출된 2차 전자선 화상을 매칭하여 결함부분을 자동적으로 검출하여 결함있음으로 판정한 경우, 조작자에게 경고 표시하는 기능을 가진다. 이때 CRT(1015)의 표시부에 2차 전자화상(1017)을 표시하도록 하여도 좋다.
다음에 상기 실시예에 의한 결함 검사장치의 작용을 도 43 내지 도 45의 플로우차트를 예로 하여 설명한다.
먼저 도 43의 메인루틴의 흐름에 나타내는 바와 같이, 검사대상이 되는 웨이퍼(W)를 스테이지(50)의 위에 세트한다(단계 1300). 이것은 상기한 바와 같이 로더에 다수 저장된 웨이퍼 모두를 1매마다 자동적으로 스테이지(50)에 세트하는 형태이어도 좋다.
다음에 웨이퍼(W) 표면의 XY 평면상에서 부분적으로 겹쳐지면서 서로로부터 변위된 복수의 피검사영역의 화상을 각각 취득한다(단계 1304). 이들 화상 취득해야 할 복수의 피검사영역이란, 도 47에 나타내는 바와 같이 예를 들면 웨이퍼검사 표면 (1034) 위에 참조번호(1032a, 1032b ‥‥ 1032k ‥‥)로 나타내는 직사각형 영역을 말하며, 이들은 웨이퍼의 검사패턴(1030)의 둘레에서 부분적으로 겹쳐지면서 위치가 어긋나 있음을 알 수 있다. 예를 들면 도 42에 나타낸 바와 같이 16개의 피검사영역의 화상(1032)(피검사 화상)이 취득된다. 여기서 도 42에 나타내는 화상은 직사각형의 경역(境域)이 1화소(또는 화소보다 큰 블록단위이어도 좋다)에 상당하고, 이 중 검게 칠한 경역이 웨이퍼(W) 위의 패턴의 화상부분에 상당한다. 이 단계(1304)의 상세는 도 44의 플로우차트로 뒤에서 설명한다.
다음에 단계(1304)에서 취득한 복수의 피검사영역의 화상 데이터를 기억부 (1013)에 기억된 기준화상 데이터와 각각 비교 대조하여[도 43의 단계(1308)] 상기 복수의 피검사영역에 의하여 망라되는 웨이퍼 검사면에 결함이 있는지의 여부가 판정된다. 이 공정에서는 이른바 화상 데이터끼리의 매칭처리를 실행하나, 그 상세 에 대해서는 도 45의 플로우차트로 뒤에서 설명한다.
단계(1308)의 비교결과로부터 상기 복수의 피검사영역에 의하여 망라되는 웨이퍼 검사면에 결함이 있다고 판정된 경우(단계 1312 긍정판정), 조작자에게 결함의 존재를 경고한다(단계 1318). 경고의 방법으로서, 예를 들면 CRT(1015)의 표시부에 결함의 존재를 알리는 메시지를 표시하거나, 이것과 함께 결함이 존재하는 패 턴의 확대화상(1017)을 표시하여도 좋다. 이와 같은 결함 웨이퍼를 즉시 시료실(31)로부터 인출하여, 결함이 없는 웨이퍼와는 별도의 보관장소에 저장하여도 좋다(단계 1319).
단계(1308)의 비교처리의 결과, 웨이퍼(W)에 결함이 없다고 판정된 경우(단계 1312 부정판정), 현재 검사대상으로 되어 있는 웨이퍼(W)에 대하여 검사해야 할 영역이 아직 남아 있는지의 여부가 판정된다(단계 1314). 검사해야 할 영역이 남아 있는 경우(단계 1314 긍정판정), 스테이지(50)를 구동하고, 이로부터 검사해야 할 다른 영역이 1차 전자선의 조사영역내에 들어 가도록 웨이퍼(W)를 이동시킨다(단계 1316). 그 후 단계(1302)로 되돌아가 상기 다른 검사영역에 관하여 동일한 처리를 반복한다.
검사해야 할 영역이 남아 있지 않은 경우(단계 1314 부정판정), 또는 결함 웨이퍼의 추출공정(단계 1319)후, 현재 검사대상으로 되어 있는 웨이퍼(W)가 최종의 웨이퍼인지의 여부, 즉 도시 생략한 로더에 미검사 웨이퍼가 남아 있지 않는지의 여부가 판정된다(단계 320). 최종의 웨이퍼가 아닌 경우(단계 1320 부정판정), 검사가 끝난 웨이퍼를 소정의 저장개소에 보관하고, 그 대신에 새로운 미검사 웨이퍼를 스테이지(50)에 세트한다(단계 1322). 그후 단계(1302)로 되돌아가 해당 웨이퍼에 관하여 동일한 처리를 반복한다. 최종의 웨이퍼인 경우(단계 1320 긍정판정), 검사가 끝난 웨이퍼를 소정의 저장개소에 보관하고, 전공정을 종료한다.
다음에 단계(1304)의 처리의 흐름을 도 44의 플로우차트에 따라 설명한다.
도 44에서는 먼저 화상번호(i)를 초기값(1)에 세트한다(단계 1330). 이 화 상번호는 복수의 피검사영역 화상의 각각에 순차 부여된 식별번호이다. 다음에 세트된 화상번호(i)의 피검사영역에 관하여 화상위치(Xi, Yi)를 결정한다(단계 1332). 이 화상위치는 피검사영역을 획정(劃定)시키기 위한 해당 영역내의 특정위치, 예를 들면 해당 영역내의 중심위치로서 정의된다. 현 시점에서는 i = 1이므로 화상위치 (X1, Y1)이 되고, 이것은 예를 들면 도 47에 나타낸 피검사영역(1032a)의 중심위치에 해당한다. 모든 피검사 화상영역의 화상위치는 미리 정해져 있고, 예를 들면 제어부(1016)의 하드디스크상에 기억되어 단계(1332)에서 판독된다.
다음에 도 41의 편향전극(1011)을 통과하는 1차 전자선이 단계(1332)에서 결정된 화상위치(Xi, Yi)의 피검사 화상영역에 조사되도록 편향 제어기(1012)가 편향전극(1011)에 전위를 가한다(도 44의 단계 1334). 다음에 전자총(721)으로부터 1차 전자선을 방출하여 정전렌즈(722), E ×B 편향기(723), 대물렌즈(724) 및 편향전극 (1011)을 통하여 세트된 웨이퍼(W) 표면 위에 조사한다(단계 1336). 이때 1차 전자선은 편향전극(1011)이 만들어내는 전장에 의하여 편향되고, 웨이퍼검사 표면 (1034) 위의 화상위치(Xi, Yi)의 피검사 화상영역 전체에 걸쳐 조사된다. 화상번호 i = 1 인 경우, 피검사영역은 1032a 이 된다.
1차 전자선이 조사된 피검사영역으로부터는 2차 전자 및/또는 반사전자(이하,「2차 전자」만 칭함)가 방출된다. 그곳에서 발생한 2차 전자선을 확대 투영계의 정전 렌즈(741)에 의하여 소정의 배율로 검출기(770)에 결상시킨다. 검출기(770)는 결상된 2차 전자선을 검출하여 검출소자마다의 전기신호, 즉 디지털 화상 데이터로 변환 출력한다(단계 1338). 그리고 검출한 화상번호(i)의 디지털 화상 데이터를 2차 전자 화상 기억영역(8)에 전송한다(단계 1340). 다음에 화상번호(i)를 1만큼 증가하여(단계 1342), 증가(increment)한 화상번호(i + 1)가 일정값(iMAX)을 초과하고 있는지의 여부를 판정한다(단계 1344). 이 iMAX는 취득해야 할 피검사 화상의 수이며, 도 42의 상기한 예에서는 「16」이다.
화상번호(i)가 일정값(iMAX)을 초과하고 있지 않은 경우(단계 1344 부정판정), 다시 단계(1332)로 되돌아가, 증가한 화상번호(i+1)에 대하여 화상위치 (Xi+1, Yi+1)를 다시 결정한다. 이 화상위치는 앞의 루틴에서 결정한 화상위치(Xi , Yi)부터 X방향 및/또는 Y방향으로 소정거리(ΔXi, ΔYi)만큼 이동시킨 위치이다. 도 41의 예에서는 피검사영역은 (X1, Y1)로부터 Y방향으로만 이동한 위치(X2, Y2)가 되고, 파선으로 나타낸 직사각형 영역(1032b)이 된다. 또한 (ΔXi, ΔYi)(i = 1, 2, … iMAX)의 값은 웨이퍼검사면(1034)의 패턴(1030)이 검출기(770)의 시야로부터 실제로 경험적으로 어느 만큼 어긋나는가라는 데이터와, 피검사영역의 수 및 면적으로부터 적절하게 정하여 둘 수 있다.
그리고 단계(1332 내지 1342)의 처리를 iMAX개의 피검사영역에 대하여 순차 반복하여 실행한다. 이들 피검사영역은 도 47에 나타내는 바와 같이 k회 이동한 화상위치(Xk, Yk)에서는 피검사 화상영역(1032k)이 되도록 웨이퍼의 검사면(1034) 위에서 부분적으로 겹쳐지면서 위치가 어긋나 간다. 이와 같이 하여 도 42에 예시한 16개의 피검사 화상 데이터가 화상기억영역(1008)에 취득된다. 취득한 복수의 피검사영역의 화상(1032)(피검사화상)은 도 42에 예시된 바와 같이 웨이퍼검사면(1034) 위의 패턴(1030)의 화상(1030a)을 부분적 또는 완전히 도입하고 있음을 알 수 있다.
증가한 화상번호(i)가 iMAX를 초과한 경우(단계 1344 긍정판정), 이 서브루틴을 리턴하여 도 37의 메인 루틴의 비교공정(단계 308)으로 이행한다.
또한 단계(1340)에서 메모리 전송된 화상 데이터는 검출기(770)에 의하여 검출된 각 화소마다의 2차 전자의 강도값(이른바 베타데이터)으로 이루어지나, 후단의 비교공정(도 37의 단계 1308)에서 기준화상과 매칭연산을 행하기 위하여 여러가지 연산처리를 실시한 상태로 기억영역(1008)에 저장하여 둘 수 있다. 이와 같은 연산처리에는 예를 들면 화상 데이터의 크기 및/또는 농도를 기준 화상 데이터의 크기 및/또는 농도에 일치시키기 위한 정규화처리나, 소정 화소수 이하의 독립된 화소군을 노이즈로서 제거하는 처리 등이 있다. 또한 단순한 베타데이터가 아니라, 고정밀 미세패턴의 검출 정밀도를 저하시키지 않는 범위에서 검출패턴의 특징을 추출한 특징 매트릭스에 데이터압축 변환하여 두어도 좋다. 이와 같은 특징 매트릭스로서 예를 들면 M ×N 화소로 이루어지는 2차원의 피검사영역을, m ×n (m < M, n < N)블록으로 분할하여 각 블록에 포함되는 화소의 2차 전자 강도값의 총합(또는 이 총합 값을 피검사영역 전체의 총 화소수로 나눈 정규화값)을 각 매트릭스 성분으로서 이루어지는 m ×n 특징 매트릭스 등이 있다. 이 경우 기준 화상 데이터도 이것과 동일한 표현으로 기억하여 둔다. 본 발명의 실시형태에서 말하는 화상데이터란, 단지 베타데이터는 물론, 이와 같이 임의의 알고리즘으로 특징 추출된 화상 데이터를 포함한다.
다음에 단계(1308)의 처리의 흐름을 도 45의 플로우차트에 따라 설명한다.
먼저, 제어부(1016)의 CPU는 기준 화상 기억부(1013)(도 41)로부터 기준화상 데이터를 RAM 등의 워킹메모리상으로 판독한다(단계 1350). 이 기준화상은 도 42에서는 참조번호 1036로 나타낸다. 그리고 화상번호(i)를 1로 리세트하고(단계 1352), 기억영역(1008)으로부터 화상번호(i)의 피검사 화상 데이터를 워킹메모리상으로 판독한다(단계 1354).
다음에 판독한 기준화상 데이터와, 화상(i)의 데이터를 매칭하여 양자 사이의 거리값(Di)을 산출한다(단계 1356). 이 거리값(Di)은 기준화상과, 피검사 화상(i) 사이의 유사도를 표시하여 거리값이 클수록 기준화상과 피검사 화상과의 차가 큰 것을 표시하고 있다. 이 거리값(Di)으로서 유사도를 나타내는 양이면 임의의 것을 채용할 수 있다. 예를 들면 화상 데이터가 M ×N 화소로 이루어지는 경우, 각 화소의 2차 전자강도(또는 특징량)를 M ×N 차원 공간의 각 위치 벡터성분으로 간주하고, 이 M ×N 차원 공간상에 있어서의 기준화상 벡터 및 화상(i)벡터 사이의 유클리드거리 또는 상관계수를 연산하여도 좋다. 물론 유클리드거리 이외의 거리, 예를 들면 소위 시가지 거리 등을 연산할 수도 있다. 또한 화소수가 큰 경우, 연산량이 방대해지기 때문에 상기한 바와 같이 m ×n 특징 벡터로 표시한 화상 데이터끼리의 거리값을 연산하여도 좋다.
다음에 산출한 거리값(Di)이 소정의 한계값(Th)보다 작은지의 여부를 판정한다(단계 1358). 이 한계값(Th)은 기준화상과 피검사 화상 사이의 충분한 일치를 판정할 때의 기준으로서 실험적으로 구해진다.
거리값(Di)이 소정의 한계값(Th)보다 작은 경우(단계 1358 긍정판정), 해당 웨이퍼(W)의 해당 검사면(1034)에는 「결함 없음」으로 판정하고(단계 1360), 본 서브루틴을 리턴한다. 즉 피검사 화상 중 하나라도 기준화상과 대략 일치한 것이 있으면「결함 없음」으로 판정한다. 이와 같이 모든 피검사 화상과의 매칭을 행할 필요가 없기 때문에, 고속판정이 가능하게 된다. 도 42의 예의 경우, 3행 3열째의 피검사 화상이 기준화상에 대하여 위치 어긋남이 없고 대략 일치하고 있음을 알 수 있다.
거리값(Di)이 소정의 한계값(Th) 이상인 경우(단계 1358 부정판정), 화상번호 (i)를 1만큼 증가하고(단계 1362), 증가한 화상번호(i+1)가 일정값(iMAX)을 초과하고 있는지의 여부를 판정한다(단계 1364).
화상번호(i)가 일정값(iMAX)을 초과하고 있지 않은 경우(단계 1364 부정판정) 다시 단계(1354)로 되돌아가 증가한 화상번호(i+1)에 대하여 화상 데이터를 판독하고, 동일한 처리를 반복한다.
화상번호(i)가 일정값(iMAX)을 초과한 경우(단계 1364 긍정판정), 해당 웨이 퍼(W)의 해당 검사면(1034)에는「결함있음」으로 판정하고(단계 1366), 본 서브루틴을 루틴한다. 즉, 피검사 화상의 모두가 기준화상과 대략 일치하지 않고 있으면, 「결함있음」으로 판정한다.
이상이 스테이지장치의 각 실시형태이나, 본 발명은 상기 예에만 한정되는 것이 아니라 본 발명의 요지범위내에서 임의로 적정하게 변경 가능하다.
예를 들면 피검사 시료로서 반도체 웨이퍼(W)를 예로 들었으나, 본 발명의 피검사 시료는 그것에 한정되지 않고, 전자선에 의하여 결함을 검출할 수 있는 임의의 것이 선택 가능하다. 예를 들면 웨이퍼에의 노광용 패턴이 형성된 마스크 등을 검사대상으로 하는 것도 할 수 있다.
또 본 발명은 전자 이외의 하전입자선을 사용하여 결함검출을 행하는 장치에도 적용할 수 있을 뿐만 아니라, 시료의 결함을 검사 가능한 화상을 취득할 수 있는 임의의 장치에도 적용 가능하다.
다시 편향전극(1011)은 대물렌즈(724)와 웨이퍼(W)와의 사이뿐만 아니라, 1차전자선의 조사영역을 변경할 수 있는 한 임의의 위치에 둘 수 있다. 예를 들면 E ×B 편향기(723)와 대물렌즈(724) 사이, 전자총(721)과 E ×B 편향기(723) 사이 등이 있다. 또한 E ×B 편향기(723)가 생성하는 곳을 제어함으로써, 그 편향방향을 제어하도록 하여도 좋다. 즉 E ×B 편향기(723)에 편향전극(1011)의 기능을 겸용시켜도 좋다.
또 상기 실시형태에서는 화상 데이터끼리의 매칭을 행할 때에 화소 사이의 매칭 및 특징 벡터 사이의 매칭 중 어느 하나로 하였으나, 양자를 조합시킬 수도 있다. 예를 들면 최초, 연산량이 적은 특징 벡터로 고속매칭을 행하고, 그 결과 유사도가 높은 피검사 화상에 대해서는 보다 상세한 화소데이터로 매칭을 행한다는 2단계의 처리에 의하여 고속화와 정밀도를 양립시킬 수 있다.
또 본 발명의 실시형태에서는 피검사 화상의 위치 어긋남을 1차 전자선의 조사영역의 위치 어긋남만으로 대응하였으나, 매칭처리의 전, 또는 그 사이에서 화상 데이터상에서 최적 매칭영역을 검색하는 처리(예를 들면 상관 계수가 높은 영역끼리를 검출하여 매칭시킴)와 본 발명을 조합시킬 수도 있다. 이에 의하면 피검사 화상의 큰 위치 어긋남을 본 발명에 의한 1차 전자선의 조사영역의 위치 어긋남으로 대응함과 동시에, 비교적 작은 위치 어긋남을 후단의 디지털 화상처리로 흡수할 수 있으므로 결함검출의 정밀도를 향상시킬 수 있다.
다시 결함 검사용 전자선장치로서, 도 41의 구성을 나타내었으나, 전자 광학계 등은 임의로 적합하게 변경 가능하다. 예를 들면 도 41에 나타낸 결함 검사장치의 전자선 조사수단(721, 722, 723)은 웨이퍼(W)의 표면에 대하여 수직 위쪽으로부터 1차 전자선을 입사시키는 형식이나, E ×B 편향기(723)를 생략하고, 1차 전자선을 웨이퍼(W)의 표면에 비스듬하게 입사시키도록 하여도 좋다.
또 도 43의 플로우차트의 흐름도, 이것에 한정되지 않는다. 예를 들면 단계 (1312)에서 결함있음으로 판정된 시료에 대하여, 다른 영역의 결함검사는 행하지 않는 것으로 하였으나, 전 영역을 망라하여 결함을 검출하도록 처리의 흐름을 변경하여도 좋다. 또 1차 전자선의 조사영역을 확대하여 1회의 조사로 시료의 거의 전 검사영역을 커버할 수 있으면, 단계(1314) 및 단계(1316)를 생략할 수 있다.
이상 상세하게 설명한 바와 같이 본 실시예의 결함 검사장치에 의하면, 시료상에서 부분적으로 겹쳐지면서 서로로부터 변위된 복수의 피검사영역의 화상을 각각 취득하고, 이들 피검사영역의 화상과 기준화상을 비교함으로써 시료의 결함을 검사하도록 하였기 때문에, 피검사화상과 기준화상의 위치 어긋남에 의한 결함검사 정밀도의 저하를 방지할 수 있다라는 뛰어난 효과가 얻어진다.
또 본 발명의 장치제조방법에 의하면, 상기와 같은 결함 검사장치를 사용하여 시료의 결함검사를 행하도록 하였기 때문에 제품의 수율의 향상 및 결함제품의 출하방지가 도모된다라는 뛰어난 효과가 얻어진다.
전자선장치의 다른 실시형태
다시 이 사상 투영방식의 과제해결을 고려한 또 하나의 방식으로서 1차 전자선을 복수로 하고, 상기 복수의 전자선을 2차원(X-Y방향)으로 주사하면서(래스터 스캔) 시료 표면의 관찰영역을 조사하는 것으로서, 2차 전자 광학계는 사상 투영방식을 채용한 방식이 있다. 이방식은 상기한 사상 투영방식의 이점을 가짐과 동시에, 이 사상방식의 과제인 ① 전자선을 일괄 조사하기 위하여 시료 표면 위에서 차지업하기 쉬운 것, ② 본 방식으로 얻어지는 전자선 전류에 한계가 있어(1.6㎂정도), 검사속도 향상의 방해로 되어있는 것에 대해서는 복수의 전자선을 주사함으로써 해결할 수 있다. 즉, 전자선 조사점이 이동하기 때문에 전하가 벗어나기 쉬워 차지업이 감소한다. 또 복수의 전자선의 개수를 늘림으로써 용이하게 전류값을 증가할 수 있다. 실시예에 있어서는 4개의 전자선을 사용하는 경우, 하나의 전자선 전류가 500nA(전자선의 지름 10㎛)으로 모두 2㎂가 얻어지고 있다. 16개 정도로는 용이하게 전자선의 수를 늘리는 것이 가능하고, 이 경우에 8㎂를 얻는 것이 원리적으로 가능하다. 복수의 전자선의 주사는 복수의 전자선에 의한 조사량이 조사영역에 균일하게 되도록 조사되면 좋으므로, 상기한 바와 같이 래스터 스캔에 한정하지 않고 리사쥬도형 등의 다른 형상의 주사형상이어도 좋다. 따라서 스테이지의 주사방향은 복수의 전자선의 주사방향에 수직할 필요는 없다.
전자총(전자선원)
이 실시예에서 사용되는 전자선원으로서 열전자선원을 사용하고 있다. 전자방출(에미터)재는 LaB6이다. 고융점(고온에서의 증기압이 낮음)에서 일 함수가 작은 재료이면 다른 재료를 사용하는 것이 가능하다. 복수의 전자선을 얻기 위해서는 2가지 방법을 사용하고 있다. 하나는 1개의 에미터(돌기가 1개)로부터 1개의 전자선을 인출하여 복수의 구멍이 뚫린 박판(개구판)을 통과시킴으로써 복수의 전자선을 얻는 방법, 또 하나의 방법은 1개의 에미터에 복수의 돌기를 형성하여 그곳으로부터 즉시 복수의 전자선을 인출하는 방법이다. 어느쪽의 경우도 전자선은 돌기의 선단으로부터 방출되기 쉬운 성질을 이용하고 있다. 다른 방식의 전자선원, 예를 들면 열전계 방출형의 전자선도 사용 가능하다.
또한 열전자선원은 전자방출재를 가열함으로써 전자를 방출하는 방식이고, 열전해방출 전자선원이란, 전자방출재에 고전계를 인가함으로써 전자를 방출시키고, 다시 전자선 방출부를 가열함으로써 전자방출을 안정시킨 방식이다.
도 48a는 상기 다른 실시형태에 의한 전자선장치의 개략도이다. 한편 도 48b는 복수의 1차 전자선으로 시료를 주사하는 형태를 나타내는 개략 평면도이다. 공간전하 제한조건으로 작동 가능한 전자총(721)은 도 48b에 부호 711로 나타내는 멀티빔을 형성한다. 멀티빔(711)은 원주상에 배치된 8개의 원형빔인 1차 전자선 (711a)으로 구성된다.
전자총(721)에서 발생한 복수의 1차 전자선(711a)은 렌즈(722-1 및 722-2)를 사용하여 집속되고, 전극(723-1) 및 자석(723-2)으로 이루어지는 E ×B 분리기 (723)에 의하여 시료(W)에 대하여 직각으로 입사하도록 이루어져 있다. 이들 요소 (711, 722-1, 722-2, 723)와 렌즈(724-1) 및 대물렌즈(724-2)를 포함하는 1차 광학계에 의하여 시료(W) 위에 집속된 복수의 1차 전자선(711a)으로 이루어지는 멀티빔(711)은 렌즈(722-2)의 하류측에 설치한 2단 편향기(도시 생략. 1차 광학계에 포함됨)에 의하여 시료(W) 위의 주사에 사용된다.
시료(W)의 주사는 대물렌즈(724-2)의 주면을 편향중심으로 하여, x축 방향으로 행하여진다. 도 48b에 나타내는 바와 같이 멀티빔(711)의 각각의 1차 전자선 (711a)은 원주상에 서로 떨어져 배치되어 있고, 주사방향인 x 방향으로 직교하는 y 축상에 투영하였을 때, 서로 인접하는 1차 전자선(711a) 사이의 거리(각 1차 전자선의 중심에서 계측함)가 등간격이 되도록 설계되어 있다. 이때 서로 인접하는 1차 전자선(711a)끼리는 떨어져 있어도, 접하여 있어도, 일부가 겹쳐져 있어도 좋다.
도 48b에 나타내는 바와 같이 멀티빔(711)을 구성하는 각각의 1차 전자선 (711a)이 서로 떨어져 배치되어 있음으로써 개개의 1차 전자선(711a)의 전류밀도 한계값, 즉 시료(W)에 대전을 일으키지 않는 한계의 전류밀도값은 단일의 원형빔을 사용한 경우와 동등하게 유지할 수 있고, 그에 의하여 S/N 비의 저하를 방지할 수 있다. 또 각 1차 전자선(711a)이 서로 떨어져 있으므로 공간전하 효과도 작다.
그 한편에서 멀티빔(711)은 1회의 주사로 시료(W)를 시야(713)의 전면에 걸쳐 똑같은 밀도로 주사할 수 있다. 이에 의하여 높은 스루풋으로 화상형성을 할 수 있어, 검사시간의 단축을 도모할 수 있다. 도 48b에 있어서 부호 711이 주사의 시점에 있는 멀티빔을 나타낸다고 하면, 부호 711a는 주사의 종점에 있는 멀티빔을 나타낸다.
시료(W)는 시료대(도시 생략)에 탑재된다. 이 대는 x 방향으로의 주사시 (예를 들면 200㎛폭으로 주사)에 주사방향(x)으로 직교하는 방향(y)을 따라 연속 이동된다. 이에 의하여 래스터 주사가 행하여진다. 시료를 탑재한 시료대를 이동시키기 위한 구동장치(도시 생략)가 설치된다.
주사시에 시료(W)로부터 발생하여 여러 방향으로 방출된 2차 전자는, 대물렌즈(724-2)로 광축방향으로 가속되고, 그 결과 각 점으로부터 여려 방향으로 방출된 2차 전자는 각각이 가늘게 집속되어, 렌즈(724-1, 741-1, 741-2)로 상의 간격이 확대된다. 이들 렌즈(724-1, 724-2, 741-1, 741-2)를 포함하는 2차 광학계를 거쳐 형성된 2차 전자선(712)은 검출기(761)의 수광면에 투영되어 시야의 확대상을 결상시킨다.
빛 광학계(light optical system)에 포함되는 검출기(761)는 MCP(마이크로채널플레이트)로 2차 전자선을 증배하고, 신틸레이터로 광신호로 변환하고, CCD 검출기로 전기신호로 변환한다. CCD로부터의 전기신호에 의하여 시료(W)의 2차원 화상을 형성할 수 있다. 각각의 1차 전자선(711a)은 CCD 화소의 적어도 2화소 이상의 치수를 가지는 것으로 한다.
전자총(721)을 공간전하 제한조건으로 동작시킴으로써, 1차 전자선(711a)의 쇼트잡음 온도제한조건으로 동작시킨 경우보다 약 1자리수 적게 할 수 있다. 따라서 2차 전자신호의 쇼트잡음도 1자리수 작게 할 수 있으므로 S/N 비가 좋은 신호를 얻을 수 있다.
본 실시예의 전자선장치에 의하면 시료에 대전을 발생시키지 않는 1차 전자선의 전류밀도 한계값을 단일의 원형빔을 사용한 경우와 동등하게 유지함으로써 S/N 비의 저하를 방지하면서 높은 스루풋으로 화상 형성함으로써 검사시간을 단축 가능한다.
또 본 실시예에 의한 장치제조방법은 이와 같은 전자선장치를 사용하여 각 웨이퍼공정의 종료후에 웨이퍼의 평가를 행함으로써 수율향상을 도모할 수 있다.
도 49a는 도 48a의 실시형태에 의한 전자선장치의 상세를 나타내는 도면이다. 전자총(721)으로부터 방출된 4개의 전자선[711(711-1, 711-2, 711-3, 711-4)]은 개구 조리개(NA-1)로 정형되어, 2단의 렌즈(722-1, 722-2)로 빈필터(723)의 편향 중심면에 10㎛ ×12㎛의 타원형상으로 결상되어, 도면의 지면 수직방향으로 편향기(730)에 의하여 래스터 스캔되고, 4개의 전자선 전체로서 1mm ×0.25mm의 직사각형 영역을 균일하게 커버하도록 결상된다. EXB(723)에서 편향된 복수의 전자선은 NA 조리개로 크로스오버를 맺고, 렌즈(724)로 1/5로 축소되어 시료(W)에 200μ×50㎛을 커버하고, 또한 시료면에 수직이 되도록 조사, 투영된다(컬러조명이라 부름). 시료로부터 방출된 패턴화상(시료상 F)의 정보를 가진 4개의 2차 전자선(712)은 렌즈(724, 741-1, 741-2)로 확대되어, MCP(767) 위에 전체로서 4개의 전자선(712)으로 합성된 직사각형 화상(확대투영상 F')으로서 결상한다. 이 2차 전자선(712)에 의한 확대투영상(F')은 MCP(767)에서 1만배로 증감되어 형광부(767)에 의하여 빛으로 변환되고, TDI-CCD(762)로 시료의 연속 이동속도에 동기된 전기신호가 되어, 화상표시부(765)에서 연속된 화상으로서 취득되어, CRT 상 등에 출력하였다.
전자선 조사부는 시료 표면을 가능한 한 균일하게, 또한 조사 불균일을 적게 하여 직사각형 또는 타원형상으로 전자선으로 조사할 필요가 있고, 또 스루풋을 올리기 위해서는 보다 큰 전류로 조사영역을 전자선 조사할 필요가 있다. 종래의 전자선 조사 불균일은 ±10% 정도로 화상의 콘트라스트 불균일이 크고, 또 전자선 조사전류는 조사영역에서 500nA 정도로 적기 때문에 높은 스루풋이 얻어지지 않는다는 문제가 있었다. 또 주사형 전자선현미경(SEM)방식에 비하여 본 방식은 넓은 화상 관찰영역을 일괄하여 전자선 조사하기 위하여 차지업에 의한 결상장해가 생기기 쉽다는 문제가 있었다.
본 실시예의 1차 전자선 조사방법을 도 49b에 의하여 나타낸다. 1차 전자선 (711)은 4개의 전자선(711-1, 711-2, 711-3, 711-4)으로 구성되고, 각각의 빔은 2㎛×2.4㎛의 타원형상을 하고 있어, 각각 1개당 200㎛ ×12.5㎛의 직사각형 영역을 래스터 스캔하여 그것들이 겹치지 않도록 서로 맞추어서 전체로서 200μ×50㎛의 직사각형 영역을 조사한다. 711-1의 빔은 711-1'에 유한의 시간으로 도달하고, 다음에 빔 스폿 지름만큼(10㎛) 어긋난 711-1의 바로 밑(202 방향)에 거의 시간손실없이 되돌아가 다시 상기와 동일한 유한의 시간으로 711-1 내지 711-1'에 평행으로 711-1'의 바로 밑(711-2' 방향)으로 이동하고, 이것을 반복하여 도면의 점선으로 나타내는 직사각형 조사영역의 1/4 (200㎛ ×12.5㎛)를 주사한 후, 처음의 점(711-1)으로 되돌아가 이것을 고속으로 반복한다. 다른 전자선(711-2 내지 711-4)도 전자선(711-1)과 마찬가지로 동일한 속도로 주사를 반복하여 전체로서 도면의 직사각형의 조사영역(200μ×50㎛)을 균일하게 고속으로 조사한다. 균일하게 조사할 수 있으면, 상기한 래스터 스캔이 아니어도 좋다. 예를 들면 리사쥬형을 그리도록 주사하여도 좋다. 따라서 스테이지의 이동방향은 도면에 나타내는 방향(A)일 필요는 없다. 즉 스캔방향(도면의 가로방향의 고속주사방향)으로 수직일 필요는 없다. 본 실시예에서는 전자선조사 불균일은 ±3% 정도로 조사할 수 있었다. 조사전류는 1개의 전자선당 250nA이고, 시료 표면에서 전체로서 4개의 전자빔으로 1.0㎂를 얻을 수 있었다 (종래의 2배). 전자선의 개수를 늘림으로써 전류를 증가할 수 있고, 높은 스루풋을 얻을 수 있다. 또 조사점이 종래에 비하여 작고(면적에서 약 1/80), 또 이동하고 있기 때문에 차지업은 종래의 1/20 이하로 억제할 수 있었다.
도면 중에는 도시 생략하나, 본 장치에는 렌즈 외에 제한시야 조리개, 전자선의 축조정을 위한 4극 또는 그 이상의 극수를 가지는 편향기(얼라이너), 비점수차 보정기(스틱미터), 또한 빔형상을 정형하는 복수의 4중극 렌즈(4극자 렌즈) 등, 전자선의 조명, 결상에 필요한 유닛을 구비하고 있다.
장치제조방법
다음에 도 50 및 도 51을 참조하여 본 발명에 의한 반도체장치의 제조방법의 실시예를 설명한다.
도 50은 본 발명에 의한 반도체장치의 제조방법의 일 실시예를 나타내는 플로우차트이다. 이 실시예의 제조공정은 이하의 주 공정을 포함하고 있다.
(1) 웨이퍼를 제조하는 웨이퍼 제조공정(또는 웨이퍼를 준비하는 웨이퍼준비공정)(단계 140O)
(2) 노광에 사용하는 마스크를 제조하는 마스크제조공정(또는 마스크를 준비하는 마스크준비공정)(단계 1401)
(3) 웨이퍼에 필요한 가공처리를 행하는 웨이퍼 프로세싱공정(단계 1402)
(4) 웨이퍼 위에 형성된 칩을 1개씩 잘라 내어 동작 가능하게 하는 칩 조립공정(단계 1403)
(5) 생긴 칩을 검사하는 칩 검사공정(단계 1404)
또한 상기한 각각의 주 공정은 다시 몇가지 서브공정으로 이루어져 있다.
이들 주 공정 중에서 반도체장치의 성능에 결정적인 영향을 미치는 것이 (3)의 웨이퍼 프로세싱공정이다. 이 공정에서는 설계된 회로패턴을 웨이퍼 위에 순차 적층하여 메모리나 MPU로서 동작하는 칩을 다수 형성한다. 이 웨이퍼 프로세싱공정은 이하의 각 공정을 포함하고 있다.
(A) 절연층이 되는 유전체 박막이나 배선부, 또는 전극부를 형성하는 금속박막 등을 형성하는 박막형성공정(CVD나 스패터닝 등을 사용한다)
(B) 이 박막층이나 웨이퍼기판을 산화하는 산화공정
(C) 박막층이나 웨이퍼기판 등을 선택적으로 가공하기 위하여 마스크(레티클)를 사용하여 레지스트패턴을 형성하는 리소그래피공정
(D) 레지스트패턴에 따라 박막층이나 기판을 가공하는 에칭공정(예를 들면 건식 에칭기술을 사용)
(E) 이온·불순물주입 확산공정
(F) 레지스트 박리공정
(G) 가공된 웨이퍼를 검사하는 공정
또한 웨이퍼 프로세싱공정은 필요한 층수만큼 반복하여 행하고, 설계대로 동작하는 반도체장치를 제조한다.
도 51a는 도 50의 웨이퍼 프로세싱공정의 중핵을 이루는 리소그래피공정을 나타내는 플로우차트이다. 이 리소그래피공정은 이하의 각 공정을 포함한다.
(a) 전단의 공정에서 회로패턴이 형성된 웨이퍼 위에 레지스트를 코팅하는 레지스트 도포공정(단계 1500)
(b) 레지스트를 노광하는 공정(단계 1501)
(c) 노광된 레지스트를 현상하여 레지스트의 패턴을 얻는 현상공정(단계 1502)
(d) 현상된 레지스트패턴을 안정화하기 위한 어닐링공정(단계 1503)
상기한 반도체장치제조공정, 웨이퍼 프로세싱공정, 리소그래피공정에 대해서는 주지의 것으로, 더 이상의 설명을 필요로 하지 않을 것이다.
상기 (G)의 검사공정에 본 발명에 관한 결함 검사방법, 결함 검사장치를 사 용하면 미세한 패턴을 가지는 반도체장치이더라도 스루풋 좋게 검사할 수 있으므로 전수검사도 가능하게 되어 제품의 수율의 향상, 결함제품의 출하방지가 가능하게 이루어진다.
검사순서
상기 (G)의 검사공정에 있어서의 검사순서에 대하여 설명한다.
일반적으로 전자선을 사용한 결함 검사장치는 고가이고, 또 스루풋도 다른 공정장치에 비하여 낮기 때문에 현재 상태로서는 가장 검사가 필요하다고 생각되고 있는 중요한 공정(예를 들면 에칭, 성막, 또는 CMP(화학기계연마)평탄화처리 등)후에 사용되고 있다.
검사되는 웨이퍼는 대기반송계 및 진공반송계를 통하여 초정밀 X-Y 스테이지위에 위치맞춤 후, 정전 척기구 등에 의하여 고정되고, 이후(도 51b)의 순서에 따라결함검사 등이 행하여진다. 처음에 광학현미경에 의하여 필요에 따라 각 다이의 위치확인이나, 각 장소의 높이검출이 행하여져 기억된다. 광학현미경은 이밖에 결함등의 보고 싶은 곳의 광학현미경상을 취득하여 전자선상과의 비교 등에도 사용된다. 다음에 웨이퍼의 종류(어떤 공정후인지, 웨이퍼의 크기는 20cm인지 3Ocm인지 등)에 따른 레시피의 정보를 장치에 입력하고, 이하 검사장소의 지정, 전자 광학계의 설정, 검사조건의 설정 등을 행한 후, 화상취득을 행하면서 통상은 실시간으로 결함검사를 행한다. 셀끼리의 비교, 다이비교 등이, 알고리즘을 구비한 고속의 정보처리 시스템에 의하여 검사가 행하여지고, 필요에 따라 CRT 등에 결과를 출력이나, 메모리에 기억을 행한다. 결함에는 파티클결함, 형상이상(패턴결함) 및 전기 적 (배선 또는 비어 등의 단선 및 도통불량 등)결함 등이 있고, 이들을 구별하거나 결함의 크기나, 킬러결함(칩의 사용이 불가능하게 되는 중대한 결함 등)의 분류를 자동적으로 실시간으로 행할 수도 있다. 전기적 결함의 검출은 콘트라스트 이상을 검출함으로써 달성된다. 예를 들면 도통불량의 장소는 전자선조사(500eV 정도)에 의하여 통상 양으로 대전하고, 콘트라스트가 저하하기 때문에 정상적인 장소와 구별을할 수 있다. 이 경우의 전자선 조사수단이란, 통상 검사용의 전자선 조사수단 이외에 별도 전위차에 의한 콘트라스트를 뛰어나게 하기 위하여 설치한 저전위(에너지)의 전자선 발생수단(열전자발생, UV/광전자)를 말한다. 검사대상영역에 검사용의 전자선을 조사하기 전에, 이 저전위(에너지)의 전자선을 발생·조사하고 있다. 검사용의 전자선을 조사하는 것 자체 양으로 대전시킬 수 있는 사상 투영방식의 경우는 사양에 따라서는 별도 저전위의 전자선 발생수단을 설치할 필요는 없다. 또 웨이퍼 등의 시료에 기준전위에 대하여 양 또는 음의 전위를 인가하는 것 등에 의한 (소자의 순방향 또는 역방향에 의하여 흐르기 쉬움이 다르기 때문에 생김) 콘트라스트의 차이로부터 결함검출을 할 수 있다. 선폭 측정장치 및 맞춤 정밀도 측정에도 이용할 수 있다.

Claims (60)

  1. 삭제
  2. 삭제
  3. 하전입자 또는 전자파 중 어느 하나를 검사대상에 조사(照射)하여 상기 검사대상을 검사하는 검사장치에 있어서,
    진공분위기로 제어 가능한 검사대상을 검사하는 워킹챔버와;
    하전입자 또는 전자파 중 어느 하나를 빔으로서 발생시키는 빔 발생장치와;
    상기 빔을 상기 워킹챔버 내에 유지한 검사대상으로 유도하여 조사하고, 검사대상으로부터 발생하는 2차 하전입자를 검출하여 화상처리계로 유도하는 전자 광학계와;
    상기 2차 하전입자에 의하여 화상을 형성하는 화상처리계와;
    상기 화상처리계의 출력에 의거하여 검사대상의 상태정보를 표시 및 기억 중 하나 이상을 행하는 정보처리계와;
    상기 빔에 대하여 상대 이동 가능하게 검사대상을 유지하는 스테이지장치와;
    검사대상을 보전하고, 상기 워킹 챔버에 반출입하는 반출입 기구를 구비하고,
    상기 반출입 기구에는,
    청정기체를 상기 검사대상에 흘려 상기 검사대상에의 먼지의 부착을 저지하는 미니 엔바이로먼트장치(Mini-Environment Chamber)와,
    상기 미니 엔바이로먼트장치와 상기 워킹챔버 사이에 배치되어 있어, 각각 독립하여 진공 분위기로 제어 가능하게 되어 있는 2개 이상의 로딩챔버와,
    상기 미니 엔바이로먼트장치와 상기 로딩챔버와의 사이에서 상기 검사대상을 이송 가능한 반송유닛 및 상기 하나의 로딩챔버 내와 상기 스테이지장치 위와의 사이에서 상기 검사대상을 이송 가능한 다른 반송유닛을 가지는 로더를 구비하고,
    상기 워킹챔버와 로딩챔버가 진동 차단장치를 거쳐 지지되어 있는 것을 특징으로 하는 검사장치.
  4. 제 3항에 있어서,
    상기 워킹챔버 내에 설치된 상기 검사대상에 하전입자를 조사하여 상기 검사대상의 대전 불균일을 감소하는 프리차지유닛(pre charged unit) 및 상기 검사대상에 전위를 인가하는 전위 인가기구를 구비하고 있는 것을 특징으로 하는 검사장치.
  5. 제 3항에 있어서,
    상기 로더가 각각이 독립하여 분위기 제어 가능하게 되어 있는 제 1 로딩챔버 및 제 2 로딩챔버와, 상기 검사대상을 제 1 로딩챔버 내와 그 외부와의 사이에서 반송하는 제 1 반송유닛과, 상기 제 2 로딩챔버에 설치되어 있고, 상기 검사대상을 상기 제 1 로딩챔버 내와 상기 스테이지장치 위와의 사이에서 반송하는 제 2 반송유닛을 구비하고 있는 것을 특징으로 하는 검사장치.
  6. 제 3항에 있어서,
    상기 전자 광학계에 대한 상기 검사대상의 위치 결정을 위하여 상기 검사대상의 표면을 관찰하여 얼라인먼트를 제어하는 얼라인먼트제어장치와, 상기 스테이지장치상의 상기 검사대상의 좌표를 검출하는 레이저 간섭 거리측정장치를 구비하고, 상기 얼라인먼트제어장치에 의하여 검사대상에 존재하는 패턴을 이용하여 검사대상의 좌표를 정하는 것을 특징으로 하는 검사장치.
  7. 제 3항에 있어서,
    상기 검사대상의 위치맞춤은, 상기 미니 엔바이로먼트 공간내에서 행하여지는 위치맞춤과, 상기 스테이지장치상에서 행하여지는 XY 방향의 위치맞춤 및 회전방향의 위치맞춤을 포함하는 것을 특징으로 하는 검사장치.
  8. 제 3항에 있어서,
    상기 전자 광학계는,
    상기 빔을 상기 검사대상에 조사함으로써 발생하는 상기 2차 하전입자를 가속시키는 대물렌즈와,
    상기 2차 하전입자를 검출하는 검출기와,
    전계와 자계가 직교하는 곳에 의하여 상기 2차 하전입자를 상기 검출기방향으로 편향하는 E ×B 편향기와,
    상기 대물렌즈와 상기 검사대상의 사이에 배치되어 상기 빔의 조사광축에 대하여 축대칭의 형상이고, 상기 검사대상의 상기 빔의 조사면에서의 전계강도를 제어하는 전극을 구비한 것을 특징으로 하는 검사장치.
  9. 제 3항에 있어서,
    상기 검사장치는, 하전입자와, 하전입자와는 반대방향으로 진행하는 2차 하전입자가 입사되고, 상기 하전입자 또는 2차 하전입자를 선택적으로 편향시키는 E ×B 분리기로서 전계를 발생시키기 위한 전극이 3쌍 이상의 비자성 도전체 전극으로 구성되고, 원통을 구성하도록 배치되는 E ×B 분리기를 포함하는 것을 특징으로 하는 검사장치.
  10. 제 3항에 있어서,
    상기 검사장치는, 검사직전의 피검사영역을 미리 하전입자에 의하여 조사하는 하전입자 조사부를 구비한 것을 특징으로 하는 검사장치.
  11. 제 3항에 있어서,
    상기 검사장치는, 상기 검사대상에 대전한 전하를 균일화 또는 저감화하는 균일 저감장치를 가지는 것을 특징으로 하는 검사장치.
  12. 하전입자 또는 전자파 중 어느 하나를 검사대상에 조사(照射)하여 상기 검사대상을 검사하는 검사장치에 있어서,
    진공분위기로 제어 가능한 검사대상을 검사하는 워킹챔버와;
    하전입자 또는 전자파 중 어느 하나를 빔으로서 발생시키는 빔 발생장치와;
    상기 빔을 상기 워킹챔버 내에 유지한 검사대상으로 유도하여 조사하고, 검사대상으로부터 발생하는 2차 하전입자를 검출하여 화상처리계로 유도하는 전자 광학계와;
    상기 2차 하전입자에 의하여 화상을 형성하는 화상처리계와;
    상기 화상처리계의 출력에 의거하여 검사대상의 상태정보를 표시 및 기억 중 하나 이상을 행하는 정보처리계와;
    상기 빔에 대하여 상대 이동 가능하게 검사대상을 유지하는 스테이지장치와;
    적어도 상기 전자광학계가 상기 2차 하전입자상을 검출하는 기간내에, 상기 빔보다 저에너지를 가지는 전자를 상기 검사대상에 공급하는 것을 특징으로 하는 검사장치.
  13. 하전입자 또는 전자파 중 어느 하나를 검사대상에 조사(照射)하여 상기 검사대상을 검사하는 검사장치에 있어서,
    진공분위기로 제어 가능한 검사대상을 검사하는 워킹챔버와;
    하전입자 또는 전자파 중 어느 하나를 빔으로서 발생시키는 빔 발생장치와;
    상기 빔을 상기 워킹챔버 내에 유지한 검사대상으로 유도하여 조사하고, 검사대상으로부터 발생하는 2차 하전입자를 검출하여 화상처리계로 유도하는 전자 광학계와;
    상기 2차 하전입자에 의하여 화상을 형성하는 화상처리계와;
    상기 화상처리계의 출력에 의거하여 검사대상의 상태정보를 표시 및 기억 중 하나 이상을 행하는 정보처리계와;
    상기 빔에 대하여 상대 이동 가능하게 검사대상을 유지하는 스테이지장치를 가지고;
    상기 스테이지는, XY 스테이지이고, 상기 XY 스테이지는 워킹챔버 내에 수용되고, 또한 정압베어링에 의하여 워킹챔버에 대하여 비접촉으로 지지되어 있고, 상기 XY 스테이지가 수용된 워킹챔버는 진공배기되고,
    상기 빔 발생장치로부터 발생한 하전입자빔을 상기 검사대상면상에 조사하는 부분의 주위에는 검사대상면상의 상기 하전입자빔이 조사되는 영역을 배기하는 차동 배기기구가 설치된 것을 특징으로 하는 검사장치.
  14. 하전입자 또는 전자파 중 어느 하나를 검사대상에 조사(照射)하여 상기 검사대상을 검사하는 검사장치에 있어서,
    진공분위기로 제어 가능한 검사대상을 검사하는 워킹챔버와;
    하전입자 또는 전자파 중 어느 하나를 빔으로서 발생시키는 빔 발생장치와;
    상기 빔을 상기 워킹챔버 내에 유지한 검사대상으로 유도하여 조사하고, 검사대상으로부터 발생하는 2차 하전입자를 검출하여 화상처리계로 유도하는 전자 광학계와;
    상기 2차 하전입자에 의하여 화상을 형성하는 화상처리계와;
    상기 화상처리계의 출력에 의거하여 검사대상의 상태정보를 표시 및 기억 중 하나 이상을 행하는 정보처리계와;
    상기 빔에 대하여 상대 이동 가능하게 검사대상을 유지하는 XY 스테이지장치와;
    상기 XY 스테이지에 검사대상을 탑재하고, 상기 검사대상을 진공 중에서 이동하여 검사대상면에 하전입자빔을 조사하는 장치를 가지고,
    상기 XY 스테이지에는 정압베어링에 의한 비접촉 지지기구와 차동배기에 의한 진공 시일기구를 설치하고,
    상기 검사대상면상의 하전입자빔이 조사되는 개소와, 상기 XY 스테이지의 정압베어링 지지부와의 사이에 컨덕턴스가 작아지는 칸막이를 설치하여 하전입자빔 조사영역과 정압베어링 지지부와의 사이에 압력차가 생기도록 한 것을 특징으로 하는 검사장치.
  15. 제 3항에 있어서,
    상기 검사대상 위에서 부분적으로 겹쳐지면서 서로로부터 변위된 복수의 피검사영역의 화상을 각각 취득하는 화상 취득장치와,
    기준화상을 기억하는 기억장치와,
    상기 화상 취득장치에 의하여 취득된 복수의 피검사영역의 화상과, 상기 기억장치에 기억된 상기 기준화상을 비교함으로써 상기 검사대상의 결함을 판단하는 결함판단장치를 포함하는 것을 특징으로 하는 검사장치.
  16. 제 3항에 기재된 검사장치를 사용하여 공정 도중 또는 그 후의 웨이퍼의 결함을 검출하는 것을 특징으로 하는 웨이퍼 결함 검사방법.
  17. 삭제
  18. 삭제
  19. 하전입자를 피검사 시료에 조사하는 빔 발생장치와;
    상기 하전입자를 감속시킴과 동시에 상기 하전입자가 상기 피검사 시료에 조사함으로써 발생하는 2차 하전입자를 가속시키는 감속전계형 대물렌즈와;
    상기 2차 하전입자를 검출하는 검출기와;
    전계와 자계가 직교하는 곳에 의하여 상기 2차 하전입자를 상기 검출기방향으로 편향하는 E ×B 편향기와;
    상기 감속전계형 대물렌즈와 상기 피검사 시료와의 사이에 배치되고, 상기 2차 하전입자의 조사광축에 대하여 축대칭의 형상이고, 상기 피검사 시료의 상기 하전입자의 조사면에서의 전계강도를 제어하는 전극을 구비하고,
    상기 피검사 시료는 반도체 웨이퍼이고, 상기 전계강도를 제어하기 위하여 상기 전극에 인가하는 전압은, 상기 반도체 웨이퍼의 비어의 유무에 의하여 제어하는 것을 특징으로 하는 검사장치.
  20. 제 19항에 기재된 검사장치를 사용한 반도체제조방법에 있어서,
    상기 검사장치를 사용하여 상기 피검사 시료인 곳의 반도체 웨이퍼의 결함을 검사하는 것을 특징으로 하는 반도체제조방법.
  21. 삭제
  22. 제 1 하전입자선과, 제 1 하전입자선과는 반대방향으로 진행하는 제 2 하전입자선이 입사되고, 상기 제 1 하전입자선 또는 제 2 하전입자선을 선택적으로 편향시키는 E ×B 분리기에 있어서,
    전계를 발생시키기 위한 전극이, 3쌍 이상의 비자성 도전체 전극으로 구성되고, 원통을 구성하도록 배치되며,
    자계를 발생시키는 평행 평판자극을, 상기 3쌍 이상의 비자성 도전체 전극이 구성하는 원통의 바깥쪽에 배치하고, 또한 상기 평행 평판자극의 대향면 주변부에 돌기를 형성한 것을 특징으로 하는 E ×B 분리기.
  23. 제 22항에 있어서,
    발생한 자계의 자력선의 통로 중, 상기 평행 평판자극 사이 이외의 통로의 대부분은 상기 3쌍 이상의 비자성 도전체 전극이 구성하는 원통과 동축의 원통형상인 것을 특징으로 하는 E ×B 분리기.
  24. 제 22항에 있어서,
    상기 평행 평판자극은, 영구자석인 것을 특징으로 하는 E ×B 분리기.
  25. 제 22항에 기재된 E ×B 분리기를 사용한 검사장치에 있어서,
    상기 제 1 하전입자선 또는 제 2 하전입자선의 한쪽이, 피검사 시료에 조사하는 1차 하전입자선이고, 다른쪽이 상기 1차 하전입자선의 조사에 의하여 상기 피검사 시료로부터 발생하는 2차 하전입자선인 것을 특징으로 하는 검사장치.
  26. 삭제
  27. 삭제
  28. 하전입자 조사부, 렌즈계, 편향기, E × B 필터(빈필터), 2차 하전입자 검출기를 가지고, 상기 하전입자 조사부로부터 하전입자를 상기 렌즈계, 편향기, E × B 필터를 거쳐 시료의 피검사영역에 조사하고, 시료로부터 생성하는 2차 하전입자를 상기 2차 하전입자 검출기에 상기 렌즈계, 편향기, E × B 필터에 의하여 결상시켜 그 전기신호를 화상으로서 검사하는 사상투영형 전자선 검사장치에 있어서, 검사 직전의 피검사영역을 미리 하전입자에 의하여 조사하는 하전입자 조사부를 구비하고, 상기 하전입자의 에너지는 0 eV보다 크고 100 eV 이하인 것을 특징으로 하는 검사장치.
  29. 삭제
  30. 제 28항에 기재된 검사장치를 사용하여 장치제조 공정도중의 패턴검사를 행하는 것을 특징으로 하는 반도체제조방법.
  31. 삭제
  32. 삭제
  33. 빔 발생장치로부터 방출된 하전입자빔을 조사하여 시료로부터 방출된 2차 하전입자를 검출기를 사용하여 검출하고, 상기 시료의 화상정보의 수집, 시료의 결함의 검사 등을 행하는 촬상장치에 있어서,
    상기 시료에 대전한 전하를 균일화 또는 저감화하는 균일 저감장치를 가지고, 상기 균일 저감장치는 계측 타이밍의 빈 시간에 동작하도록 이루어져 있는 것을 특징으로 하는 촬상장치.
  34. 빔 발생장치로부터 방출된 하전입자빔을 시료에 조사하여 시료로부터 방출된 2차 하전입자를 검출기를 사용하여 검출하고, 상기 시료의 화상정보의 수집, 시료의 결함의 검사 등을 행하는 촬상장치에 있어서,
    상기 시료에 대전한 전하를 균일화 또는 저감화하는 균일 저감장치를 가지고,
    복수의 하전입자빔을 상기 시료에 조사하는 하나 이상의 1차 광학계와,
    상기 시료로부터 방출된 전자를 하나 이상의 검출기로 유도하는 하나 이상의 2차 광학계를 가지고,
    상기 복수의 하전입자빔은 서로 상기 2차 광학계의 거리 분해능보다 떨어진 위치에 조사되는 것을 특징으로 하는 촬상장치.
  35. 제 33항 또는 제 34항에 기재된 촬상장치를 사용하여 공정도중의 웨이퍼의 결함을 검출하는 것을 특징으로 하는 반도체제조방법.
  36. 시료의 결함을 검사하는 결함 검사장치에 있어서,
    1차 하전입자를 상기 시료에 조사 가능한 하전입자 조사장치와;
    상기 1차 하전입자의 조사에 의하여 시료로부터 방출된 2차 하전입자를 사상투영하여 결상시키는 사상 투영장치와;
    상기 사상 투영장치에 의하여 결상된 상을 상기 시료의 전자화상으로서 검출하는 검출장치와;
    상기 검출장치에 의하여 검출된 전자화상에 의거하여 상기 시료의 결함을 판단하는 결함 판단장치를 포함하고,
    적어도 상기 검출장치가 상기 전자화상을 검출하는 기간내에 상기 1차 하입자보다 저에너지를 가지는 전자를 시료에 공급하는 것을 특징으로 하는 검사장치.
  37. 시료의 결함을 검사하는 검사장치에 있어서,
    1차 하전입자를 상기 시료에 조사 가능한 하전입자 조사장치와;
    상기 1차 하전입자의 조사에 의하여 상기 시료로부터 방출된 2차 하전입자를 사상 투영하여 결상시키는 사상 투영장치와;
    상기 사상 투영장치에 의하여 결상된 상을 상기 시료의 전자화상으로서 검출하는 검출장치와;
    상기 검출장치에 의하여 검출된 전자화상에 의거하여 상기 시료의 결함을 판단하는 결함 판단장치와;
    상기 시료에 UV 광전자를 공급 가능한 UV 광전자 공급장치를 포함하는 것을 특징으로 하는 검사장치.
  38. 시료의 결함을 검사하는 결함 검사방법에 있어서,
    1차 하전입자를 상기 시료에 조사하는 공정과;
    상기 1차 하전입자의 조사에 의하여 상기 시료로부터 방출된 2차 하전입자를 사상투영하여 결상시키는 사상 투영공정과;
    상기 사상 투영공정에서 결상된 상을 상기 시료의 전자화상으로서 검출하는 검출공정과;
    상기 검출공정에서 검출된 상기 전자화상에 의거하여, 상기 시료의 결함을 판단하는 결함 판단공정을 포함하고, 상기 검출공정에서 상기 전자화상을 검출하는 기간내에 상기 1차 하전입자보다 저에너지를 가지는 전자를 상기 시료에 공급하는 것을 특징으로 하는 시료 결함 검사방법.
  39. 시료의 결함을 검사하는 검사방법에 있어서,
    1차 하전입자를 시료에 조사하는 하전입자 조사공정과;
    상기 1차 하전입자의 조사에 의하여 상기 시료로부터 방출된 2차 하전입자를 사상투영하여 결상시키는 사상 투영공정과;
    상기 사상 투영공정에서 결상된 상을 상기 시료의 전자화상으로서 검출하는 검출공정과;
    상기 검출공정에서 검출된 전자화상에 의거하여 상기 시료의 결함을 판단하는 결함 판단공정을 포함하고, 상기 시료에 UV 광전자를 공급하는 UV 광전자 공급공정을 더 포함하는 것을 특징으로 하는 검사방법.
  40. 제 36항 또는 제 37항에 기재된 검사장치를 사용하여 반도체장치의 제조에 필요하게 되는 시료의 결함을 검사하는 공정을 포함하는 것을 특징으로 하는 반도체제조방법.
  41. XY 스테이지에 시료를 탑재하고, 상기 시료를 진공 중에서 이동하여 시료면에 하전입자빔을 조사하는 장치에 있어서,
    상기 XY 스테이지에는, 정압베어링에 의한 비접촉 지지기구와 차동배기에 의한 진공시일기구를 설치하고,
    상기 시료면상의 하전입자빔이 조사되는 개소와, 상기 XY 스테이지의 정압베어링 지지부와의 사이에 컨덕턴스가 작아지는 칸막이를 설치하여,
    하전입자빔 조사영역과 정압베어링 지지부와의 사이에 압력차가 생기도록 한 것을 특징으로 하는 하전입자빔장치.
  42. 제 41항에 있어서,
    상기 칸막이는, 차동 배기구조를 내장하고 있는 것을 특징으로 하는 하전입자빔장치.
  43. 제 41항에 있어서,
    상기 칸막이는, 냉각트랩 기능을 가지고 있는 것을 특징으로 하는 하전입자빔장치.
  44. 제 41항에 있어서,
    상기 칸막이는, 하전입자빔 조사위치의 근방과, 정압베어링 근방의 2개소에 설치되어 있는 것을 특징으로 하는 하전입자빔장치.
  45. 제 41항 내지 제 44항 중 어느 한 항에 있어서,
    상기 XY 스테이지의 정압베어링에 공급되는 가스는, 질소 또는 불활성 가스인 것을 특징으로 하는 하전입자빔장치.
  46. 삭제
  47. 제 41항에 기재된 하전입자빔장치를 사용하여 반도체 웨이퍼 표면의 결함을 검사하는 것을 특징으로 하는 웨이퍼결함 검사장치.
  48. 제 41항에 기재된 하전입자빔장치를 사용하여 반도체 웨이퍼 표면 또는 레티클에 반도체장치의 회로패턴을 묘획하는 것을 특징으로 하는 노광장치.
  49. 제 41항에 기재된 하전입자빔장치를 사용하여 반도체를 제조하는 것을 특징으로 하는 반도체제조방법.
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. XY 스테이지 위에 탑재된 시료에 하전입자빔을 조사하는 장치에 있어서,
    상기 XY 스테이지는 하우징내에 수용되고 또한 정압베어링에 의하여 하우징에 대하여 비접촉으로 지지되어 있고,
    상기 XY 스테이지가 수용된 하우징은 진공배기되고,
    상기 하전입자빔장치의 상기 시료면상에 하전입자빔을 조사하는 부분의 주위에는 시료면상의 상기 하전입자빔이 조사되는 영역을 배기하는 차동 배기기구가 설치된 것을 특징으로 하는 하전입자빔장치.
  56. 제 55항에 있어서,
    상기 XY 스테이지의 정압베어링에 공급되는 가스는 질소 또는 불활성 가스이고, 상기 질소 또는 불활성 가스는, 상기 XY 스테이지를 수납하는 하우징으로부터 배기된 후 가압되고, 다시 상기 정압베어링에 공급되는 것을 특징으로 하는 하전입자빔장치.
  57. 제 55항 또는 제 56항에 기재된 하전입자빔장치를 사용하여 반도체 웨이퍼 표면의 결함을 검사하는 것을 특징으로 하는 웨이퍼 검사장치.
  58. 제 55항 또는 제 56항에 기재된 하전입자빔장치를 사용하여 반도체 웨이퍼 표면 또는 레티클에 반도체장치의 회로패턴을 묘획하는 것을 특징으로 하는 노광장치.
  59. 제 55항 또는 제 56항에 기재된 하전입자빔장치를 사용하여 반도체를 제조하는 것을 특징으로 하는 반도체제조방법.
  60. 삭제
KR1020027014570A 2000-06-27 2001-06-27 하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법 KR100875230B1 (ko)

Applications Claiming Priority (23)

Application Number Priority Date Filing Date Title
JP2000193104 2000-06-27
JPJP-P-2000-00193104 2000-06-27
JPJP-P-2000-00229101 2000-07-28
JP2000229101 2000-07-28
JP2000335934 2000-11-02
JPJP-P-2000-00335934 2000-11-02
JPJP-P-2001-00011218 2001-01-19
JP2001011218 2001-01-19
JPJP-P-2001-00031906 2001-02-08
JPJP-P-2001-00031901 2001-02-08
JP2001031901 2001-02-08
JP2001031906 2001-02-08
JPJP-P-2001-00033599 2001-02-09
JP2001033599 2001-02-09
JPJP-P-2001-00035069 2001-02-13
JP2001035069 2001-02-13
JPJP-P-2001-00158662 2001-05-28
JP2001158662 2001-05-28
JPJP-P-2001-00162041 2001-05-30
JP2001162041 2001-05-30
JPJP-P-2001-00189304 2001-06-22
JP2001189304 2001-06-22
PCT/JP2001/005495 WO2002001596A1 (en) 2000-06-27 2001-06-27 Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus

Publications (2)

Publication Number Publication Date
KR20030015231A KR20030015231A (ko) 2003-02-20
KR100875230B1 true KR100875230B1 (ko) 2008-12-19

Family

ID=27582319

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027014570A KR100875230B1 (ko) 2000-06-27 2001-06-27 하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법

Country Status (5)

Country Link
US (6) US7241993B2 (ko)
EP (3) EP2587515A1 (ko)
KR (1) KR100875230B1 (ko)
TW (1) TWI294632B (ko)
WO (1) WO2002001596A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150014000A (ko) * 2013-07-25 2015-02-06 삼성디스플레이 주식회사 오염 물질 측정 기판, 이를 이용한 기판 제조 장치 및 제조 방법

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI294632B (en) 2000-06-27 2008-03-11 Ebara Corp Inspecting device using an electron ebam and method for making semiconductor devices with such inspection device
WO2002103337A2 (en) 2001-06-15 2002-12-27 Ebara Corporation Electron beam apparatus and method for using said apparatus
TW579536B (en) * 2001-07-02 2004-03-11 Zeiss Carl Semiconductor Mfg Examining system for the particle-optical imaging of an object, deflector for charged particles as well as method for the operation of the same
US6998611B2 (en) * 2001-09-06 2006-02-14 Ebara Corporation Electron beam apparatus and device manufacturing method using same
JP3984019B2 (ja) * 2001-10-15 2007-09-26 パイオニア株式会社 電子ビーム装置及び電子ビーム調整方法
US7034296B2 (en) * 2001-11-21 2006-04-25 Hitachi High-Technologies Corporation Method of forming a sample image and charged particle beam apparatus
JP3996774B2 (ja) * 2002-01-09 2007-10-24 株式会社日立ハイテクノロジーズ パターン欠陥検査方法及びパターン欠陥検査装置
JP2003297272A (ja) * 2002-04-04 2003-10-17 Ebara Corp 電子線装置及び該装置を用いたデバイス製造方法
DE10232689A1 (de) * 2002-07-18 2004-02-05 Leo Elektronenmikroskopie Gmbh Mit Strahlen geladener Teilchen arbeitende Anwendungen
US7157703B2 (en) * 2002-08-30 2007-01-02 Ebara Corporation Electron beam system
JP3944439B2 (ja) * 2002-09-26 2007-07-11 株式会社日立ハイテクノロジーズ 電子線を用いた検査方法および検査装置
US7015467B2 (en) * 2002-10-10 2006-03-21 Applied Materials, Inc. Generating electrons with an activated photocathode
US7446474B2 (en) * 2002-10-10 2008-11-04 Applied Materials, Inc. Hetero-junction electron emitter with Group III nitride and activated alkali halide
US7081625B2 (en) * 2002-11-06 2006-07-25 Hitachi High-Technologies Corporation Charged particle beam apparatus
JP4012813B2 (ja) * 2002-11-27 2007-11-21 株式会社日立ハイテクノロジーズ 透過型電子顕微鏡及び試料観察方法
TWI228750B (en) * 2003-02-25 2005-03-01 Samsung Electronics Co Ltd Apparatus and method for processing wafers
US7138629B2 (en) 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
JP2004363085A (ja) * 2003-05-09 2004-12-24 Ebara Corp 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
CN1820346B (zh) * 2003-05-09 2011-01-19 株式会社荏原制作所 基于带电粒子束的检查装置及采用了该检查装置的器件制造方法
US7211796B2 (en) * 2003-05-27 2007-05-01 Kabushiki Kaisha Toshiba Substrate inspection apparatus, substrate inspection method and method of manufacturing semiconductor device
DE10330506A1 (de) * 2003-07-05 2005-03-31 Leica Microsystems Semiconductor Gmbh Vorrichtung zur Waferinspektion
EP2579274A1 (en) 2003-09-05 2013-04-10 Carl Zeiss SMT GmbH Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
US7870504B1 (en) 2003-10-01 2011-01-11 TestPlant Inc. Method for monitoring a graphical user interface on a second computer display from a first computer
KR101110468B1 (ko) * 2003-10-31 2012-01-31 하마마츠 포토닉스 가부시키가이샤 시료 관찰 방법 및 현미경, 및 이것에 이용하는 고침 렌즈및 광학 밀착액
JP4248382B2 (ja) * 2003-12-04 2009-04-02 株式会社日立ハイテクノロジーズ 荷電粒子ビームによる検査方法および検査装置
WO2005069355A1 (ja) * 2004-01-15 2005-07-28 Nikon Corporation 露光装置及びデバイスの製造方法
JP4316394B2 (ja) * 2004-01-21 2009-08-19 株式会社東芝 荷電ビーム装置
US7314689B2 (en) * 2004-01-27 2008-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for processing masks with oblique features
US20050225308A1 (en) * 2004-03-31 2005-10-13 Orvek Kevin J Real-time monitoring of particles in semiconductor vacuum environment
TWI352645B (en) * 2004-05-28 2011-11-21 Ebara Corp Apparatus for inspecting and polishing substrate r
US7385197B2 (en) * 2004-07-08 2008-06-10 Ebara Corporation Electron beam apparatus and a device manufacturing method using the same apparatus
JP5033314B2 (ja) * 2004-09-29 2012-09-26 株式会社日立ハイテクノロジーズ イオンビーム加工装置及び加工方法
EP1657736B1 (en) * 2004-11-15 2016-12-14 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH High current density particle beam system
JP5214090B2 (ja) * 2004-11-30 2013-06-19 株式会社Sen ビーム偏向走査方法及びビーム偏向走査装置並びにイオン注入方法及びイオン注入装置
US7468507B2 (en) * 2005-01-26 2008-12-23 Applied Materials, Israel, Ltd. Optical spot grid array scanning system
US7468506B2 (en) * 2005-01-26 2008-12-23 Applied Materials, Israel, Ltd. Spot grid array scanning system
US7164139B1 (en) * 2005-02-01 2007-01-16 Kla-Tencor Technologies Corporation Wien filter with reduced chromatic aberration
JP2006226833A (ja) * 2005-02-17 2006-08-31 Ebara Corp 欠陥検査装置及び欠陥検査装置を用いたデバイス製造方法
JP4498185B2 (ja) * 2005-03-23 2010-07-07 株式会社東芝 基板検査方法、半導体装置の製造方法および基板検査装置
KR100681822B1 (ko) * 2005-04-19 2007-02-15 석 영 정 광역다중접속 무선통신 원격제어시스템 및 그 원격제어방법
JP4828162B2 (ja) * 2005-05-31 2011-11-30 株式会社日立ハイテクノロジーズ 電子顕微鏡応用装置および試料検査方法
JP4675697B2 (ja) * 2005-07-06 2011-04-27 株式会社東芝 マスクパターン検査方法、露光条件検証方法、および半導体装置の製造方法
ATE545147T1 (de) * 2005-09-06 2012-02-15 Zeiss Carl Smt Gmbh Untersuchungsverfahren und system für geladene teilchen
JP2007113992A (ja) * 2005-10-19 2007-05-10 Renesas Technology Corp プロービング装置
EP2211368B1 (en) 2005-11-28 2012-06-27 Carl Zeiss SMT GmbH Particle-optical component
GB2434248B (en) * 2006-01-12 2010-04-14 Zeiss Carl Smt Ltd Charged particle beam device
JP4908934B2 (ja) * 2006-06-08 2012-04-04 株式会社日立ハイテクノロジーズ 半導体ウェーハ検査装置および半導体ウェーハ検査方法
JP4994749B2 (ja) 2006-09-05 2012-08-08 株式会社アドバンテスト 電子ビーム寸法測定装置及び電子ビーム寸法測定方法
JP4203089B2 (ja) * 2006-09-11 2008-12-24 株式会社東芝 キャリブレーション方法、検査方法、及び半導体装置の製造方法
US20080116390A1 (en) * 2006-11-17 2008-05-22 Pyramid Technical Consultants, Inc. Delivery of a Charged Particle Beam
EP2109873B1 (en) * 2007-02-06 2017-04-05 FEI Company High pressure charged particle beam system
JP2008233035A (ja) * 2007-03-23 2008-10-02 Toshiba Corp 基板検査方法
US7550744B1 (en) * 2007-03-23 2009-06-23 Kla-Tencor Corporation Chamberless substrate handling
TWI435361B (zh) 2007-04-16 2014-04-21 Ebara Corp 電子射線裝置及使用該電子射線裝置之試料觀察方法
JP5156276B2 (ja) * 2007-06-20 2013-03-06 株式会社荏原製作所 試料表面上の異物除去方法及びこれに用いる荷電粒子線装置
JP2008270072A (ja) * 2007-04-24 2008-11-06 Sii Nanotechnology Inc 荷電粒子ビーム装置
JP5140316B2 (ja) * 2007-05-18 2013-02-06 株式会社ディスコ 検査装置
JP5497980B2 (ja) * 2007-06-29 2014-05-21 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置、及び試料検査方法
JP4750090B2 (ja) * 2007-09-14 2011-08-17 住友重機械工業株式会社 ステージ装置
WO2009101814A1 (ja) * 2008-02-14 2009-08-20 National Institute Of Information And Communications Technology イオンポンプシステム及び電磁場発生装置
JP5061962B2 (ja) * 2008-03-04 2012-10-31 住友電気工業株式会社 レーザ加工方法及びレーザ加工装置
JP5051295B2 (ja) * 2008-03-19 2012-10-17 凸版印刷株式会社 微細構造体検査方法、微細構造体検査装置、および微細構造体検査プログラム
JP5227643B2 (ja) * 2008-04-14 2013-07-03 株式会社日立ハイテクノロジーズ 高分解能でかつ高コントラストな観察が可能な電子線応用装置
JP2010073703A (ja) * 2008-09-16 2010-04-02 Hitachi High-Technologies Corp パターンの検査装置、およびパターンの検査方法
US8110818B2 (en) * 2008-10-08 2012-02-07 Hermes Microvision, Inc. Method of controlling particle absorption on a wafer sample being inspected by a charged particle beam imaging system
JP5095644B2 (ja) * 2009-01-23 2012-12-12 株式会社キーエンス 画像計測装置及びコンピュータプログラム
US8674299B2 (en) * 2009-02-19 2014-03-18 Hitachi High-Technologies Corporation Mass spectrometric system
US8296913B2 (en) * 2009-03-30 2012-10-30 The Boeing Company Thermally switched ferromagnetic latching support system
KR101155588B1 (ko) * 2009-04-24 2012-06-19 주식회사 디엠에스 임프린트 장치
TWI385033B (zh) * 2009-08-19 2013-02-11 Univ Nat Pingtung Sci & Tech 微槽孔過篩板之製作方法
US8587331B2 (en) * 2009-12-31 2013-11-19 Tommie E. Berry Test systems and methods for testing electronic devices
JP5056878B2 (ja) * 2010-03-19 2012-10-24 株式会社村田製作所 回路モジュール
JP5386636B2 (ja) * 2010-05-06 2014-01-15 株式会社日立ハイテクノロジーズ 走査電子顕微鏡及び試料観察方法
JP5506560B2 (ja) * 2010-06-18 2014-05-28 キヤノン株式会社 描画装置及びデバイス製造方法
JP5517790B2 (ja) * 2010-07-02 2014-06-11 株式会社キーエンス 拡大観察装置
JP5690086B2 (ja) * 2010-07-02 2015-03-25 株式会社キーエンス 拡大観察装置
DE102010026169B4 (de) * 2010-07-06 2014-09-04 Carl Zeiss Microscopy Gmbh Partikelstrahlsystem
KR101135537B1 (ko) * 2010-07-16 2012-04-13 삼성모바일디스플레이주식회사 레이저 조사 장치
JP5331771B2 (ja) * 2010-09-27 2013-10-30 株式会社日立ハイテクノロジーズ 検査装置
EP2622626B1 (en) 2010-09-28 2017-01-25 Applied Materials Israel Ltd. Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
KR101915753B1 (ko) * 2010-10-21 2018-11-07 삼성디스플레이 주식회사 이온 주입 시스템 및 이를 이용한 이온 주입 방법
US8896195B2 (en) * 2010-10-21 2014-11-25 Hermes Microvision, Inc. Filament for electron source
US9679741B2 (en) 2010-11-09 2017-06-13 Fei Company Environmental cell for charged particle beam system
JP5963453B2 (ja) 2011-03-15 2016-08-03 株式会社荏原製作所 検査装置
JP5699023B2 (ja) * 2011-04-11 2015-04-08 株式会社日立ハイテクノロジーズ 荷電粒子線装置
NL2007604C2 (en) * 2011-10-14 2013-05-01 Mapper Lithography Ip Bv Charged particle system comprising a manipulator device for manipulation of one or more charged particle beams.
JP2012243831A (ja) * 2011-05-17 2012-12-10 Hitachi High-Technologies Corp パターン検査装置および検査方法
NL2006868C2 (en) 2011-05-30 2012-12-03 Mapper Lithography Ip Bv Charged particle multi-beamlet apparatus.
FR2982413B1 (fr) * 2011-11-09 2014-01-10 Commissariat Energie Atomique Dispositif blinde de microscope electronique a balayage
JP5914020B2 (ja) * 2012-02-09 2016-05-11 株式会社日立ハイテクノロジーズ 荷電粒子線装置
US9816184B2 (en) * 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
US8779635B2 (en) * 2012-04-10 2014-07-15 Kla-Tencor Corporation Arrangement of reticle positioning device for actinic inspection of EUV reticles
US9939386B2 (en) * 2012-04-12 2018-04-10 KLA—Tencor Corporation Systems and methods for sample inspection and review
TWI617805B (zh) * 2012-09-14 2018-03-11 Ebara Corp Inspection device
JP5965819B2 (ja) * 2012-10-26 2016-08-10 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び重ね合わせずれ量測定方法
US8907281B2 (en) 2012-11-19 2014-12-09 Hermes Microvision Inc. System and method for controlling charge-up in an electron beam apparatus
KR20140116009A (ko) 2013-03-21 2014-10-01 가부시키가이샤 에바라 세이사꾸쇼 검사 장치 및 검사용 화상 데이터의 생성 방법, 검사용 표시 장치, 결함 판별 방법 및 검사용 표시 프로그램이 기록된 기억 매체
US9134261B2 (en) 2013-04-22 2015-09-15 Ebara Corporation Inspection apparatus
GB2515061A (en) * 2013-06-12 2014-12-17 Isis Innovation Scintillator
US9422978B2 (en) 2013-06-22 2016-08-23 Kla-Tencor Corporation Gas bearing assembly for an EUV light source
TWI653659B (zh) 2013-08-09 2019-03-11 日商荏原製作所股份有限公司 檢查裝置及檢查用圖像資料之製作方法
TW201517192A (zh) * 2013-10-23 2015-05-01 Macronix Int Co Ltd 晶片對資料庫的影像檢測方法
US10186397B2 (en) * 2013-11-11 2019-01-22 Howard Hughes Medical Institute Workpiece holder for workpiece transport apparatus
WO2015070222A1 (en) * 2013-11-11 2015-05-14 Howard Hughes Medical Institute Workpiece transport and positioning apparatus
JP6340216B2 (ja) * 2014-03-07 2018-06-06 株式会社日立ハイテクノロジーズ 走査電子顕微鏡
JP6294130B2 (ja) 2014-04-04 2018-03-14 株式会社荏原製作所 検査装置
EP2927946A1 (en) * 2014-04-04 2015-10-07 Nordson Corporation X-ray inspection apparatus for inspecting semiconductor wafers
JP6438209B2 (ja) 2014-04-07 2018-12-12 株式会社荏原製作所 検査装置において撮像装置用のタイミング信号を生成するための制御装置、撮像装置にタイミング信号を送出する方法
US9286675B1 (en) * 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
US9666411B1 (en) 2014-11-14 2017-05-30 Kla-Tencor Corporation Virtual ground for target substrate using floodgun and feedback control
KR20170101265A (ko) * 2014-12-22 2017-09-05 어플라이드 머티어리얼스, 인코포레이티드 기판을 검사하기 위한 장치, 기판을 검사하기 위한 방법, 대면적 기판 검사 장치 및 그 동작 방법
CN104655042B (zh) * 2015-01-16 2017-05-24 大连理工大学 可实现样品变温的接触式表面轮廓仪
JP6677657B2 (ja) 2015-02-05 2020-04-08 株式会社荏原製作所 検査装置
DE102015202172B4 (de) 2015-02-06 2017-01-19 Carl Zeiss Microscopy Gmbh Teilchenstrahlsystem und Verfahren zur teilchenoptischen Untersuchung eines Objekts
JP6577233B2 (ja) * 2015-05-11 2019-09-18 株式会社安川電機 生命工学・医薬品化学用自動作業セル、生命工学・医薬品化学用自動作業方法、及び自動作業セル
US10522330B2 (en) * 2015-06-12 2019-12-31 Varian Semiconductor Equipment Associates, Inc. In-situ plasma cleaning of process chamber components
JP6496210B2 (ja) * 2015-08-12 2019-04-03 日本電子株式会社 荷電粒子線装置
US10408676B2 (en) 2015-10-01 2019-09-10 Mission Support and Test Services, LLC Long-pulse-width variable-wavelength chirped pulse generator and method
GB2547220A (en) 2016-02-10 2017-08-16 Testplant Europe Ltd Method of, and apparatus for, testing computer hardware and software
GB2547222A (en) 2016-02-10 2017-08-16 Testplant Europe Ltd Method of, and apparatus for, testing computer hardware and software
US10586318B2 (en) * 2016-10-07 2020-03-10 Raytheon Company Automated model-based inspection system for screening electronic components
JP6873826B2 (ja) 2017-05-31 2021-05-19 株式会社東芝 粒子線医療装置
CN110709960B (zh) 2017-06-02 2022-06-10 株式会社日立高新技术 带电粒子束装置
EP3441143B1 (en) * 2017-08-08 2022-04-13 Roche Diagnostics GmbH Laboratory instrument base plate
US11031211B2 (en) * 2017-08-24 2021-06-08 Hitachi High-Tech Corporation Charged particle beam device, and observation method and elemental analysis method using the same
US10896802B2 (en) 2017-12-27 2021-01-19 Fei Company Combined SEM-CL and FIB-IOE microscopy
US10692694B2 (en) * 2017-12-27 2020-06-23 Fei Company Method and apparatus for enhancing SE detection in mirror-based light imaging charged particle microscopes
US10529534B2 (en) * 2018-01-05 2020-01-07 Kla-Tencor Corporation Compensating for scanning electron microscope beam distortion-induced metrology error using design
DE102018202428B3 (de) 2018-02-16 2019-05-09 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenmikroskop
DE102018202421B3 (de) 2018-02-16 2019-07-11 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenstrahlsystem
WO2019166331A2 (en) 2018-02-27 2019-09-06 Carl Zeiss Microscopy Gmbh Charged particle beam system and method
US10811215B2 (en) 2018-05-21 2020-10-20 Carl Zeiss Multisem Gmbh Charged particle beam system
JP7412074B2 (ja) * 2018-07-18 2024-01-12 住友重機械工業株式会社 負イオン照射装置、及び負イオン照射装置の制御方法
JP7234527B2 (ja) * 2018-07-30 2023-03-08 Tdk株式会社 センサー内蔵フィルタ構造体及びウエハ収容容器
CN109217819A (zh) 2018-09-13 2019-01-15 友达光电股份有限公司 粉尘检测装置、包含其的太阳能电池系统、使用其的评估方法
TWI759628B (zh) * 2018-09-18 2022-04-01 荷蘭商Asml荷蘭公司 用於偵測快速充電裝置中時間相依缺陷的設備及方法
DE102018007455B4 (de) 2018-09-21 2020-07-09 Carl Zeiss Multisem Gmbh Verfahren zum Detektorabgleich bei der Abbildung von Objekten mittels eines Mehrstrahl-Teilchenmikroskops, System sowie Computerprogrammprodukt
DE102018007652B4 (de) 2018-09-27 2021-03-25 Carl Zeiss Multisem Gmbh Teilchenstrahl-System sowie Verfahren zur Stromregulierung von Einzel-Teilchenstrahlen
DE102018124044B3 (de) 2018-09-28 2020-02-06 Carl Zeiss Microscopy Gmbh Verfahren zum Betreiben eines Vielstrahl-Teilchenstrahlmikroskops und Vielstrahl-Teilchenstrahlsystem
CN113614873A (zh) 2018-12-31 2021-11-05 Asml荷兰有限公司 多束检查装置
TWI743626B (zh) 2019-01-24 2021-10-21 德商卡爾蔡司多重掃描電子顯微鏡有限公司 包含多束粒子顯微鏡的系統、對3d樣本逐層成像之方法及電腦程式產品
CN111477530B (zh) 2019-01-24 2023-05-05 卡尔蔡司MultiSEM有限责任公司 利用多束粒子显微镜对3d样本成像的方法
JP7231205B2 (ja) * 2019-02-06 2023-03-01 株式会社ブイ・テクノロジー マスク検査装置
US11430672B2 (en) * 2019-03-04 2022-08-30 Applied Materials, Inc. Drying environments for reducing substrate defects
US10777379B1 (en) * 2019-03-19 2020-09-15 Hitachi High-Tech Corporation Holder and charged particle beam apparatus
WO2020240861A1 (ja) * 2019-05-31 2020-12-03 テクニシエ ユニヴェルシテイト デルフト ビーム偏向デバイス、収差補正器、モノクロメータ、および荷電粒子線装置
JP7148467B2 (ja) * 2019-08-30 2022-10-05 株式会社日立ハイテク 荷電粒子線装置
JP6995099B2 (ja) * 2019-09-30 2022-01-14 日本電子株式会社 試料取付装置
JP7326480B2 (ja) * 2020-01-10 2023-08-15 株式会社ニューフレアテクノロジー パターン検査装置及びパターン検査方法
JP2021180224A (ja) * 2020-05-12 2021-11-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
KR20230042086A (ko) 2020-07-22 2023-03-27 캐논 아네르바 가부시키가이샤 이온 건 및 진공 처리 장치
JP6963150B1 (ja) 2020-07-22 2021-11-05 キヤノンアネルバ株式会社 イオンガン及び真空処理装置
JP2022098939A (ja) * 2020-12-22 2022-07-04 富士フイルムビジネスイノベーション株式会社 照合装置及び照合プログラム
US11958111B2 (en) 2021-02-22 2024-04-16 General Electric Company Gaseous ionization detectors for monitoring and controlling energy beams used to additively manufacture three-dimensional objects
JP2023042998A (ja) 2021-09-15 2023-03-28 キオクシア株式会社 検査装置及び検査方法
CN113573567B (zh) * 2021-09-26 2021-12-17 深圳市凯泰精密设备有限公司 一种smt贴片机用防错供料装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS636737A (ja) * 1986-06-25 1988-01-12 Sharp Corp 電子線照射装置における帯電防止装置

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52115161A (en) 1976-03-24 1977-09-27 Toshiba Corp Electron gun for electron beam exposing device
JPS52117567A (en) 1976-03-30 1977-10-03 Toshiba Corp Electronic beam exposure unit
US4137476A (en) * 1977-05-18 1979-01-30 Denki Kagaku Kogyo Kabushiki Kaisha Thermionic cathode
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
JPS5772326A (en) 1980-10-24 1982-05-06 Toshiba Corp Insulation composition of electron beam exposing equipment
JPS57119442A (en) 1981-01-16 1982-07-24 Mitsubishi Electric Corp Scanning electron microscope device
JPS57125871A (en) 1981-01-29 1982-08-05 Toshiba Corp Electron detector
JPS57147857A (en) 1981-03-06 1982-09-11 Koichi Kanetani Sample observation through scanning electron microscope
JPS5818833A (ja) 1981-07-27 1983-02-03 Denki Kagaku Kogyo Kk 高密度電子ビ−ムの低温発生方法及びその装置
US4607167A (en) * 1982-10-19 1986-08-19 Varian Associates, Inc. Charged particle beam lithography machine incorporating localized vacuum envelope
US4584479A (en) * 1982-10-19 1986-04-22 Varian Associates, Inc. Envelope apparatus for localized vacuum processing
NL8301681A (nl) 1983-05-11 1984-12-03 Philips Nv Platenspeler met een aandrukorgaan voor het aandrukken van een op de draaitafel gelegen plaat.
JPS60741A (ja) 1983-06-16 1985-01-05 Toshiba Mach Co Ltd 電子線露光方法
JPS60212953A (ja) * 1984-04-06 1985-10-25 Hitachi Ltd 電子線装置
AU572375B2 (en) * 1985-01-31 1988-05-05 Boc Group, Inc., The Transporting of workpiece to and from vacuum coating apparatus
JPS61239624A (ja) * 1985-04-16 1986-10-24 Toshiba Mach Co Ltd ロ−デイング装置およびロ−デイング方法
US4639301B2 (en) * 1985-04-24 1999-05-04 Micrion Corp Focused ion beam processing
JPS62100093A (ja) 1985-10-26 1987-05-09 Fuji Electric Co Ltd 直列デ−タの処理装置
JPS6293934A (ja) 1985-10-21 1987-04-30 Hitachi Ltd 検査装置
JPH0687409B2 (ja) * 1985-10-28 1994-11-02 株式会社島津製作所 荷電粒子線を用いた分析装置の試料汚染防止方法
JPS62195838A (ja) 1986-02-21 1987-08-28 Hitachi Ltd 検査装置
US4680467A (en) * 1986-04-08 1987-07-14 Kevex Corporation Electron spectroscopy system for chemical analysis of electrically isolated specimens
US4726689A (en) 1986-10-22 1988-02-23 Eclipse Ion Technology, Inc. Linear gas bearing with integral vacuum seal for use in serial process ion implantation equipment
JP2760786B2 (ja) * 1987-03-18 1998-06-04 株式会社日立製作所 走査電子顕微鏡およびその試料台移動方法
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
JPH065691B2 (ja) 1987-09-26 1994-01-19 株式会社東芝 半導体素子の試験方法および試験装置
JPH01102841A (ja) 1987-10-14 1989-04-20 Toshiba Corp 画像形成方法
US4926054A (en) * 1988-03-17 1990-05-15 Ict Integrated Circuit Testing Gesellschaft Fur Halbleiterpruftechnik Mbh Objective lens for focusing charged particles in an electron microscope
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
JP2821153B2 (ja) 1988-11-24 1998-11-05 株式会社日立製作所 荷電粒子線応用装置
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2934707B2 (ja) 1989-06-19 1999-08-16 株式会社ニコン 走査電子顕微鏡
JP2946537B2 (ja) 1989-07-20 1999-09-06 株式会社ニコン 電子光学鏡筒
JPH03102814A (ja) 1989-09-16 1991-04-30 Nikon Corp 静電チャック装置
JPH03102814U (ko) 1990-02-02 1991-10-25
JPH03266350A (ja) 1990-03-14 1991-11-27 Jeol Ltd E×b型エネルギーフィルタ
JP3063107B2 (ja) 1990-03-27 2000-07-12 株式会社ニコン 静電偏向装置及び静電偏向装置の製造方法
US5233191A (en) * 1990-04-02 1993-08-03 Hitachi, Ltd. Method and apparatus of inspecting foreign matters during mass production start-up and mass production line in semiconductor production process
JPH04266350A (ja) 1991-02-20 1992-09-22 Nec Corp 媒体検知回路
JPH04331390A (ja) 1991-04-12 1992-11-19 Nec Corp 電子ビームテスタ
JP3148353B2 (ja) * 1991-05-30 2001-03-19 ケーエルエー・インストルメンツ・コーポレーション 電子ビーム検査方法とそのシステム
US6023068A (en) * 1991-05-30 2000-02-08 Canon Kabushiki Kaisha Semiconductor device manufacturing apparatus
JP3014210B2 (ja) * 1991-08-08 2000-02-28 日本電子株式会社 直接写像型反射電子顕微鏡
JPH0563261A (ja) 1991-08-30 1993-03-12 Nikon Corp レーザ反射鏡
JP3266336B2 (ja) 1991-11-29 2002-03-18 富士通株式会社 電子ビーム走査装置
JP3377101B2 (ja) * 1991-12-04 2003-02-17 セイコーインスツルメンツ株式会社 集束イオンビームによる集積回路の動作解析方法とその装置
JP2919170B2 (ja) * 1992-03-19 1999-07-12 株式会社日立製作所 走査電子顕微鏡
JP3730263B2 (ja) * 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション 荷電粒子ビームを用いた自動基板検査の装置及び方法
US5717204A (en) * 1992-05-27 1998-02-10 Kla Instruments Corporation Inspecting optical masks with electron beam microscopy
JP2877624B2 (ja) * 1992-07-16 1999-03-31 株式会社東芝 走査電子顕微鏡の対物レンズアライメント制御装置及び制御方法
JPH07111215B2 (ja) * 1992-08-26 1995-11-29 鹿島建設株式会社 除振装置
JP3151308B2 (ja) * 1992-09-25 2001-04-03 株式会社東芝 光学鏡筒
US5432345A (en) * 1992-10-08 1995-07-11 Kelly; Michael A. Method and apparatus for control of surface potential
JP3330166B2 (ja) * 1992-12-04 2002-09-30 東京エレクトロン株式会社 処理装置
JP3599631B2 (ja) 1993-03-09 2004-12-08 株式会社ルネサステクノロジ 欠陥検査方法及び欠陥検査装置
JPH06338280A (ja) * 1993-05-27 1994-12-06 Nikon Corp 環境制御型の走査型電子顕微鏡
JPH0765766A (ja) 1993-08-24 1995-03-10 Nikon Corp 静電偏向器
JP3409909B2 (ja) 1994-03-11 2003-05-26 株式会社東芝 ウェーハパターンの欠陥検出方法及び同装置
DE4438315A1 (de) * 1994-10-26 1996-05-02 Siemens Ag Vorrichtung zum Entfernen von Ionen aus einem Elektronenstrahl
JPH08138611A (ja) 1994-11-04 1996-05-31 Nikon Corp 荷電粒子線装置
JPH08222176A (ja) 1995-02-17 1996-08-30 Hitachi Ltd 集束イオンビーム加工方法および加工装置
US5765217A (en) * 1995-03-01 1998-06-09 Motorola, Inc. Method and apparatus to perform bus reflection operation using a data processor
JPH0973872A (ja) 1995-09-04 1997-03-18 Jeol Ltd 荷電粒子ビーム装置
US5770863A (en) * 1995-10-24 1998-06-23 Nikon Corporation Charged particle beam projection apparatus
JPH09129543A (ja) * 1995-11-01 1997-05-16 Nikon Corp 荷電粒子線転写装置
JPH09139184A (ja) * 1995-11-15 1997-05-27 Nikon Corp 静電偏向器の製造方法
JPH09147779A (ja) * 1995-11-20 1997-06-06 Nikon Corp 電磁偏向器
JPH09180663A (ja) * 1995-12-26 1997-07-11 Nikon Corp 電子銃及び該電子銃を備えた電子線転写装置
JPH09180665A (ja) 1995-12-27 1997-07-11 Hitachi Ltd 荷電粒子装置
US6172363B1 (en) 1996-03-05 2001-01-09 Hitachi, Ltd. Method and apparatus for inspecting integrated circuit pattern
JPH09320505A (ja) * 1996-03-29 1997-12-12 Hitachi Ltd 電子線式検査方法及びその装置並びに半導体の製造方法及びその製造ライン
US5892224A (en) * 1996-05-13 1999-04-06 Nikon Corporation Apparatus and methods for inspecting wafers and masks using multiple charged-particle beams
JPH09311112A (ja) 1996-05-22 1997-12-02 Nikon Corp 欠陥検査装置
JPH1012684A (ja) 1996-06-26 1998-01-16 Hitachi Ltd 半導体装置の検査方法及びその装置
JPH1062503A (ja) 1996-08-13 1998-03-06 Nikon Corp 欠陥検査装置
US5751538A (en) * 1996-09-26 1998-05-12 Nikon Corporation Mask holding device and method for holding mask
JPH10106926A (ja) * 1996-10-01 1998-04-24 Nikon Corp 荷電粒子線リソグラフィ装置、荷電粒子線リソグラフィ装置の評価方法およびパターン形成方法
JPH10125271A (ja) * 1996-10-16 1998-05-15 Hitachi Ltd 走査型電子顕微鏡
US5990476A (en) 1996-12-17 1999-11-23 Physical Electronics Inc Control of surface potential of insulating specimens in surface analysis
EP1219956B1 (en) 1996-12-17 2007-07-18 ReVera Incorporated Method for providing a substantially uniform surface potential on an insulating specimen
JP3697810B2 (ja) 1996-12-18 2005-09-21 株式会社ニコン 電子線を用いた転写装置
US6587581B1 (en) * 1997-01-10 2003-07-01 Hitachi, Ltd. Visual inspection method and apparatus therefor
US5869833A (en) * 1997-01-16 1999-02-09 Kla-Tencor Corporation Electron beam dose control for scanning electron microscopy and critical dimension measurement instruments
US5981947A (en) * 1997-02-03 1999-11-09 Nikon Corporation Apparatus for detecting or collecting secondary electrons, charged-particle beam exposure apparatus comprising same, and related methods
JPH10270535A (ja) * 1997-03-25 1998-10-09 Nikon Corp 移動ステージ装置、及び該ステージ装置を用いた回路デバイス製造方法
US6011262A (en) * 1997-03-26 2000-01-04 Nikon Corporation Object observing apparatus and method for adjusting the same
AU7597798A (en) * 1997-05-29 1998-12-30 Paul P. Castrucci Semiconductor wafer processing apparatus and method with defect eradication
US5944049A (en) * 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
AU8746998A (en) * 1997-08-19 1999-03-08 Nikon Corporation Object observation device and object observation method
JPH11108059A (ja) * 1997-10-07 1999-04-20 Canon Inc 気体軸受装置およびステージ装置
JPH11132975A (ja) 1997-10-31 1999-05-21 Toshiba Corp 電子ビームを用いた検査方法及びその装置
US5973323A (en) * 1997-11-05 1999-10-26 Kla-Tencor Corporation Apparatus and method for secondary electron emission microscope
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6365897B1 (en) 1997-12-18 2002-04-02 Nikon Corporation Electron beam type inspection device and method of making same
JP4218074B2 (ja) 1998-02-06 2009-02-04 株式会社ニコン 電子ビーム欠陥検査装置および欠陥検査方法
JPH11233062A (ja) * 1998-02-10 1999-08-27 Jeol Ltd ウィーンフィルタ及び直接写像型反射電子顕微鏡
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6000905A (en) * 1998-03-13 1999-12-14 Toro-Lira; Guillermo L. High speed in-vacuum flat panel display handler
JP3201471B2 (ja) * 1998-04-24 2001-08-20 日本電気株式会社 レティクル検査装置
US6765217B1 (en) * 1998-04-28 2004-07-20 Nikon Corporation Charged-particle-beam mapping projection-optical systems and methods for adjusting same
JP4135219B2 (ja) * 1998-06-12 2008-08-20 株式会社ニコン 荷電粒子線写像投影光学系
JP2000047371A (ja) 1998-05-29 2000-02-18 Seiko Instruments Inc 集束イオンビーム装置の電荷中和方法
US6670602B1 (en) * 1998-06-03 2003-12-30 Nikon Corporation Scanning device and scanning method
JP4106755B2 (ja) 1998-08-21 2008-06-25 株式会社ニコン 写像型観察方法及び写像型荷電粒子線顕微鏡
JP2000090868A (ja) 1998-09-17 2000-03-31 Nikon Corp 光学鏡筒及びそのクリーニング方法
JP2000100369A (ja) 1998-09-28 2000-04-07 Jeol Ltd 荷電粒子ビーム装置
JP4343293B2 (ja) 1998-10-23 2009-10-14 キヤノン株式会社 荷電粒子線露光方法及び装置、ならびにデバイス製造方法
JP4277334B2 (ja) 1998-11-06 2009-06-10 株式会社ニコン 観察装置およびその調整方法
JP4006119B2 (ja) 1998-11-30 2007-11-14 株式会社日立製作所 回路パターン検査装置、および回路パターン検査方法
US6344750B1 (en) * 1999-01-08 2002-02-05 Schlumberger Technologies, Inc. Voltage contrast method for semiconductor inspection using low voltage particle beam
JP4163344B2 (ja) 1999-03-05 2008-10-08 株式会社東芝 基板検査方法および基板検査システム
US6614026B1 (en) * 1999-04-15 2003-09-02 Applied Materials, Inc. Charged particle beam column
WO2000072355A1 (en) * 1999-05-25 2000-11-30 Kla-Tencor Corporation Apparatus and methods for secondary electron emission microscopy with dual beam
JP4332933B2 (ja) 1999-06-10 2009-09-16 ソニー株式会社 検査装置
JP2001093455A (ja) 1999-09-21 2001-04-06 Nikon Corp 電子ビーム装置
TWI294632B (en) * 2000-06-27 2008-03-11 Ebara Corp Inspecting device using an electron ebam and method for making semiconductor devices with such inspection device
WO2002013227A1 (fr) * 2000-07-27 2002-02-14 Ebara Corporation Appareil d'analyse a faisceau plan
US6627884B2 (en) * 2001-03-19 2003-09-30 Kla-Tencor Technologies Corporation Simultaneous flooding and inspection for charge control in an electron beam inspection machine
JP2003031173A (ja) * 2001-07-11 2003-01-31 Ebara Corp 荷電粒子ビーム制御装置及びそれを用いた荷電粒子ビーム光学装置、ならびに荷電粒子ビーム欠陥検査装置
US6593578B1 (en) * 2001-11-08 2003-07-15 Schlumberger Technologies, Inc. Wien filter for use in a scanning electron microscope or the like
US6828571B1 (en) * 2003-09-17 2004-12-07 Kla-Tencor Technologies Corporation Apparatus and methods of controlling surface charge and focus
DE602005023146D1 (de) * 2005-07-11 2010-10-07 Integrated Circuit Testing Vorrichtung zur Erzeugung eines elektrisch-magnetischen Feldes und Aufbaumethode derselben

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS636737A (ja) * 1986-06-25 1988-01-12 Sharp Corp 電子線照射装置における帯電防止装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150014000A (ko) * 2013-07-25 2015-02-06 삼성디스플레이 주식회사 오염 물질 측정 기판, 이를 이용한 기판 제조 장치 및 제조 방법
KR102094800B1 (ko) * 2013-07-25 2020-03-31 삼성디스플레이 주식회사 오염 물질 측정 기판, 이를 이용한 기판 제조 장치 및 제조 방법

Also Published As

Publication number Publication date
US7411191B2 (en) 2008-08-12
EP2587515A1 (en) 2013-05-01
US8803103B2 (en) 2014-08-12
TWI294632B (en) 2008-03-11
TW200603196A (en) 2006-01-16
EP2365512A3 (en) 2012-01-04
US20120032079A1 (en) 2012-02-09
US8053726B2 (en) 2011-11-08
US7241993B2 (en) 2007-07-10
KR20030015231A (ko) 2003-02-20
US20020028399A1 (en) 2002-03-07
EP1296352A4 (en) 2007-04-18
EP1296352A1 (en) 2003-03-26
US20070235644A1 (en) 2007-10-11
EP2365512A2 (en) 2011-09-14
WO2002001596A1 (en) 2002-01-03
US20090032708A1 (en) 2009-02-05
US8368031B2 (en) 2013-02-05
US20140034831A1 (en) 2014-02-06
US20140319346A1 (en) 2014-10-30
US9368314B2 (en) 2016-06-14

Similar Documents

Publication Publication Date Title
KR100875230B1 (ko) 하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법
JP6220423B2 (ja) 検査装置
JP5302423B2 (ja) シートビーム式検査装置
JP5647327B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
US6593152B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
KR102145469B1 (ko) 검사 장치
JP4939235B2 (ja) シートビーム式検査装置
JP2003173756A (ja) 電子線装置及び該装置を用いたデバイス製造方法
JP4224089B2 (ja) 撮像装置、欠陥検査装置、欠陥検査方法及び電子線検査装置
JP2008193119A (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP3890015B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JPWO2002040980A1 (ja) 基板検査方法、基板検査装置及び電子線装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121114

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131105

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151021

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161020

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20171030

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee