KR100499961B1 - 반도체 박막 형성 장치 - Google Patents

반도체 박막 형성 장치 Download PDF

Info

Publication number
KR100499961B1
KR100499961B1 KR10-2000-0038851A KR20000038851A KR100499961B1 KR 100499961 B1 KR100499961 B1 KR 100499961B1 KR 20000038851 A KR20000038851 A KR 20000038851A KR 100499961 B1 KR100499961 B1 KR 100499961B1
Authority
KR
South Korea
Prior art keywords
thin film
substrate
laser
stage
mask
Prior art date
Application number
KR10-2000-0038851A
Other languages
English (en)
Other versions
KR20010029904A (ko
Inventor
타나베히로시
아카시토모유키
와타베요시미
Original Assignee
아넬바 가부시기가이샤
스미도모쥬기가이고교 가부시키가이샤
닛폰 덴키 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아넬바 가부시기가이샤, 스미도모쥬기가이고교 가부시키가이샤, 닛폰 덴키 가부시끼 가이샤 filed Critical 아넬바 가부시기가이샤
Publication of KR20010029904A publication Critical patent/KR20010029904A/ko
Application granted granted Critical
Publication of KR100499961B1 publication Critical patent/KR100499961B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • B23K26/042Automatically aligning the laser beam
    • B23K26/043Automatically aligning the laser beam along the beam path, i.e. alignment of laser beam axis relative to laser beam apparatus
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • B23K26/046Automatically focusing the laser beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0608Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams in the same heat affected zone [HAZ]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/066Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms by using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/067Dividing the beam into multiple beams, e.g. multifocusing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • B23K26/0732Shaping the laser spot into a rectangular shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02678Beam shaping, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02683Continuous wave laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1285Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)
  • Lasers (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(과제)
본 발명은 트랩 준위 밀도가 작은 실리콘 박막을 광 조사에 의해 형성하는 기술을 제공하는 동시에, 대면적 기판상에 좋은 재현성으로 그 기술을 응용하기 위한 기술/장치를 제공한다. 또한, 그들 양질의 실리콘막상에 양질의 게이트 절연막을 형성하는 수단을 제공하여 양호한 반도체-절연막 계면 즉 우수한 특성을 갖는 전계 효과형 트랜지스터를 제조하는 장치를 제공한다.
(해결수단)
광 마스크상에 형성한 패턴을 실리콘 박막상에 투영 노광하고, 실리콘 박막상의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 노광되어야 할 광을 상기 광 마스크상의 소정의 영역에서, 균일화시키는 기구(opt20')를 갖는다. 트랩 준위 밀도가 1012cm-2보다 낮은 값을 나타내는 결정화 실리콘막의 제공이 가능하게되는 동시에 저계면 준위 밀도를 나타내는 실리콘-절연막 계면의 제공을 가능하게 하였다.

Description

반도체 박막 형성 장치{Semiconductor thin film forming system}
본 발명은, 결정성 실리콘 박막 트랜지스터에 사용하는 실리콘 박막 및 전계효과형 트랜지스터에 응용하기 위한 양질의 반도체-절연막 계면을 형성하는 장치에 관한 것이다. 또한 본 발명은, 펄스 레이저광을 사용한 실리콘 게르마늄(SiGe), 실리콘 카바이드(SiC) 등의 실리콘 화합물, CaAs, CaN, CuInSe2, ZnSe 등의 화합물반도체 등의 반도체 박막의 제조장치에 관한 것이다. 더욱이 본 발명은, 상기 반도체 박막 또는 전계 효과형 박막 트랜지스터에 의해 구성되는 디스플레이, 센서 등의 구동소자 또는 구동회로를 제조하기 위한 장치에 관한 것이다.
유리 기판상에 박막 트랜지스터(TFT)를 형성하는 대표적인 기술로서, 수소화 아모르퍼스 실리콘 TFT 기술 및 다결정 실리콘 TFT 기술을 들 수 있다. 전자는 제작 프로세스 최고온도 300 ℃ 정도이고, 이동도는 1cm2/Vsec 정도의 캐리어 이동도를 실현하고 있다. 이 기술은, 액티브 매트릭스형(AM) 액정 디스플레이(LCD)에 있어서의 각 화소의 스위칭 트랜지스터로서 사용되고, 화면 주변에 배치된 드라이버 집적회로(IC, 단결정 실리콘 기판상에 형성된 LSI)에 의해 구동된다. 각 화소마다 스위칭 소자 TFT가 붙어 있기 때문에, 주변 드라이버 회로에서 액정 구동용의 전기신호를 보내는 패시브 매트릭스형 LCD에 비교하여, 크로스토크 등이 저감되어 양호한 화상 품질을 얻을 수 있다는 특징을 갖는다. 한편 후자는, 예를 들면 석영 기판을 사용하여 1000 ℃ 정도의 LSI와 유사한 고온 프로세스를 사용하는 것으로, 캐리어 이동도 30 내지 100cm2/Vsec의 성능을 얻을 수 있다. 이러한 높은 캐리어 이동도의 실현은, 예를 들면 액정 디스플레이에 응용한 경우, 각 화소를 구동하는 화소 TFT와 동시에, 주변 구동 회로부까지도 동일 유리 기판상에 동시에 형성할 수 있는 제조 프로세스 비용의 저감, 소형화에 관한 이점이 있다. 소형화, 고해상도화에 의해 AM-LCD 기판과 주변 드라이버 집적회로의 접속 피치가 협소화하여, 터브접속이나 와이어 본딩법으로서는 대처할 수 없기 때문이다. 그런데, 다결정 실리콘 TFT 기술에 있어서, 상술한 바와 같은 고온 프로세스를 사용하는 경우, 전자의 프로세스가 사용할 수 있는 염가의 저연화점 유리를 사용할 수 없다. 그래서 다결정 실리콘 TFT 프로세스의 온도 저감이 필요하게 되어, 레이저 결정화 기술을 응용한 다결정 실리콘막의 저온 형성 기술이 연구·개발되고 있다.
일반적으로, 이러한 레이저 결정화는 도 1에 도시하는 바와 같은 구성의 펄스 레이저 조사장치에 의해 실현된다. 펄스 레이저 광원(1101)로부터 공급되는 레이저광은 미러(1102, 1103, 1105) 및 공간적인 강도의 균일화를 행하도록 설치되는 빔 호모지나이저(1104) 등의 광학 소자군에 의해서 규정되는 광로(1106)를 통하여, 피조사체인 유리 기판(1108)상의 실리콘 박막(1107)에 도달한다. 일반적으로 xy 스테이지(1109)상의 유리 기판을 이동시킴으로써 기판상의 임의의 위치로의 레이저 조사가 행해지고 있다. xy 스테이지의 대신 상술한 광학 소자군을 이동시키는 것 또는 광학 소자군과 스테이지를 조합하는 방법도 가능하다.
공지 자료 1 "J.Im과 R.Sposili"의 "Crystalline Si films for integrated active-matrix-liquid-crystal dispiays", "Materials Research Society Bulletin" 지, vol. 21,(1996), 39
본 문헌 내 Figure 6에, 기판이 x-방향 스테이지에, 호모지나이저가 y-방향 스테이지에 탑재된다는 것이 제시되어 있다.
레이저 조사가 진공 챔버 내에서 진공중 또는 고순도 가스 분위기하에서 행해지는 경우도 있다. 또한, 필요에 따라서 실리콘 박막이 부착된 유리 기판이 들어간 카세트(1110)와 기판 반송 기구(1111)를 가지며, 기계적으로 카세트와 스테이지간의 기판의 취출 수납을 행하는 것도 가능하다.
또한, 단파장 펄스 레이저광을 조사하여 비정질 기판상의 비정질 실리콘 박막을 결정화하여 박막 트랜지스터에 응용하는 기술이 일본 특공평7-118443호 공보에 개시되어 있다. 본 수법에 의하면 기판 전체를 고온으로 하지 않고서 비정질 실리콘의 결정화가 가능하기 때문에, 액정 디스플레이 등의 대면적이며 유리 등의 염가의 기판상으로의 반도체 소자, 반도체 집적회로를 제작할 수 있다는 이점이 있다. 그런데 상기 공보에 있어서도 설명되고 있는 바와 같이, 단파장 레이저에 의한 비정질 실리콘 박막의 결정화에는 50-500 mJ/cm2 정도의 조사 강도가 필요하다. 한편, 현재 일반적으로 입수할 수 있는 펄스 레이저 장치의 발광 출력은 최대 1J/pulse 정도이고, 단순 환산에 의해서도 한번에 조사할 수 있는 면적은 2-20cm2 정도에 지나지 않는다. 따라서, 예를 들면 기판 사이즈 47x37cm 기판 전면을 레이저 결정화 하기 위하여는, 적어도 87­870개소에 레이저 조사가 필요하게 된다. 1m 각이라고 하는 바와 같이, 기판 사이즈가 확대되면, 마찬가지로 조사 개소수가 증가한다. 일반적으로, 이러한 레이저 결정화는 상술한 바와 같이 도 1에 도시하는 바와 같은 구성의 펄스 레이저 조사장치에 의해 실현된다.
상기 방법으로 대면적 기판상에 박막 반도체 소자군을 균일하게 형성하기 위하여는, 일본 특개평 5-211167호 공보(일본 특원평 3-315863호)에 개시되어 있는 바와 같은, 소자군을 레이저의 빔 사이즈보다도 작게 분할하여 스텝 앤드 리피트에 의해 수 펄스 조사+조사영역의 이동+수 펄스 조사+조사영역의 이동+…을 반복하는 방법이 유효한 것이 알려져 있다. 도 2(2)에 도시하는 바와 같이, 레이저의 발진과 스테이지(즉 기판 또는 빔)의 이동이 교대로 이루어지는 방법이다. 그런데, 본 수법에 의해서도 현재 입수할 수 있는 발진 강도 편차가 ±5 내지 10 %(연속 발진 시)정도의 펄스 레이저 장치를 사용하여, 예를 들면 1 펄스/장소 내지 20펄스/장소 정도의 조사를 반복하는 경우, 발진강도 분산이 5 내지 10 %를 초과하여 결과로서 얻어지는 다결정 실리콘 박막 및 다결정 실리콘 박막 트랜지스터 특성이 충분한 균일성을 갖지 않는다는 문제가 있었다. 특히 스파이킹이라는 레이저 발진 초기의 방전의 불안정에 기인한 강광 또는 약광의 발생이 불균일화의 문제로 되고 있다. 이 보정을 행하도록 적산 강도 결과에 의해 다음 발진 시의 인가전압을 제어하는 방법으로는 스파이킹의 발생은 억제할 수 있지만 오히려 약광을 발진시켜 버린다는 문제가 있었다. 즉, 도 3에 도시하는 바와 같이, 조사시간과 비발진 시간이 교대로 연속하는 경우, 각 조사시간에 발진되는 제1 펄스 강도가, 가장 불안정하여 분산되기 쉬우며, 조사 개소에 따라 조사 강도 이력이 다르기 때문에, 기판면 내에서의 트랜지스터 소자 및 박막 집적 회로가 충분한 균일성이 얻어지지 않는다는 문제가 있었다. 이러한 스파이킹의 회피 방법으로서는, 도 2(1)에 도시하는 바와 같이, 레이저 발진을 소자 형성 영역으로의 조사 개시 이전부터 개시함으로써 회피하는 방법이 알려져 있는데, 도 2(2)에 도시하는 바와 같은 레이저의 발진과 스테이지의 이동이 단속적으로 반복되는 경우에는 응용할 수 없다는 문제가 있었다. 더욱이 이들의 문제를 회피하기 위하여, 일본 특개평5-90191호 공보에는 펄스 레이저 광원을 연속 발진시킴과 동시에 스테이지의 이동기간에는 광 차폐 장치를 사용하여 기판으로의 조사를 막는 방법이 제안되어 있다. 즉, 도 2(3)에 도시하는 바와 같이 레이저를 어떤 주파수로 연속 발진시켜 목적으로 하는 조사 위치로의 스테이지의 이동과 광로의 차폐를 동기시킴으로써, 강도가 안정된 레이저광을 목적으로 하는 조사위치에 조사를 가능하게 하였다. 그런데, 본 방법에 의하면 레이저 빔의 안정한 기판으로의 조사가 가능해지지만, 다결정 실리콘 박막 형성에 기여하지 않는 쓸데 없는 레이저 발진이 증가하여 고가의 레이저 광원이나 여기 가스의 수명에 대한 다결정 실리콘 박막의 생산성 및, 레이저 발진에 요하는 전력 등에 대한 다결정 실리콘 박막의 생산 효율이 저하하기 때문에, 생산 비용의 상승을 초래하는 문제가 있었다. 또한, 레이저가 노광되는 기판도, 조사 강도 분산에 의해 목적으로 하는 값과 비교하여 과도한 강광이 조사되면 기판 대미지가 발생한다. LCD 등의 imaging device에서는 기판을 투과하는 빛이, 기판상의 대미지를 받은 영역에서 산란 등을 야기하여 화상 품질의 저하가 생긴다는 문제가 있었다.
마스크상의 패턴을 실리콘 박막상에 축소투영하여 레이저 결정화하는 기술은
공지 자료 2, R.Sposili과 J.Im.의 "Sequential lateral solidification of thin silicon films on Si02", "Applied Physics Letters"지, vol. 69.(1996), 2864 및,
공지 자료 3, J. Im, R.Sposili과 M.Crowder. 의 "Single­crystal Si films for thin film transister devices". "Applied Physics Letters지", vol. 70.(1997), 3434에 개시되어 있다. 본 문헌에 의하면, 308 nm 엑시머 레이저(excimer laser), 가변 에너지 감쇠기(variable­energy attenuator). 가변 초점 필드 렌즈(variable­focus field lens), 패터닝된 마스크(patterned­mask). 2 엘리먼트 이미지 렌즈(two­element imaging lens), 서브 마이크로미터 정밀도 트랜스레이션 스테이지(sub­micrometer-precision translation stage)
를 사용하여, 1:5정도의 축소투영을 행함으로써, ㎛ order의 빔 사이즈와 ㎛ order의 기판 스테이지의 이동 피치를 실현하고 있다. 그런데 본 방법을 상기와 같은 대형의 기판처리에 사용한 경우, 광 마스크상에 조사되는 레이저 빔이 광원에 의존한 공간적인 강도 프로파일을 갖기 때문에, 예를 들면 마스크상의 중심과 주변을 투과한 노광 패턴에 치명적인 강도 분포가 생겨, 목적으로 하는 균일성을 가진 결정성 실리콘 박막을 얻을 수 없다고 하는 문제가 있었다. 또한, 파장이 짧은 자외광을 축소투영하기 때문에 빔의 초점 심도가 작아 기판의 휘어짐, 굴곡에 의한 조사 심도 어긋남이 발생하기 쉽다는 문제가 있었다. 또한, 기판이 커짐에 따라 스테이지의 기계적 정밀도를 확보하기가 곤란하고, 스테이지의 경사나 이동시의 스테이지상에서의 기판의 어긋남이 목적으로 하는 레이저 조사 조건을 방해한다는 문제도 있었다.
그런데, 상기와 같은 레이저 조사를 행할 때에, 복수의 펄스를 어떤 지연 시간 갖게 하여 조사하는 방법이
공지 자료 4, Ryoichi Ishihara 등의 "Effects of light pulse duration on excimer laser crystallization characteristics of silicon thin films", "Japanese journal of applied physics"지, vol.34. No.4A.(1995) pp1759에 개시되어 있다. 상기 공지 문서에 의하면, 레이저 재결정화 프로세스에 있어서의 용융 실리콘의 결정화 고화 속도는 1m/sec 이상이고, 양호한 결정 성장을 얻기 위하여는 고화 속도의 저감이 필요하다. 고화가 완료한 직후에 제2 레이저 펄스를 조사함으로써 제2 조사에 의해서 보다 고화 속도가 작은 재결정화 과정을 얻을 수 있다는 것이다. 그런데, 도 4에 도시하는 바와 같은 실리콘의 온도 변화(시간 이력 곡선)에 의하면, 레이저 에너지(예를 들면 도 5에 도시하는 강도 펄스)의 조사와 동시에 실리콘의 온도가 상승하며, 출발재료가 a-Si의 경우, a-Si의 융점을 거친 후 다시 온도가 상승하고, 에너지의 공급이 온도 상승에 필요한 값을 하회하면 냉각이 시작된다. 결정 Si의 응고점에서, 응고 시간 지나서 고화가 종료한 후, 분위기 온도까지 냉각된다. 여기에서, 실리콘의 고화가 실리콘-기판 계면을 기점으로 막두께 방향으로 진행한다고 하면, 상기 고화 속도의 평균값는 이하와 같은 식으로 나타난다.
고화 속도의 평균값= 실리콘의 막 두께/응고 시간
즉, 실리콘의 막두께가 일정하면, 고화 속도를 작게 하기 위하여는 응고 시간의 장시간화가 유효하다. 따라서, 열평형학적으로 이상적인 상태를 유지한 프로세스라면, 이상적인 투입하는 에너지즉 레이저 조사 에너지를 크게함으로써, 응고 시간의 확대가 가능하다. 그런데 상기 공지 문서에 있어서도 지적되어 있는 바와 같이, 조사 에너지의 증대는 막의 비정질화, 미결정화를 야기한다는 문제가 있었다. 현실적인 용융·재결정화 공정에서는 도 4와 같은 이상적인 온도 변화를 나타내지 않고, 가열시에는 온도의 과상승, 냉각시에는 과냉각 과정을 지나서 안정상태에 도달한다. 특히 냉각 시의 냉각 속도가 크게 과도한 과냉각을 거치는 경우, 응고점 근방에서의 결정화가 생기지 않고, 급속 냉각 고화에 의해 아모르퍼스(비정질)고체가 형성되기 때문이다. 박막에서는 상기 공지문헌 중에서도 언급되고 있는 바와 같이, 조건에 따라 아모르퍼스가 아니라, 미결정체를 형성하는 것도 있다. 미결정체는, 다결정 박막 또는 단결정 박막과 비교하여 그 입자 직경이 극단적으로 작기 때문에, 입계 포텐셜이 큰 결정립계가 다수 존재하여, 예를 들면 박막 트랜지스터로의 응용으로서는 온 전류의 저하, 또는 오프 리크 전류의 증대를 초래한다는 문제를 갖는다.
한편, 피레이저 조사 재료인 a-Si 박막의 형성 공정, 레이저 조사 공정, 플라즈마 수소화 공정, 게이트 절연막의 형성 공정을 순차 또는 순서를 바꾸어, 대기 노출하지 않고서 행하는 기술이 하기의 특허 공보에 개시되어 있다.
일본 특개평 5-182923호 공보 비정질 반도체 박막을 가열 처리한 후, 레이저를 조사하는 공정을 대기 노출하지 않고서 행한다.
일본 특개평 7-99321호 공보 레이저 결정화 다결정 실리콘 박막을 갖는 기판을 대기 노출하지 않고서 플라즈마 수소화, 게이트 절연막의 형성 공정에 기판 반송한다.
일본 특개평 9-7911호 공보 레이저 결정화 다결정 실리콘 박막을 갖는 기판을 대기 노출하지 않고 게이트 절연막의 형성 공정에 기판 반송한다.
일본 특개평 9-17729호 공보 레이저 결정화 다결정 실리콘 박막을 갖는 기판을 대기 노출하지 않고 게이트 절연막의 형성 공정에 기판 반송하여 다결정 실리콘 표면으로의 불순물의 부착을 막는다.
일본 특개평 9-148246호 공보 비정질 실리콘 박막의 형성, 레이저 결정화, 수소화, 게이트 절연막의 형성을 대기 노출하지 않고 연속하여 행한다.
일본 특개평 10-116989호 공보 비정질 실리콘 박막의 형성, 레이저 결정화, 수소화, 게이트 절연막의 형성을 대기 노출하지 않고서 연속하여 행한다.
일본 특개평 10-149984호 공보 비정질 실리콘 박막의 형성, 레이저 결정화, 수소화, 게이트 절연막의 형성을 대기 노출하지 않고 연속하여 행한다.
일본 특개평 11-17185호 공보 비정질 실리콘 박막의 형성, 레이저 결정화, 게이트 절연막의 형성, 게이트 전극의 형성을 대기 노출하지 않고 연속하여 행한다.
이러한 사상, 기술은 레이저 결정화에 의하여 형성된 실리콘 표면이 대단히 활성이기 때문에 대기중에 노출함으로써 불순물이 부착하기 쉬워져, 결과적으로 형성되는 TFT의 특성을 열화시키거나, 또는 그 특성에 격차를 생기게 한다는 문제를 해결하기 위하여 고안되어 있다. 그래서, 출원인들은 엑시머 레이저 결정화 기술과 산화 실리콘막 형성기술을 동일 장치(대기에 노출하지 않고 다른 장치에 기판을 반송하는 것을 포함한다)로 행하고, 일단 대기에 노출한 경우와의 성능 비교를 하였다. 그 결과, 먼지, 미립자의 부착 방지 효과에 의한 제품의 수율 향상에는 큰 효과가 있었지만, 이 효과는 클리인 룸 환경의 청정도를 높이는 것과 동등한 효과가 얻어짐을 알수 있었다. 수율의 향상에는, 성막 장치 내에 기판의 세정기구를 장착하는 것이 가장 효과가 크다. 예를 들면 a-Si의 형성 공정의 형성조건에 의해서는 성막 중에 기판상에 파티클이 부착하여, 일단 대기중에 해방하여 세정 공정을 필요로 하는 것도 있었다. 한편, 박막 트랜지스터의 성능에 착안하면, 상기 제조 프로세스의 차이는 현저한 차이를 가져오지 않았다. 그 이유는 아래와 같이 고찰할 수 있다. 본 출원인들은, 예를 들면,
공지 자료 5, K.Yuda 등의 "Improvement of structural and electrical properties in low-temperature gate-oxides for poly-Si TFTs by controlling 02/SiH4 ratios", "Digest of technical papers 1997 international workshop on active matrix liquid crystal displays", 1997년 9월 11일 내지 12일, "Kogakuin 대학, 도쿄, 일본, 87"에 있어서, 300 내지 350 ℃ 정도의 온도에서 플라즈마를 사용하여 형성되는 실리콘 산화막 또는 600 ℃ 정도의 열처리를 거쳐 형성되는 실리콘 산화막의 고정 산화막 전하 밀도(1011 내지 1012cm­2) 또는 실리콘 기판 사이의 계면 준위 밀도(내지 6x1010cm-2 eV-2)을 개시하고 있다. 이 경우, 상기 실리콘 기판은 일반적으로 ECA 세정이라는 황산/과산화 수소물, 염산/과산화 수소물/물, 암모니아/과산화 수소물/물, 불산/물 등의 산성(필요에 따라서 가열) 세정액을 이용하여 세정, 세척 후, 성막 장치 내에 도입된다. 따라서, 상기 계면 준위 밀도값은, 단결정 실리콘 기판이기는 하지만, 청정 계면 형성(세정)후 일단 대기에 노출되어 성막 공정으로 옮긴 시료로부터 얻은 것이다. 여기에서 한쪽 레이저 결정화 실리콘막의 트랩 준위 밀도에 주목한다. 본 출원인들은, 예를 들면
공지 자료 6, H.Tanabe 등의, "Excimer laser crystallization of amorphous silicon films", "NEC Research and Development지". vol.35.(1994), 254에서, 레이저 결정화 실리콘막을 갖는 박막 트랜지스터로부터, 결정화 실리콘막 중의 트랩 준위 밀도(1012 내지 1013cm-2)를 개시하고 있다. 또한 이러한 트랜지스터가 나타내는 전계 효과 이동도는 40 내지 140cm2/vsec으로 양호한 특성을 나타내고 있다.
그런데, 상기 실리콘막 중의 트랩 준위 밀도와, 계면 준위 밀도(또는 고정 산화막 전하 밀도)를 비교하면 분명히 트랩 준위 밀도의 값이 크다. 즉, 동일 장치 내에서 대기에 노출하지 않고서 실리콘막/게이트 절연막을 형성한 시료에 있어서, 그 청정성의 효과를 얻기 위하여는, 실리콘막의 성능(트랩 준위 밀도)가 충분하지 않다는 문제가 있는 것이 판명하였다.
이어서, 플라즈마 대미지를 저감하여 양질의 게이트 절연막을 형성하는 수단으로서 리모우트 플라즈마 CVD(화학적 기상 성장)법이 제안되어 있다. 예를 들면, 일본 특개평 5-21393호 공보에는, 플라즈마 발생실과 기판 처리실을 분리하는 구성이 개시되어 있다. 이러한 구성을 취함으로써, 상술한 바와 같은 저고정 산화막 전하 밀도(1011 내지 1012cm-2) 또는 저계면 준위 밀도(내지 6x1010 cm-2eV-2)를 실현할 수 있다고 추찰할 수 있지만, 이 효과는 상술한 바와 같이 미리 형성되는 실리콘막의 성능에 제한되어 버린다는 문제가 있었다.
본 발명의 목적은, 상기 설명해 온 문제를 극복하기 위하여, 트랩 준위 밀도가 작은 반도체 박막을 광 조사에 의해 형성하는 기술을 제공함과 동시에, 대면적 기판상에 재현성좋게 그 기술을 응용하기 위한 기술/장치를 제공하는 것이다.
본 발명의 또 하나의 목적은, 그러한 양질의 반도체막상에 양질의 게이트 절연막을 형성하는 수단을 제공하여 양호한 반도체-절연막 계면 즉 뛰어난 특성을 갖는 전계 효과형 트랜지스터를 제조하는 장치를 제공하는 것이다.
(1) 본 발명에 의하면, 광 마스크상에 형성한 복수의 패턴을 반도체 박막에 투영 노광하고, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 노광되어야 할 빛을 상기 광 마스크상의 소정의 영역에서, 그 영역 내의 빛의 강도 분포가 해당 영역 내의 빛의 평균 강도의 ±11.2% 이내의 범위에 포함되도록, 균일화시키는 기구를 갖는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다. 노광광을, 빔 호모지나이저를 사용하여 공간 균일성 ±11.2% 정도 이하로 균일화하여 광 마스크상에 조사하기 위하여 반도체 박막에 투영 노광되는 빛의 적어도 피크 강도의 장소 분포가 광 마스크상의 강도 분포와 동등하게 균일화된다. 그 결과 노광 영역 전체에 있어서 목적으로 하는 레이저 개질이 실현된다.
(2) 본 발명에 의하면, 광 마스크상에 형성한 노광 패턴을, 기판 스테이지에 보유된 기판상의 반도체 박막에 투영 노광하고, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 광 마스크 또는 기판 스테이지를 개별 또는 동시에 구동함으로써, 노광 패턴을 순차 주사하는 기구를 갖는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다. 광 마스크에 의해서 기판상에 투영 노광할 수 있는 면적이 기판 사이즈에 비교하여 작은 경우, 노광 영역으로의 기판의 이동을 기판 스테이지에 의해서 행한다. 기판을 고정한 상태에서 레이저의 조사에 맞추어서 마스크 스테이지를 이동시킴으로써, 목적으로 하는 영역에 순차 노광한다.
(3) 본 발명에 의하면, 광 마스크상에 형성한 노광 패턴을 반도체 박막에 투영 노광하고, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서,
노광 패턴을 반도체 박막에 투영 노광할 때의, 노광 패턴의 반도체 박막의 소정의 영역으로의 초점 맞춤을 행하는 초점 맞춤 기구를 갖는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다. 노광 영역으로의 기판의 이동을 기판 스테이지에 의해서 행하는 경우, 기판의 휘어짐, 굴곡, 두께 편차 등, 또는 기판 스테이지의 노광축에 대한 수직도 등의 어긋남에 의해, 기판 중심부와 기판 주변부 등에서 초점 위치로부터의 어긋남이 생기더라도, 수시 초점 맞춤을 행함으로써, 재현성 좋게 기판 전면에 목적으로 하는 노광을 행할 수 있다.
(4) 본 발명에 의하면, 광 마스크상에 형성한 패턴을 반도체 박막에 노광 빔에 의해 투영 노광하고, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 노광 빔의 반도체 박막에 대한 경사를 보정하는 경사 보정 기구를 갖는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다. 노광 영역으로의 기판의 이동을 기판 스테이지에 의해서 행하는 경우, 기판의 휘어짐, 굴곡, 두께 편차 등, 또는 기판 스테이지의 노광축에 대한 수직도 등의 어긋남에 의해서, 기판 중심부와 기판 주변부 등에서 노광축으로부터의 어긋남이 생기더라도, 수시 경사 보정을 행함으로써 재현성 좋게 기판 전면에 목적으로 하는 노광을 행할 수 있다.
(5) 본 발명에 의하면, 광 마스크상에 형성한 패턴을 반도체 박막에 노광 빔에 의해 투영 노광하고, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 반도체막이 퇴적된 기판상에 형성된 마크에 대하여, 노광 빔의 위치 맞춤을 행하는 얼라인먼트 기능을 갖는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다. 미리 마련된 얼라인먼트 마크를 기준으로 노광 영역을 결정함으로써, 목적으로 하는 장소에 목적으로 하는 노광조건으로 노광 개질된 반도체 박막을 형성할 수 있기 때문에, 예를 들면 트랜지스터의 채널 영역만을 노광 개질할 수 있다. 즉 개질한 영역에 대응하여 소스·드레인, 채널 영역을 순차 다음의 공정에서 패턴 형성할 수 있다.
(6) 본 발명에 의하면, 광 마스크상에 형성한 패턴을 반도체 박막에 투영 노광하고, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 반도체막이 퇴적된 기판을 스테이지상에 보유하는 기능을 갖는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다. 광 마스크에 의해서 기판상에 투영 노광할 수 있는 면적이 기판 사이즈와 비교하여 작은 경우, 노광 영역으로의 기판의 이동을 기판 스테이지에 의해서 행한다. 기판을 고정한 상태에서 레이저의 조사에 맞추어서 마스크 스테이지를 이동시킴으로써, 목적으로 하는 영역에 순차 노광한다. 이러한 경우, 기판 스테이지의 이동등으로 스테이지상의 기판이 어긋난다. 특히 회전보정(θ 보정)이 필요한 경우, 어긋남이 발생하였을 때에 그 때마다 보정을 하는 것은 스루풋의 방해로 되기 때문에 기판을 보유하는 것이 필요하게 된다. 또한 스테이지상에서 기판 가열을 행하는 것과 같은 경우, 가열에 의해 기판의 휘어짐, 굴곡이 발생하기 때문에, 그것에 의한 초점 어긋남이나 노광축으로부터의 경사가 발생하는 것을 방지한다.
(7) 본 발명에 의하면, 광 마스크상에 형성한 패턴을 반도체 박막에 노광 빔에 의해 투영 노광하여, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 복수의 레이저광을 상기 노광 빔으로서 합성하는 합성기구를 갖는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
(8) 본 발명에 의하면, 상기 (7)에 기재된 반도체 박막 형성 장치에 있어서, 상기 복수의 레이저광이 제1 및 제2 레이저광이고, 상기 합성기구는, 제1 레이저광에 대하여 제2 레이저광이 지연되어 반도체 박막에 조사되도록, 제1 및 제2 레이저광을 상기 노광 빔으로서 합성하는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
도 6에 막두께 75 nm의 실리콘 박막에 파장 308 nm의 엑시머 레이저를 조사한 경우의, 수치 계산으로 구한 최대 냉각 속도(Cooling rate. K/sec)와, 레이저 조사후의 막의 SEM 관찰로부터 얻어진 결정화-미결정화의 조사 강도의 임계값을 도시한다. 도 5는 실험에 사용한 레이저의 발광 펄스파형이다. 3개의 주 피크를 가져 발광 시간은 약 120 nsec에 미친다. 이러한 펄스파형은, 상기 공지 자료 6에 기재되어 있는 펄스폭 21.4nsec의 직사각형 펄스와 비교하여 5 배 이상의 발광 시간 갖기 때문에, 단일 펄스 조사이더라도 상기 공지 자료 6중에서 언급되고 있는 바와 같은 고화 속도의 저감과 같은 효과를 기대할 수 있다. 그런데, 이러한 펄스파형을 사용한 레이저 재결정화 시의 수치 계산으로부터 구한 실리콘의 온도-시간 곡선은 도 7에 도시하게 된다. 도 7은 실리콘 막두께 75 nm, 기판에 SiO2, XeCl 레이저(파장 308 nm) 조사 강도가 450 mJ/cm2일 때의 실리콘 박막의 온도 변화를 도시한다. 제2 발광 피크가 거의 종료하는 약 60 nsec 후에 최고 온도에 달하여 냉각으로 옮겨간다.(또, 개수치 계산으로서는 용융 응고점으로서 비정질 실리콘의 값을 사용하고 있어 응고점 부근의 상황은 현실의 것과는 다르다. 특히 결정화막이 얻어지는 경우는, 결정 실리콘의 응고점에서 결정화가 완료한다.) 일단 큰 기울기를 가지고 냉각이 개시되지만, 제 3 피크가 존재하는 100 nsec 정도의 기울기는 대단히 작아짐을 알 수 있다. 완전히 발광이 종료되는 120 nsec 이후에는, 다시 급속한 냉각 과정을 거쳐 응고한다. 일반적으로, 열평형 과정을 크게 벗어나는 것과 같은 "급냉"을 거친 액체로부터의 고화 과정의 경우, 결정 구조의 형성에 필요한 충분한 응고 시간 얻을 수 없어 아모르퍼스(비정질) 고체를 형성한다. 도 7에 도시하는 바와 같은 실리콘의 온도-시간 곡선으로부터 각 조사 강도에 대하여 발광 종료후의 최대 냉각 속도를 어림한 결과를 도 6에 도시한다. 조사 강도의 증대와 동시에 냉각 속도가 증가한다는 것을 알 수 있다. 한편, 레이저 조사 후의 실리콘 박막의 구조를 주사형 전자 현미경을 사용하여 관찰했더니, 조사 강도의 증대와 동시에 일단 입자 직경은 증대하지만, 470 mJ/cm2 정도의 설정 조사 강도 조건에 있어서 미결정화가 관측되었다. 마찬가지로 조사 펄스수를 3 펄스로 한 경우, 470 mJ/cm2 정도의 설정 조사 강도 조건에서도, 부분적으로 미결정화 영역이 남는데, 1 펄스일 때와는 달리 입자 직경의 비약적인 증대가 관측되었다(도 8). 또, 실제 조사 강도는, 엑시머 레이저의 특히 최초의 수 펄스에 있어서, 설정값에 비하여 5 내지 10 % 정도 높아지기 때문에, 미결정화가 생기는 경계 강도는 500 mJ/cm2 정도로 추측할 수 있다. 이상과 같은 결과로부터, 도 6의 500 mJ/cm2 조건으로부터 냉각 속도를 어림함으로써, 미결정화는 약 1.6x1010 ℃/sec 이상의 냉각 속도 조건에서 발생한다는 것을 알수 있었다. 피조사막이 a-Si의 경우, 약 500 mJ/cm2 이상의 조사 강도로 미결정화가, 마찬가지로 피조사막이 poly-Si의 경우에 이 냉각 속도를 적용시키면, a-Si에 비교하여 약 30 mJ/cm2 큰 조사 강도가 시사된다. 따라서, 냉각 속도를 1.6x101O℃/sec 이하로 제어함으로써, 미결정화, 아모르퍼스화를 방지할 수 있어 양호한 결정 성장 과정을 얻는 것이 가능해진다.
제2 레이저광을 제1 레이저광에 지연하여 도입한 경우에 대하여 설명한다. 이미 설명한 바와 같이, 발광 후기의 레이저광이 냉각 속도의 증대를 완화함과 동시에, 발광 종료후의 냉각 속도가 결정화를 지배한다. 즉, 최종적으로 투입된 에너지에 의해 그 이전의 냉각 과정은 초기화된다고 생각된다. 더욱이 부가적인 에너지를 투입함으로써, 그 이전의 고화 과정에서 급냉에 의한 비품질화, 미결정화가 생겨도, 에너지는 보존되어 있기(나노초 오더로 단시간이기 때문에, 기판으로의 열전도, 분위기로의 방사는 작다고 생각된다. 물론 충분한 열의 방출이 가능한 시간은 고려하지 않는다) 때문에, 일단 초기화되고, 다시 고화 과정을 반복하는 것이라고 생각된다. 따라서 다시 투입된 에너지에 의한 2차 가열 종료 후의 냉각 속도에 주목함으로써 양호한 결정 성장을 기대할 수 있다. 도 9에 도시하는 바와 같이, 지연 시간 제어함으로써 냉각 속도를 목적으로 하는 값으로 제어한다.
그런데, 조사되는 빔의 공간적인 강도 분포에 대하여 다음에 설명한다. 복수의 슬릿을 사용한 레이저 조사에서도, 슬릿 내의 공간 분포가 일정하며 슬릿간의 강도 공간 분포가 일정한 것이 바람직한데, 광학 소자 설계 또는 광학 소자 제작상의 제한으로부터, ±수 % 내지 십수 % 정도의 편차가 생겨 버린다. 엑시머 레이저광의 경시 변화나 광학계의 소모, 광학 소자로의 이물의 부착 등도 고려하면 그 분포는 ±수십 %가 되는 경우도 있다. 도 10은, 도 8에 도시하는 바와 같은 현미경 사진으로부터 구한, 조사 강도와 조사 회수(조사 펄스 회수; N)에 의존한 평균 결정 입자 직경(d, d=KNn, 여기에서, K는 정수, n은 기울기를 나타낸다)의 변화를 도시하고 있다. 도 10이 시사하는 바와 같이, 조사 강도가 450 mJ/cm2 정도를 경계로 조사 회수(N)에 대한 입자 직경 변화의 기울기(n)가 변화한다. 목적으로 하는 제작 조건을 조사 강도와 장소당의 조사 회수(N)으로 설계하는 경우, 공간적인 강도 분포가 n=1/4이 되는 조건과 n=1/7이 되는 조건을 혼재시키지 않는 것이 바람직하다. 따라서, 공간적인 편차가 발생한 경우라도, 예를 들면 521 내지 470 mJ/cm2의 범위(평균 강도 495.5 mJ/cm2의 약 ±5.2 % 이내의 범위) 또는 424 내지 339 mJ/cm2의 범위(평균 강도 381.5 mJ/cm2의 약 ±11.2 % 이내의 범위)에 분포가 수속되도록 조사를 행하면, 극단적인 평균 입자 직경의 상이를 억제한 Si 박막의 레이저 결정화가 가능하게 된다.
(9) 본 발명에 의하면, 광 마스크상에 형성한 패턴을 기판상의 반도체 박막에 투영 노광하여 반도체 박막의 소정의 영역을 개질하기 위한 처리실을 갖는 반도체 박막 형성 장치에 있어서, 대기에 노출하지 않고서 다른 처리실에 기판을 반송하는 기구를 갖는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
(10) 본 발명에 의하면, 상기 (9)에 기재된 반도체 박막 형성 장치에 있어서, 상기 다른 처리실이 기판에 절연막을 형성하기 위한 절연막 형성실인 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
반도체막 중의 트랩 준위 밀도가, 계면 준위 밀도와 동등하거나 그 이하가 되기 때문에, 동일 장치 내에서 대기의 노출없이 반도체막/게이트 절연막을 형성함으로써, 그 청정성 유지의 효과를 충분히 살리고, 양호한 반도체-절연막계면을 얻는 것이 가능하게 된다.
(11) 본 발명에 의하면, 상기 (9)에 기재된 반도체 박막 형성 장치에 있어서, 상기 다른 처리실이 기판에 반도체막을 형성하기 위한 반도체막 형성실인 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
(12) 본 발명에 의하면, 상기 (9)에 기재된 반도체 박막 형성 장치에 있어서, 상기 다른 처리실이 기판에 가열 처리를 실시하기 위한 가열 처리실인 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
(13) 본 발명에 의하면, 상기 (9)에 기재된 반도체 박막 형성 장치에 있어서, 상기 다른 처리실이 기판에 플라즈마 처리를 실시하기 위한 플라즈마 처리실인 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
(14) 본 발명에 의하면, 상기 (9)에 기재된 반도체 박막 형성 장치에 있어서, 상기 처리실이, 상기 광 마스크상에 형성한 패턴을 상기 기판상의 반도체 박막에 레이저 빔에 의해 투영 노광하고, 반도체 박막의 상기 소정의 영역을 개질하기 위한 레이저 처리실이며, 상기 다른 처리실이 또 하나의 레이저 처리실인 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
이러한 구성을 취함으로써, 고성능, 다기능 반도체 형성 장치의 제공, 저비용, 고재현성 박막 트랜지스터 제조 프로세스의 제공, 및 고성능 박막 트랜지스터의 제공이 각각 가능하게 된다.
구체적으로는,
1) 약액에 의한 세정 공정 삭감이 가능한 안정성이 높은 반도체 박막 프로세스 장치의 제공
2) 동일 장치에 있어서 다공정을 처리 가능한 다기능형 장치를 제공함으로써, 토탈의 공장 설치 면적을 작게 할 수 있는, 공간 절약 반도체 프로세스 장치의 제공
3) 실리콘 청정 표면(계면)을, 약액을 사용하지 않고 유지 가능한 저비용, 고성능 박막 트랜지스터의 제조방법의 제공이 각각 가능하게 된다.
(15) 본 발명에 의하면, 상기 (9) 내지 (13)의 어느 한 항에 기재된 반도체 박막 형성 장치에 있어서, 상기 다른 처리실은, 상기 다른 처리실 내의 소정 영역에 플라즈마를 발생시키기 위한 플라즈마 발생원을 가지며 상기 다른 처리실내의 상기 소정의 영역외의 영역에 기판이 배치되는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
(16) 본 발명에 의하면, 상기 (13)에 기재된 반도체 박막 형성 장치에 있어서, 상기 다른 처리실은 그 다른 처리실 내의 소정의 영역에 플라즈마를 발생시키기 위한 플라즈마 발생원을 가지며, 상기 다른 처리실은, 상기 소정 영역의 상기 플라즈마에 의해 여기된 가스와, 상기 소정의 영역을 거치지 않고 상기 다른 처리실 내에 도입되는 다른 가스를 반응시킴으로써, 상기 기판에 상기 플라즈마 처리를 실시하는 것을 특징으로 하는 반도체 박막 형성 장치가 얻어진다.
플라즈마 발생실과 기판 처리실을 분리하는 구성에 의해서, 플라즈마 대미지를 저감하여 양질의 게이트 절연막을 형성하는 수단에 더하여, 실리콘막 중의 트랩 준위 밀도가, 계면 준위 밀도와 동등하거나 그 이하가 되기 때문에, 양호한 반도체-절연막 계면을 얻는 것이 가능해진다.
다음에 본 발명의 실시예에 대하여 도면을 참조하여 설명한다.
도 11은 본 발명의 실시예를 나타낸 예이다. 제1 엑시머 레이저(EL1) 및 제2 엑시머 레이저(EL2)로부터 공급되는 펄스 UV 광은, 미러류(opt3, opt3'), 렌즈류(opt4)를 통하여 호모지나이저(opt20)에 유도된다. 여기에서 빔의 강도 프로파일이 광학 마스크(opt21)로 목적으로 하는 균일도, 예를 들면 면내 분포 ±5 %로 되도록 정형한다.(엑시머 레이저로부터 공급되는 오리지날 빔은 그 강도 프로파일 또는 총 에너지량이, 펄스간마다 변화하는 경우가 있기 때문에, 광학 마스크상에서의 강도가, 공간적 분포, 펄스 간격차에 대하여 보다 균일화되기 위한 기구가 마련되는 것이 바람직하다. 호모지나이저로서는, 플라이아이 렌즈 또는 실린드리컬 렌즈를 사용한 것이 일반적으로 사용된다.) 상기 광학 마스크에 의해서 형성된 광 패턴은 축소 투영 노광장치(또는 축소 투영 광학장치)(opt23'), 레이저 도입창(W0)을 통하여, 진공 챔버(C0)내에 설치된 sub0기판에 조사된다. 상기 기판은, 기판 스테이지(S0)상에 탑재되어 있고, 기판 스테이지의 동작에 의해서 목적으로 하는 영역, 예를 들면 패턴 전사 영역 (ex0)에 광 패턴을 노광할 수 있다. 도 11에서는 축소 투영 광학계를 도시하였지만, 경우에 따라서는 등배, 확대 투영을 행하여도 상관없다. 기판 스테이지의 이동(도면 내 X-Y)에 의해서 기판상의 임의의 영역에 조사가 행해진다. 또한, 상기 광학 마스크은 마스크 스테이지(도시하지 않음)상에 설치되고, 노광 가능 영역내이면, 상기 광학 마스크를 이동하여 기판상에 조사되는 빔을 조작하는 것도 가능하다.
이어서 목적으로 하는 광 패턴을 목적으로 하는 조건으로 기판상에 조사하기 위하여 필요한 기구에 대하여 예시한다. 광축의 조정에는 미묘한 조정이 필요하게 되기 때문에, 일단 조정을 끝낸 광축을 고정하여 기판의 위치를 조정하는 방법을 나타낸다. 광축에 대한 기판 조사면의 위치는, 초점(Z)방향 위치 및 광축에 대한 수직도를 보정할 필요가 있다. 따라서, 도면 중 θxy 기울기 보정 방향, θxz 기울기 보정 방향, θyz 기울기 보정 방향, X 노광 영역 이동 방향, Y 노광 영역 이동 방향, Z 초점 맞춤 방향에서 나타내는 중, θxy 기울기 보정 방향, θxz 기울기 보정 방향, θyz 기울기 보정 방향의 조정에 의해 광축으로 대한 수직도를 보정한다. 또한, Z 초점 맞춤 방향을 조정함으로써 광학계의 초점 심도에 있던 위치에 기판조사면을 배치 제어한다.
도 12는 상기의 조정이나 기판의 얼라인먼트 기구의 측면도에 대하여 예시하였다. 노광축(L0)에 대하여, 광학 마스크(opt21), 축소투영 노광장치(또는 축소투영 광학장치)(opt23), 레이저 도입창(W0)이 도면과 같이 배치된다. 진공 챔버(C0) 내에 배치된 기판(sub0)은, 기판 흡착 기구가 장착된 히터 H0, 기판 XYZθxyθxzθyz 스테이지(S0')상에 배치된다. 진공 챔버를 사용하고 있지만 실제의 광 조사는 진공 배기후 치환된 불활성 가스, 수소, 산소, 질소 등의 분위기 중에서 행해지는 것이 바람직하고, 분위기압도 대기압 전후의 압력이더라도 좋다. 기판 흡착 기구가 장착된 히터를 사용함으로써 광 조사 시에, 실온 내지 400 ℃ 정도의 기판 가열 조건을 선택할 수 있다. 상기한 바와 같이 분위기압을 대기 압력 정도로 함으로써, 진공 척 기능에 의한 기판의 흡착을 할 수 있기 때문에, 챔버내에서의 기판 스테이지의 이동 등이 있더라도 어긋남을 방지할 수 있고, 투입된 기판에 다소의 휘어짐, 굴곡이 있더라도 기판 스테이지에 고정할 수 있다. 더욱이 가열에 의한 기판의 휘어짐, 굴곡에 의한 초점 심도 어긋남을 최소한으로 억제할 수 있다.
레이저 간섭계(i1, i2)는, 길이 측정용 창(W-i), 길이 측정용 미러(opt-i)를 통하여 기판의 얼라인먼트 및 기판의 Z 방향 위치의 측정을 행한다. 얼라인먼트에는, 기판상의 얼라인먼트 마크를 오프액시스 현미경(m0), 현미경용 광원(Lm), 현미경용 소자(opt-m)를 사용하여 계측하고, 레이저 간섭계에 의한 기판 위치 정보를 사용하여 목적으로 하는 노광 위치를 계측할 수 있다. 도 12에서는 오프액시스법을 예시하였지만, Through The 렌즈 방식 또는 Through The Mask(Reticle) 방식을 응용하는 것도 가능하다. 또한, 복수의 계측 지점에서 선형 좌표를, 최소 2승법을 사용하여 결정함으로써, 계측시에 생기는 측정 오차를 평균화하는 수단을 취할 수도 있다.
도 13a 내지 도 13c에 마스터 패턴과 얼라인먼트 마크의 관계에 대하여 도시하였다. 마스크는 마스크(비노광부; mask1)과 마스크(노광부; mask2)로 구성된다.예를 들면 엑시머 레이저를 광원으로 하는 경우, 자외광이 투과하는 석영 기판상에, 알루미늄, 크롬, 텅스텐 등의 금속 또는 유전체 다층막과 같은 자외광을 흡수, 반사하는 막을 형성하고, 포토리소그래피와 에칭 기술을 사용하여 패턴을 형성한다. 마스크상의 목적으로 하는 패턴(도 13a에 있어서 백색부로 도시한다)에 따라서, 실리콘막이 노광되어 도 13b에 도시하는 바와 같이 비노광 Si(Si1)내에 노광 Si 부(Si2)가 형성된다. 이 때, 필요에 따라서 마스크상 마크(mark1)가 기판상 마크(mark2)와 일치하도록 얼라인먼트 조정후 노광함으로써, 실리콘 박막상의 미리 설계된 위치를 노광하는 것이 가능해진다. 또한, 상기 실리콘 박막을 사용한 박막 트랜지스터 형성 공정에 있어서, 노광 프로세스가 위치 결정을 필요로 하는 제1 공정의 경우(즉 얼라인먼트 마크가 미리 형성되어 있지 않는 경우), 실리콘 박막으로의 노광 공정시에 노광 형성 마크(mark3)를 동시에 노광함으로써, a-Si와 결정 Si와의 광학적 색차를 이용한 얼라인먼트 마크를 형성할 수 있다. 따라서 이 마크를 기준으로 후공정에서의 포토리소그래피 등을 행함으로써, 노광 개질된 목적으로 하는 영역에 트랜지스터 또는 목적으로 하는 기구, 기능을 만들어 넣을 수 있다. 노광 공정후 실리콘 박막상에 Si 산화막을 형성하고, 실리콘층의 목적으로 하는 영역이 에칭 제거된 상태를 도 13c에 도시한다. Si 제거부(Si3)는 적층된 실리콘막과 Si 산화막이 에칭 제거된 영역이며, 비노광 Si(Si1)과 노광 Si(Si2)상에 Si 산화막(Si4, Si5)이 적층된 형상이 나타나 있다. 이와 같이 산화막으로 덮어진 실리콘막으로 이루어지는 섬형 구조를 만들어 넣음으로써 소자간 분리된 박막 트랜지스터의 채널/소스·드레인 영역 또는 후 공정의 얼라인먼트에 필요한 마크를 형성할 수 있다.
도 14(1)(2)에 주요 동작의 타이밍 챠트를 도시한다. 제어예 1에서는 기판 스테이지의 동작에 의해 목적으로 하는 노광 위치로 기판을 이동시킨다. 이어서 초점 맞춤이나 얼라인먼트 동작을 행하여 정밀하게 노광 위치를 조정한다. 이 때, 예를 들면 0.1 ㎛ 내지 100 ㎛ 정도로 한 목적으로 하는 설정 오차 정밀도에 들어가도록 조정한다. 그 동작이 완료한 시점에서, 기판으로의 광 조사가 실행된다. 이들의 일련의 동작을 종료한 시점에서 다음 노광 영역으로 기판이 이동하여 기판상의 필요한 개소를 조사 종료한 후, 기판이 교환되어 제2 처리 기판상에서 소정의 일련의 처리를 행한다. 제어예 2에서는 기판 스테이지의 동작에 의해 목적으로 하는 노광 위치에 기판을 이동시킨다. 다음에 초점 맞춤이나 얼라인먼트 동작을 행하여 정밀히 노광 위치를 조정한다. 이 때, 예를 들면 0.1㎛ 내지 100 ㎛ 정도와 같은, 목적으로 하는 설정 오차 정밀도에 들어가도록 조정한다. 그 동작이 완료된 시점에서 마스크 스테이지의 동작을 시동한다. 시동 시의 이동 스텝량의 격차를 피하기 위하여 기판으로의 광 조사는 마스크 스테이지 동작의 개시보다도 나중에 개시되는 챠트이다. 물론 스테이지의 이동에 의해 얼라인먼트 위치로부터 떨어진 지점에 노광되기 때문에, 그 만큼의 오프셋량은 미리 고려할 필요가 있는 것은 말할 필요도 없다. 기판으로의 광 조사보다도 일찌기 광원의 운전을 개시하여 광원의 출력 강도의 안정성이 높아진 시점에서, 셔터 등을 열어 기판으로의 광 조사를 행하는 것도 가능하다. 특히 엑시머 레이저를 광원으로 사용하여, 발진 기간과 정지 기간이 반복되는 사용법을 취한 경우, 초기의 수십 펄스가 특히 불안정하다는 것이 알려져 있어, 이러한 불안정한 레이저 펄스를 조사하고 싶지 않는 경우에는, 마스크 스테이지의 동작에 맞추어서 빔을 차단하는 방식을 취할 수 있다. 이러한 일련의 동작을 종료한 시점에서 다음 노광 영역으로 기판이 이동하여 기판상의 필요한 개소를 조사 종료한 후, 기판이 교환되어 제2 처리 기판상에서 소정의 일련의 처리를 행한다.
막 두께 75 nm의 a-Si 박막에 대하여 1 mm x 50 ㎛의 빔을 단축 방향으로 0.5 ㎛ 피치로 주사하였다. 하나의 광원을 사용하여 레이저 조사 강도는 조사면에서 470 mJ/cm2로 했더니, 주사 방향에 연속하는 단결정 실리콘 박막이 얻어졌다. 더욱이, 제2 광원을 조사면에서 150 mJ/cm2로 되도록, 100 nsec 지연시켜 조사한 조건에서는 1.0 ㎛의 주사 피치조건에서도 주사 방향으로 연속하는 단결정 실리콘 박막이 얻어졌다. 상기 결정화 실리콘막 중의 트랩 준위 밀도는 1012cm-2보다 낮은 값을 나타냈다.
도 15는, 본 발명의 실시예를 도시하는 반도체 박막 형성 장치의 측면도이다. 플라즈마 CVD실(C2), 레이저 조사실(C5), 기판 반송실(C7)로 구성되고 게이트 밸브(GV2, GV5)를 통하여 기판의 반송이 장치 외부의 분위기에 접촉하지 않고서 진공중, 불활성 가스, 질소, 수소, 산소 등의 분위기 그리고 고진공, 감압, 가압 상태로 가능하다. 레이저 조사실에서는 400 ℃ 정도까지 가열가능한 S5 기판 스테이지상에 척 기구를 사용하여 기판이 설치된다. 플라즈마 CVD실에서는, 400 ℃ 정도까지 가열 가능한 기판 호울더(S2)상에 기판이 설치된다. 이 예에서는 유리 기판(Sub0)상에 실리콘 박막(SiD)이 형성된 상태에서 레이저 조사실에 도입되며, 표면의 실리콘 박막이 레이저 조사에 의해 결정성 실리콘 박막(Si2)에 개질되어 플라즈마 CVD실에 반송된 상태를 도시하고 있다.
레이저 조사실에 도입되는 레이저광은, 엑시머 레이저(1; EL1), 엑시머 레이저(2; EL2)로 공급되는 빔이 제1 빔 라인(L1), 제2 빔 라인(L2)을 통과하고, 레이저 합성 광학 장치(opt1), 미러(opt11), 투과 미러(opt12), 레이저 조사 광학 장치(opt2), 호모지나이저(opt20), 광학 마스크 스테이지(opt22)에 고정된 광학 마스크(opt21), 투영 광학 장치(opt23), 레이저 도입창(W1)을 통하여 기판 표면에 도달한다. 여기에서는 2대의 엑시머 레이저를 도시하였지만, 광원으로서는 1대 이상목적으로 하는 대수를 설치할 수도 있다. 또한 엑시머 레이저로 한정하지 않고, 탄산 가스 레이저, YAG 레이저 등의 펄스 레이저 또는 아르곤 레이저 등의 CW 광원과 고속 셔터를 사용하여 펄스상에 공급하여도 좋다.
한편 플라즈마 CVD실은 EF 전극(D1)과 플라즈마 차단 전극(D3)에 의해 플라즈마 형성 영역(D2)이 기판이 배치되는 영역과는 떨어진 위치에 형성된다. 플라즈마 형성 영역에는 예를 들면 산소와 헬륨을 원료 가스 도입 장치(D4)를 사용하여 실란 가스를 공급함으로써, 기판상에 산화 실리콘막을 형성할 수 있다.
도 16에 본 발명의 실시예를 나타내는 반도체 박막 형성 장치의 평면도를 도시한다. 로드/언로드실(C1), 플라즈마 CVD실(C2), 기판 가열실(C3), 수소 플라즈마 처리실(C4), 레이저 조사실(C5), 기판 반송실(C7)이 각각 게이트 밸브(GV1 내지 GV6)를 통하여 접속되어 있다. 제1 빔 라인(L1), 제2 빔 라인(L2)로부터 공급되는 레이저광이 레이저 합성 광학 장치(opt1), 레이저 조사 광학 장치(opt20, 레이저 도입창(W1)을 통하여 기판 표면에 조사된다. 또한, 각각의 프로세스실, 반송실은 가스 도입 장치(gas1 내지 gas7), 배기 장치(vent1 내지 vent7)가 접속되어 있어 목적으로 하는 가스종의 공급, 프로세스압의 설정, 배기, 진공이 조정된다. 도면에 점선으로 도시하는 바와 같이 처리 기판(sub2, sub6)이 평면상에 배치된다.
도 17은 플라즈마 CVD실(C2)의 개략도이다. 고주파 전원(13.56 MHz 또는 그 이상의 고주파가 적합하다; RF1)으로부터 전력이 고주파 전극(RF2)에 공급된다. 가스 공급 구멍이 부착된 전극(EF3)과 고주파 전극의 사이에 플라즈마가 형성되고, 반응 형성된 라디칼이 가스 공급 구멍이 부착된 전극을 통과하여 기판이 배치된 영역으로 유도된다. 평면형 가스 도입 장치(EF4)에 의해 플라즈마에 노출하지 않고서 다른 가스가 도입되며, 기상 반응을 거쳐 기판(sub2)상에 박막이 형성된다. 기판 호울더(S2)는 히터 등에 의해 실온으로부터 500 ℃ 정도까지의 가열 행하도록 설계하였다. 도면과 같이, 배기 장치(ven2), 가스 도입 장치(gas2), 산소 라인(gas21), 헬륨 라인(gas22), 수소 라인(gas23), 실란 라인(gas24), 헬륨 라인(gas25), 아르곤 라인((gas26)를 사용하여 산소 라디칼과 실란이스를 반응시킴으로써 산화 실리콘막을 형성할 수 있다. 기판 온도 300 ℃, 압력 0.1 torr, EF 전력 100 W, 실란 유량 10 sccm, 산소유량 400 sccm, 헬륨 유량 400 sccm의 조건으로 막형성을 행했더니, 고정 산화막 전하 밀도(5 x 1011cm-2)와 양호한 특성을 갖는 실리콘 산화막의 형성을 확인하였다. 또한, 실란에 대한 산소 유량비를 크게 함으로써 양호한 산화막의 형성이 가능하다. 플라즈마 CVD실의 형태로서는 상술한 바와 같은 평행 평판형의 EF 플라즈마 CVD 장치 뿐만 아니라, 감압 CVD 또는 통상압 CVD와 같은 플라즈마를 이용하지 않는 방법 또는 마이크로파나 ECE(Electron Cycrotron Eesonance) 효과를 사용한 플라즈마 CVD 법을 사용하는 것도 가능하다.
표 1은 도 17에 도시하는 플라즈마 CVD 장치를 산화 실리콘막 이외의 박막 형성에 사용하는 경우에 필요한 가스종의 예를 제시하고 있다.
Si3N4 질화 실리콘막의 형성에는 N2(질소; 또는 암모니아), 캐리어 가스로서 Ar(아르곤), SiH4(실란), 캐리어 가스로서 아르곤 등을 사용할 수 있다. Si 실리콘 박막의 형성에는 H2 수소와 실란, 수소(캐리어 가스로서 아르곤)과 SiF44 불화실란(캐리어 가스로서 아르곤) 등의 원료 가스를 사용할 수 있다. 또한, 성막 프로세스가 아니지만, 수소 플라즈마를 이용하여 실리콘 박막이나 산화 실리콘막의 수소 플라즈마 처리도 가능하다.
도 18은 본 발명의 반도체 박막 형성 장치를 박막 트랜지스터의 제조 공정에 응용한 경우의 공정 흐름도이다.
(a) 세정에 의해 유기물이나 금속, 미립자 등을 제거한 유리 기판(sub0)상에 기판 커버막(T1), 실리콘 박막(T2)을 순차 형성한다. 기판 커버막으로서 LPCVD(감압 화학적 기상 성장)법으로 실란과 산소 가스를 원료로 하여, 450 ℃에서 산화 실리콘막을 1 ㎛ 형성한다. LPCVD 법을 사용함으로써 기판 보유 영역을 제외하고 기판 외표면 전체를 커버하는 것도 가능하다(도시하지 않음). 또는 테트라에톡시실란(TE0S)과 산소를 원료로 한 플라즈마 CVD, TE0S와 오존을 원료로 한 통상압 CVD, 도 17에 도시하는 바와 같은 플라즈마 CVD 등을 이용하는 것도 가능하고, 기판 재료(알칼리 금속 농도를 극력 저감한 유리, 표면을 연마 가공한 석영·유리 등)이 포함하는 반도체 디바이스에 유해한 불순물의 확산 방지를 할 수 있는 재료가 커버막으로서 유효하다. 실리콘 박막은 LPCVD에서 디실란 가스를 원료로 하여 500 ℃에서 두께 75 nm 형성한다. 이 경우 막 중에 포함되는 수소 원자 농도가 1 원자% 이하가 되기 때문에, 레이저 조사 공정에서의 수소 방출에 의한 막 거칠음 등을 방지할 수 있다. 또는 도 17에 도시하는 바와 같은 플라즈마 CVD 법이나 널리 보급되고 있는 플라즈마 CVD 법을 사용하더라도, 기판 온도나 수소/실란 유량비, 수소/4불화 실란 유량비 등을 조정함으로써 수소 원자 농도가 낮은 실리콘 박막을 형성할 수 있다.
(b) 상기 (a) 공정에서 준비한 기판을 유기물이나 금속, 미립자, 표면 산화막 등을 제거하기 위한 세정 공정을 거친 후, 본 발명의 박막 형성 장치에 도입한다. 레이저광(L0)을 조사하여 실리콘 박막을 결정화 실리콘 박막(T2)로 개질한다. 레이저 결정화는 99.9999 % 이상의 고순도 질소 700 torr 이상의 분위기에서 행하여진다.
(c) 상기 공정을 거친 기판은, 가스가 배기된 후 기판 반송실을 통하여 플라즈마 CVD실에 반송된다. 제1 게이트 절연막(T3)으로서 실란, 헬륨, 산소를 원료 가스로 하여 기판 온도 350 도에서 산화 실리콘막을 10 nm 퇴적한다. 그 후 필요에 따라 수소 플라즈마 처리나 가열 어닐을 행한다. 여기까지가 본 발명의 박막 형성 장치에서 처리된다.
(d) 이어서, 포토리소그래피와 에칭 기술을 사용하여 실리콘 박막과 산화 실리콘막 적층막의 아일랜드를 형성한다. 이 때, 실리콘 박막(12)과 비교하고 산화 실리콘막의 에칭 레이트가 높은 에칭 조건을 선택하는 것이 바람직하다. 도면에 도시하는 바와 같이 패턴 단면이 계단형(또는 테이퍼형)으로 형성함으로써, 게이트 리크를 방지하고 신뢰성이 높은 박막 트랜지스터를 제공할 수 있다.
(e) 이어서, 유기물이나 금속, 미립자 등을 제거하기 위한 세정을 행한 후, 상기 아일랜드를 피복하도록 제2 게이트 절연막(T4)을 형성한다. 여기에서는 LPCVD 법으로 실란과 산소 가스를 원료로 하여, 450 ℃에서 산화 실리콘막을 30 nm 형성하였다. 또는 테트라에톡시실란(TE0S)과 산소를 원료로 한 플라즈마 CVD, TE0S와 오존를 원료로 한 통상압 CVD, 도 17에 도시하는 바와 같은 플라즈마 CVD 등을 이용하는 것도 가능하다. 다음에 게이트 전극으로서 n+ 실리콘막을 80 nm, 텅스텐실리사이드막을 110 nm 형성한다. n+ 실리콘막은 플라즈마 CVD나 LPCVD 법으로 형성된 결정성의 린돌프 실리콘막이 바람직하다. 그 후, 포토리소그래피와 에칭 공정을 거쳐 T5 패턴화된 게이트 전극을 형성한다.
(f1, f2) 이어서, 게이트를 마스크로 하여 불순물 주입 영역(T6, T6')을 형성한다. CMOS형 회로를 형성하는 경우는, 포토리소그래피를 병용하여 n+ 영역이 필요한 n-channel TFT 및 p+ 영역을 요하는 p-channel TFT을 만들어 나눈다. 주입되는 불순물 이온의 질량 분리를 행하지 않는 이온 도핑이나, 이온 주입, 플라즈마 도핑, 레이저 도핑 등의 방법을 채용할 수 있다. 그 때 용도 또는 불순물 도입 방법에 의해 (f1) (f2)와 같이 표면의 산화 실리콘막을 남긴 채로, 또는 제거한 후에 불순물의 도입을 행한다.
(g1)(g2) 층간 분리 절연막(T7, T7')을 퇴적, 콘택트 홀을 개구후, 금속을 퇴적, 포토리소그래피와 에칭에 의해 금속 배선(T8)을 형성한다. 층간 분리 절연막으로서는, 막의 평탄화를 도모할 수 있는 TE0S 계 산화막 또는 실리카계 도포막, 유기 도포막을 사용할 수 있다. 콘택트 홀 개구는 포토리소그래피와 에칭에 의해, 금속 배선은 저항이 낮은 알루미늄, 구리 또는 그것들을 베이스로 한 합금, 텅스텐 또는 몰리브덴과 같은 고융점 금속을 응용할 수 있다. 이상과 같은 공정을 행함으로써, 성능, 신뢰성이 높은 박막 트랜지스터를 형성할 수 있다.
도 19는 미리 얼라인먼트 마크를 마련하여, 얼라인먼트 마크에 따른 레이저 조사를 행한 경우의 실시예, 도 20은 레이저 조사와 동시에 얼라인먼트 마크를 형성하는 경우의 실시예에 대하여 TFT 제조 공정 흐름을 바탕으로 설명한다. 기본적으로는 도 18의 설명과 유사하기 때문에 특히 다른 점을 중심으로 설명한다.
도 19a 세정에 의해서 유기물이나 금속, 미립자 등을 제거한 유리 기판(sub0)상에 기판 커버막(T1), 텅스텐 실리사이드막을 순차 형성한다. 얼라인먼트 마크의 형성을 위해, 포토리소그래피와 에칭에 의해 패턴화 하여 얼라인먼트 마크(T9)를 기판상에 형성한다. 다음에 얼라인먼트 마크를 보호하기 위하여 마크보호막(T10)을 형성하여 실리콘 박막을 형성한다.
도 19b 레이저광 노광시에는 얼라인먼트 마크을 기준으로 목적으로 하는 영역이 노광된다. 그 후는, 미리 마련된 얼라인먼트 마크 또는 결정화 실리콘 박막 패터닝에 의해서 형성되는 얼라인먼트 마크(도시하지 않음)를 기준으로, 다음 공정의 얼라인먼트를 행할 수 있다.
도 20b 실리콘 박막에의 노광과 동시에 노광/비노광에 의한 개질의 상이를 이용한 결정화 얼라인먼트 마크(T9')를 실리콘 박막에 형성한다.
도 20d 결정화 얼라인먼트 마크(T9')를 이용하여, 포토리소그래피 시의 눈맞춤을 행하고, 에칭 공정을 거쳐 실리콘 박막과 산화 실리콘막 적층막의 아일랜드를 형성한다.
도 21은, 비정질 반도체를 동기 펄스에 의해서 가열하여 레이저 어닐을 행하는 레이저 어닐 장치로서, 목적으로 하는 파장 및 파형의 레이저광을 발생하는 레이저 발진 장치(3110)과, 레이저 발생부(3110)로부터의 레이저광을 이용하여 기판(W)을 실제로 가공하는 레이저 조사 처리부(3120)와, 이러한 동작을 통괄적으로 제어하는 주 제어 장치(3130)를 구비한다. 또, 피가공체인 기판(W)은 유리판 등으로 이루어지고, 그 표면에는 예를 들면 비정질 반도체인 비정질 Si 층이 퇴적되고, 이러한 레이저광에 의한 가공에 의해 비정질 Si 층에서는 이것이 다결정 Si 층이 된다.
레이저 발생부(3110)는, 펄스 타입의 레이저광을 발생하는 한 쌍의 레이저 발진 장치(3111, 3112)와, 이들 레이저 발진 장치(3111, 3112)의 발진 타이밍을 개별로 제어하여 한 쌍의 펄스광을 적당한 시간차로 발생시키는 지연 제어부인 발진 제어 장치(3113)를 구비한다. 여기에서, 제1 레이저 발진 장치(3111)는 기판(W)의 가공에 있어서 최초로 조사되는 주된 레이저 장치이고, 제2 레이저 발진 장치(3112)는 기판(W)의 가공시에 이어서 조사됨에 따른 레이저 장치이다. 제1 및 제2 레이저 발진 장치(3111, 3112)로부터의 각 레이저광은, 기판(W)의 가공에 최적이 되도록 시간차 및 파워가 적절히 조정되어 있고, 양 펄스광(PL)을 광합성계(3170)를 통하여 포갬으로써 가공용 동기 펄스광이 된다.
발진 제어 장치(3113)는, 컴퓨터, 신호 정형 회로 등으로 구성되어 있고, 기준 펄스를 발생기는 기준 펄스 발생 회로(3151)와, 동기 펄스광을 구성하는 한 쌍의 펄스광(PL)의 발생 간격 즉 시간차를 미리 설정하는 딜레이 시간 설정 회로(3152)와, 딜레이 시간 설정 회로(3152) 등으로부터의 신호 출력에 근거하여 제1 및 제2 레이저 발진 장치(3111, 3112)의 동작 타이밍을 설정함과 동시에 이것에 상당하는 지령 신호를 발생하는 연산 회로(3153)와, 연산 회로(3153)로부터의 지령 신호의 출력을 받고 제1 및 제2 레이저 발진 장치(3111, 3112)를 발진시키기 위한 제1 및 제2 트리거 신호를 발생하는 트리거 펄스 발생 회로(3154)와, 제1 및 제2 레이저 발진 장치(3111, 3112)의 레이저 출력을 각각 고속으로 광전 변환하는 광 검출 장치인 제1 및 제2 포토 센서(3161, 3162)와, 제1 및 제2 포토 센서(3161, 3162)로부터의 출력을 개별로 증폭하는 한 쌍의 앰프(3163, 3164)와, 양 앰프(3163, 3164)로부터의 광 검출 신호를 받아 양쪽 광 검출 신호의 시간차를 검출하는 딜레이 시간 검출 회로(3155)를 구비한다.
딜레이 시간 설정 회로(3152)는, 제1 및 제2 레이저 발진 장치(3111, 3112)로부터의 레이저광을 포갠 동기 펄스의 파형이 기판(W)의 가공에 최적이 되는 시간차(이하, 설정 시간차; t1)를 설정한다. 이러한 설정 시간차(t1)는, 외부에서 키보드 등을 통하여 입력하는 것, 또는 기판(W)의 종류에 따라서 미리 기억한 설정값를 판독하는 것으로 설정할 수 있다.
연산 회로(3153)는, 딜레이 시간 설정 회로(3152)에서 설정된 설정 시간차(t1)에 대응하는 지령 신호(S2)를 발생한다. 또한, 딜레이 시간 검출 회로(3155)로부터의 측정 시간차(t3)의 출력에 근거하여, 설정 시간차(t1)로 수정을 가한 수정 시간차(t2)를 산출하여 이 설정 시간차(t2)에 대응하는 지령 신호(S2')를 발생한다.
트리거 펄스 발생 회로(3154)는, 연산 회로(3153)가 출력하는 지령 신호(S2(S2'))를 받아 적당한 신호 처리를 하고, 기준 펄스 발생 회로(3151)로부터의 기준 펄스를 트리거로서, 제1 및 제2 레이저 발진 장치(3111, 3112)를 발진시키기 위한 제1 및 제2 트리거 신호(Tr1, Tr2)를, 각각 개별로 시간차(t 1(t2))만큼 물려서 발생한다.
딜레이 시간 검출 회로(3155)는, 양쪽 앰프(3163, 3164)로부터의 한 쌍의 광 검출 신호를 소정의 임계값으로 잘라냄과 동시에, 그 상승 타이밍의 차이로부터 제1 및 제2 레이저 발진 장치(3111, 3112)가 출력하는 한 쌍의 레이저광 사이의 딜레이 시간(t3)을 검출한다.
레이저 조사 처리부(3120)에는, 레이저 발생부(3110)로부터 출사하고, 미러(3171, 3172), 하프 미러(3173) 등으로 이루어지는 광합성계(3170)를 지나서 합성된 동기 펄스광이 입사한다. 이 레이저 조사 처리부(3120)는, 동기 펄스광을 목적으로 하는 단면 형상 및 에너지 밀도 분포의 빔으로서 기판(W)상에 투영하는 축소 투영 광학계(3121)와, 기판(W)을 지지하여 주사에서 기판(W)과 동시에 이동하는 스테이지(3122)와, 스테이지(3122)의 동작을 제어하는 스테이지 구동계(3123)를 구비한다.
이하, 도 21의 레이저 가공 장치의 동작에 대하여 설명한다. 주 제어 장치(3130)는, 발진 제어 장치(3113)를 제어하고, 제1 및 제2 레이저 발진 장치(3111, 3112)로부터 설정 시간차(t1)만큼 어긋난 한 쌍의 펄스광(PL)을 발생시킨다. 양 펄스광(PL)은, 광합성계(3170)를 통하여 포개여지고, 소정의 파형을 갖는 가공용의 동기 펄스광으로서 기판(W)상에 조사된다. 동기 펄스광은, 기준 펄스 발생 회로(3151)로부터의 기준 펄스를 트리거로서 발생하기 때문에, 기준 펄스의 주기에 대응하는 주기로 동기 펄스광의 기판(W)상으로의 조사가 반복된다.
이 때, 딜레이 시간 검출 회로(3155)가 출력하는 딜레이 시간(t3)을 모니터함으로써, 실제의 딜레이 시간(t3)이 설정 시간차(t1)에서 어느 정도 어긋나 있는지를 알 수 있고, 이 어긋남 량(△t)을 감산한 수정 시간차 t2=t1-△t(=2×t1-t3)을 새로운 목표치로 한다. 이로써, 제1 및 제2 레이저 발진 장치(3111, 3112)로부터 거의 설정 시간차(t1)만큼 어긋난 한 쌍의 펄스광(PL)을 발생시킬 수 있다. 요컨대, 제1 및 제2 레이저 발진 장치(3111, 3112)의 응답 특성, 경시 변화 등의 요인에 의해, 딜레이 시간 검출 회로(3155)가 출력하는 딜레이 시간(t3')이 소정의 상한치 또는 하한치를 초과한 경우, 새로운 어긋남 량(△t')을 감산한 수정 시간차 t2'=t1-△t'를 새로운 목표치로 한다. 이상을 반복함으로써, 동기 펄스광을 구성하는 한 쌍의 펄스광(PL)의 시간 간격을 항상 일정하게 유지할 수 있다. 요컨대, 양 레이저 발진 장치(3111, 3112)의 특성이 달라 경시적인 변화나 동작 조건의 변경 등에 의해서 트리거로부터 발광까지의 응답 시간에 편차가 생기는 경우에도, 안정한 파형의 동기 펄스광을 기판(W)상에 조사할 수 있다.
도 22는, 도 21의 장치의 동작 타이밍을 설명하는 타이밍 챠트이다. 도 22a는, 파형 발생기(3151, 3154)로부터 출력되는 트리거 신호(Tr1)를 나타내고, 도 22b는, 제1 펄스 레이저 발진기(3111)로부터 사출되는 펄스광(PL)을 도시하며, 도 22c는, 트리거 지연회로(3153, 3154)로부터 출력되는 트리거 신호(Tr2)를 도시하고 도 22d는, 제2 펄스 레이저 발진 장치(3112)로부터 사출되는 펄스광(PL)을 도시한다. 도면으로부터도 분명한 바와 같이, 트리거 지연회로(3154, 3152)에 지연 시간 (Ts)를 설정하면, 이론상은, 소기의 펄스 시간 간격 Td(=Td2-Td1+Ts+Tc)로 되는 것을 알 수 있다.
이어서, 본 발명의 한 실시예인 초점 조절 장치 및 방법에 대하여 설명한다.
도 23은 실시예의 초점 조절 장치를 장착한 레이저 어닐 장치의 전체 구조를 설명하는 도면이다. 이 레이저 어닐 장치는, 유리판상에 아모르퍼스상 Si 등의 반도체 박막을 형성한 피가공체인 워크(W)를 열처리하기 위한 것으로, 이러한 반도체 박막을 가열하기 위한 엑시머 레이저 외의 레이저광(AL)을 발생하는 레이저 광원(3710)과, 이 레이저광(AL)을 라인형 또는 스폿형으로 하고 소정의 조도로 워크(W)상에 입사되는 가공 광학계인 조사 광학계(3720)와, 워크(W)를 재치하여 X-Y 면내에서 원활하게 이동 가능함과 동시에 X축 및 Y축의 주위에 경사 가능한 스테이지(3730)와, 워크(W)를 탑재한 스테이지(3730)를 조사 광학계(3720) 등에 대하여 필요량 만큼 이동 또는 경사시키는 구동 수단인 스테이지 구동 장치(3740)와, 레이저 어닐 장치의 각부의 동작을 통괄적으로 제어하는 주 제어 장치(3780)를 구비한다. 여기에서, 스테이지(3730) 및 스테이지 구동 장치(3740)는, 스테이지 장치를 구성하고, 워크(W) 주변을 감압하거나 그 분위기를 조절하는 챔버(3790)중에 수용된다. 이 챔버(3790)는, 제진 장치(3792)를 통하여 바닥 위에 설치되어 있다.
또한, 이 레이저 어닐 장치는, 초점 조절 장치로서, 상기 스테이지(3730), 스테이지 구동 장치(3740) 및 주 제어 장치(3780) 외에, 스테이지(3730)의 이동량을 광학적인 정보나 전기적인 정보로서 검출하는 이동량 계측 장치(3750)와, 스테이지(3730)의 스테이지 구동 장치(3740)에 대한 높이 또는 경사량을 광학적인 정보나 전기적인 정보로서 검출하는 경사 계측 장치(3760)와, 워크(W)의 조사 광학계(3720)에 대한 높이나 경사량에 대응하는 신호를 검출하는 비접촉 변위계(3770)를 구비한다.
여기에서, 조사 광학계(3720)는, 레이저 광원(3710)으로부터 미러(3715)를 거쳐 입사하는 레이저광(AL)을 균일한 분포로 하는 호모지나이저(3720a)와, 호모지나이저(3720a)를 거친 레이저광(AL)을 소정의 빔 형상으로 좁히는 슬릿을 갖는 마스크(3720b)와, 마스크(3720b)의 슬릿상을 워크(W)상에 축소 투영하는 투영 렌즈(3720c)로 이루어진다. 또, 조사 광학계(3720)는, 챔버(3890)에 마련한 투과창(3790a)을 통하여 워크(W)에 대향하도록 배치되어 있고, 도시를 생략하는 부재에 의해서 챔버(3890)측에 고정되어 있다.
스테이지 구동 장치(3740)는, 스테이지(3730)를 X축 및 Y축의 주위에 경사시키는 틸트 장치(3742)와, 스테이지(3730)를 틸트 장치(3742)와 동시에 X-Y 면내에서 원활하게 이동시키는 병진 장치(3744)를 구비한다. 여기에서, 틸트 장치(3742)는, 벨로즈 내부에 실린더를 수용하여 임의의 길이로 신축이 자유로운 3개의 지지 부재(3742a)와, 지지 부재(3742a)를 신축 동작시키는 지지 부재 구동 장치(3742b)를 구비한다. 이들 3개의 지지 부재(3742a)의 길이를 지지 부재 구동 장치(3742b)를 통하여 조절함으로써, 조사 광학계(3720)에 대한 스테이지(3730)의 경사나 거리를 적절하게 미세 조정할 수 있다. 즉, 조사 광학계(3720)에 대한 워크(W)의 Z축 방향의 위치(거리)와, X축 주위의 틸트각(θX)과, Y축 주위의 틸트각(θY)을 조정할 수 있다. 또, 스테이지(3730) 바로 아래에 틸트 장치(3742)측으로부터 연장되어 있는 3개의 경사 계측 장치(3760)는, 소용돌이 전류식 센서 또는 정전 용량 센서로서, 이러한 출력으로부터, 스테이지(3730)가 스테이지 구동 장치(3740)에 대하여 어느 정도 경사져 있는지 정확히 알 수 있도록 되어 있다.
비접촉 변위계(3770)는, 레이저 변위계이며, 워크(W)상의 평탄한 영역을 계측 타깃(T)으로 하여 검사광(DL)을 입사되는 투광 수단인 투광부(3771)와, 계측 타깃(T)에서의 정반사광(RL)을 받아 이 정반사광(RL)의 입사 위치에 관한 정보를 출력하는 수광 수단인 수광부(3772)를 구비한다. 투광부(3771)와 수광부(3772)는, 조사 광학계(3720)를 사이에 두고 대향하여 배치된다. 즉, 투광부(3771)는, 조사 광학계(3720)의 광축에 대하여 소정의 각도 만큼 기운 방향으로 검사광(DL)을 출사하며, 수광부(3772)에는, 조사 광학계(3720)의 광축에 대하여 검사광(DL)과는 반대 방향으로 상기 소정 각도 만큼 기운 방향으로 진행하는 반사광(BL)이 입사한다. 또, 주 제어 장치(3780)는, 수광부(3772)에서 검출된 입사 위치에 관한 정보에 근거하여 계측 타깃(T)의 높이에 대응하는 정보를 포함하는 계측값을 얻는 환산 수단으로서도 기능하며, 비접촉 변위계(3770)의 일부를 구성한다.
여기에서, 투광부(3771)는, 검사광을 발생하는 광원과 투광 광학계를 구비하고, 투과창(3790a)를 통하여 워크(W)상의 계측 타깃(T)에 검사광(DL)의 스폿형 빔이 입사된다. 한편, 수광부(3772)는, 이 계측 타깃(T)으로부터의 반사광(BL)을 집광하는 결상 광학계와 집광후의 반사광(RL)이 입사하는 라인 센서를 구비한다. 이 라인 센서는, X-Z 면 내에서 반사광 RL의 광축에 수직인 방향으로 연장되어 있고, 워크(W)의 높이 위치가 라인 센서로부터의 위치 검출 신호와 선형인 관계가 되는 것을 이용하여 워크(W)의 높이 위치의 변화를 검출한다. 단, 워크(W)가 조사 광학계(3720)의 광축에 대하여 기울어져 있을 때, 비접촉 변위계(3770)의 출력은, 워크(W)의 높이 위치뿐만 아니라, 워크(W)의 경사를 반영한 것으로 되어 있다. 따라서, 뒤에 상술하지만, 틸트 장치(3742)를 이용하여 일단 워크(W)의 경사를 보정하여 워크(W)의 법선이 조사 광학계(3720)의 광축과 평행하게 된 시점에서, 틸트 장치(3742)를 구성하는 3개의 지지 부재(3742a)를 동량만 신축시켜 워크(W)와 조사 광학계(3720)와의 간격을 조정하게 된다.
계측 타깃(T1, T2, T3)은, 정삼각형의 꼭지점의 위치에 배치되어 있고, 각각이 워크(W)상의 가공 영역(도면의 경우, 워크(W)의 중앙)으로부터 등거리에 설정되어 있다. 병진 장치(3744)의 제어에 의해서, 투광부(3771)로부터의 검사광(DL)을 워크(W)상의 각 계측 타깃(T1, T2, T3)에 순차 입사될 수 있다. 워크(W)의 경사를 보정할 때는, 각 계측 타깃(T1, T2, T3)에서의 수광부(3772)의 출력을 평균화하도록 틸트 장치(3742)를 동작시킨다. 또, 각 계측 타깃(T1, T2, T3)의 배치나 개수는, 요구되는 정밀도 등에 따라서 적절히 변경할 수 있다. 특히 워크(W) 표면에 휨 등의 변형이 있는 경우, 대상으로 하는 가공 영역마다 그 근방에서 3개 이상의 계측 타깃을 새롭게 선택할 필요가 있다. 또한, 이상에서 설명한 계측 타깃(T1, T2, T3)은, 단순히 평탄면이면 충분하고, 정반사광을 형성할 수 있는 한, 특정한 마크를 형성할 필요는 없다.
이하, 본 실시예의 레이저 어닐 장치의 동작에 대하여 설명한다. 우선, 레이저 어닐 장치의 스테이지(3730)상에 워크(W)를 반송하여 탑재한다. 이어서, 어닐용의 레이저광(AL)을 유도하는 조사 광학계(3720)에 대하여 스테이지(3730)상의 워크(W)를 얼라인먼트한다. 이어서, 조사 광학계(3720)의 마스크(3720b)를 이동시키면서, 또는 조사 광학계(3720)에 대하여 스테이지(3730)를 적절히 이동시키면서, 레이저 광원(3710)으로부터의 레이저광(AL)을 라인형 또는 스폿형으로 하여 워크(W)상에 입사된다. 워크(W)상에는, 아모르퍼스 Si 등의 비정질 반도체의 박막이 형성되어 있고, 레이저광(AL)의 조사 및 주사에 의해서 반도체의 소망 영역이 어닐, 재결정화 되어, 전기적 특성이 뛰어난 반도체 박막을 제공할 수 있다.
스테이지(3730)상의 워크(W)의 높이 및 경사를 조사 광학계(3720)에 대하여 얼라인먼트하는 동작에 대해서 보다 상세히 설명한다. 우선, 가공 영역을 중심으로하는 정삼각형의 꼭지점 3점을 계측 타깃(T1, T2, T3)으로 정한다. 병진 장치(3744)의 제어에 의해서 워크(W)를 XY 면내에서 적절히 이동시켜, 워크(W)상의 각 계측 타깃(T1, T2, T3)을 비접촉 변위계(3770)의 계측점에 순차 이동시켜, 투광부(3771)로부터의 검사광(DL)을 각 계측 타깃(T1, T2, T3)에 입사된다. 각 계측 타깃(T1, T2, T3)으로부터의 반사광(RL)은, 수광부(3772)에서 입사 위치에 상당하는 신호로 변환된다. 주 제어 장치(3780)에서는, 수광부(3772)로부터의 입사 위치에 관한 신호에 근거하여 각 계측 타깃(T1, T2, T3)의 높이에 관한 계측값을 얻는다. 3점(T1, T2, T3)의 계측 결과에 대해서는, 모두 경사에 의한 오차가 포함되어 있다고 생각되지만, 여기에서는 그것을 무시하고, 3점(T1, T2, T3)의 높이가 같은 값이 되도록 틸트 장치(3742)에 의해 워크(W)의 틸트각(θX, θY)을 조정한다. 다시, 병진 장치(3744)에 의해서 워크(W)를 XY 면내에서 적절히 이동시켜, 워크(W)상의 각 계측 타깃(T1, T2, T3)에 대하여 높이에 관한 계측값을 얻는다. 이렇게 하여, 3점(T1, T2, T3)의 높이 계측과 틸트각의 조정을 반복함으로써, 기울기에 의한 높이 계측의 오차는 서서히 작아진다. 최종적으로 3점(T1, T2, T3)의 계측값이 일치한 상태에서는, θX=0,θY=0이 되어 기울기 O의 상태가 된다. 이 때의 어느 1점의 높이 계측값이 워크(W)상의 가공 영역의 높이가 된다. 끝으로, 틸트 장치(3742)를 Z 스테이지로서 동작시켜, 목적의 높이가 될 때까지 스테이지(3730)즉 워크(W)를 승강시킨다.
이상 실시예에 의거하여 본 발명을 설명하였지만, 본 발명은 상기 실시예로 한정되는 것은 아니다. 예를 들면, 비접촉 변위계(3770)를 3개 이상 마련하는 것도 가능하다. 이 경우는, 각 비접촉 변위계(3770)에 의해서 워크(W)상의 다른 3을 동시에 계측할 수 있도록 한다. 이에 따라, 병진 장치(3744)에 의해서 워크(W)를 이동시키지 않고 워크(W)의 경사를 신속하게 수정할 수 있게 된다.
또한, 상기 실시예에서는, 틸트 장치(3742)를 Z 스테이지로서 동작시켰는데, Z 스테이지를 독립적으로 마련하여 워크(W)의 틸트 조정과 높이 조정을 완전히 분리하여 행할 수도 있다.
또한, 상기 실시예에서는, 워크(W)를 유리 기판에 반도체 박막을 형성한 것으로 하고 있지만, 정반사광이 얻어지는 것이면 워크(W)의 소재는 관계없다.
또한, 상기 초점 조절 장치는, 레이저광(AL)을 사용하여 워크(W)상의 반도체층을 어닐링하는 레이저 어닐 장치에 장착하였지만, 레이저 광원(3710)이나 조사 광학계(3720) 등의 구조를 적절히 변경하면, 반도체 재료의 어닐뿐만 아니라 각종재료의 개질, 절단, 용착 등을 가능하게 하는 펄스 레이저 가공 장치 등으로 만들 수도 있다.
본 발명을 적용한 복합기의 개략 구성을 도 24에 도시한다. 여기에서는, 일차 처리 장치로서, 유리 기판(워크)에 피막 형성 처리를 행하는 CVD 장치(3910)가 사용되고, 2차 처리 장치로서, 피막 형성 처리된 유리 기판에 레이저 어릴링을 행하는 레이저 어니링 장치(3920)가 사용되는 경우에 대하여 설명한다.
레이저 어닐링 장치(3920)는, 밀봉이 가능한 프로세스 챔버(3921)를 구비하고 있다. 프로세스 챔버(3921)내에는, 피막 형성 처리된 유리 기판(3901)을 탑재하기 위한 프로세스 스테이지(3922)가 설치되어 있다. 프로세스 챔버(3921)의 천장벽에는, 후술하는 레이저 조사계에서의 레이저 빔을 투과하기 위한 투과창(3923)이 마련되어 있다. 프로세스 챔버(3921)의 윗쪽에는, 가대(3924)에 의해 레이저 조사계(3925)가 구성되어 있다.
레이저 조사계(3925)는, 레이저 발진기(3926)에서 발생된 레이저 빔을 반사 미러(3927)를 통하여 받아, 소정의 단면 형상으로 정형하여 유리 기판(3901)에 초점을 맺도록 조사하기 위한 것이다. 여기에서는, 구형 빔용의 구성만을 나타내고 있고, 장척 빔용의 구성에 대해서는 후술한다.
구형 빔용의 구성 요소로서, 마스크를 탑재하고 있는 마스크 스테이지(3928), 광학 렌즈계(3929), 센서(3930) 등을 구비하고 있다. 센서(3930)는, 유리 기판(3901)상에서의 빔의 초점 위치를 검출하기 위한 것이고, 초점 위치를 정밀도 좋게 맞추기 위하여 사용된다.
이러한 레이저 어닐링 장치(3920)가, 후술하는 복수의 제진대(3940)를 통하여 바닥(3950)에 설치되어 있다.
CVD 장치(3910)와 프로세스 챔버(3921)와의 사이는, 반송 기구로서의 기판 반송 로봇(3960)을 수용하고 있는 트랜스퍼 챔버(3970)를 통하여 연결되어 있다. 특히, 도 25에 도시하는 바와 같이, 프로세스 챔버(3921)와 트랜스퍼 챔버(3970)의 사이는, 벨로즈(3971)에 의해서 연결하도록 되어 있다. 또, 프로세스 챔버(3921)와 트랜스퍼 챔버(3970)와의 연결부는, 기판 반송 로봇(3960)에 의해서 CVD 장치(3910)내의 유리 기판을 파지하여 프로세스 챔버(3921)내로 주고 받는 부분이고, 프로세스 챔버(3921)내를 진공 또는 어떤 일정 압력으로 유지하기 위하여 대기와 차단할 필요가 있는데, 벨로즈(3971)가 그 기능을 하고 있다. 또한, 트랜스퍼 챔버(3970)에는, CVD 장치(3910)내와 프로세스 챔버(3921)내가 연통 상태가 되는 것을 방지하기 위하여 게이트 밸브 기구가 필요하게 되는데, 이러한 게이트 밸브 기구는 주지된 것이므로, 도시 설명은 생략한다.
이러서, 도 26, 도 27을 참조하여, 본 발명에 의한 제진 장치의 주요부인 제진대(3940)의 구조에 대하여 설명한다. 제진대(3940)는, 프로세스 챔버(3921)가 탑재되는 상부대좌(4241)와, 에어식 댐퍼(4242)가 제진 고무(4243)를 통하여 연결되어 있다. 에어식 댐퍼(4242)에는, 콤프레셔(4244)로부터의 압축 공기가 제어 벨브(4245)를 경유하여 공급된다. 에어식 댐퍼(4242)내에는, 도입된 압축 공기에 따라서 상하 동작하는 피스톤부(4246)와, 진동 시의 피스톤부(4246)의 아래쪽 리미트 위치를 규정하기 위한 제1 스토퍼 부재(4247)가 배치되어 있다.
상부대좌(4241)에는, 제진대(3940)의 동작의 온, 오프를 규정함과 동시에 제진대(3940)의 상한 리미트 위치, 엄밀히 말하면 에어식 댐퍼(4242)를 수용하고 있는 용기의 높이 방향의 상한 리미트 위치를 규정하기 위한 제2 스토퍼 부재(4148)가 마련되어 있다. 한편, 에어식 댐퍼(4242)를 수용하고 있는 용기에는, 제2 스토퍼 부재(4148)와의 사이의 상대 거리를 검출하기 위한 위치 검출기(4149)가 설치되어 있다. 위치 검출기(4149)는 또한, 프로세스 챔버(3921) 또는 에어식 댐퍼(4242)를 수용하고 있는 용기의 변위량이 미리 정해진 허용 범위를 초과하면, 도 26에 도시하는 바와 같이, 그 일부가 제2 스토퍼 부재(4148)에 계합하여 리미트가 걸린다.
위치 검출기(4149)로부터의 검출 신호는 피드백 신호로서 제어 장치(42100)로 송출된다. 제어 장치(42100)는, 검출 신호로 나타나는 제2 스토퍼 부재(4148)와 위치 검출기(4149) 사이의 상대 거리에 따라서 제어 밸브(4245)를 제어하고, 프로세스 챔버(3921)의 진동을 제거하도록 제진대(3940)를 동작시킨다.
제어 장치(42100)는 특히, 상기의 상대 거리가 소정값 이하, 예를 들면 위치 검출기(4149)의 일부가 제2 스토퍼 부재(4148)에 당접한 것을 검출하면, 제어 밸브(4245)에 대한 제어 동작을 정지하여 제진 기능을 정지시킨다. 위치 검출기(4149)의 일부가 제2 스토퍼 부재(4148)에 당접하였다는 것은, 상부대좌(4241) 또는 에어식 댐퍼(4242)의 상하동이 허용 범위를 넘는 값에 달한 것을 의미한다. 또, 제2 스토퍼 부재(4148)는, 나사 등의 조정 수단에 의해 상하 방향의 위치가 가변으로 되도록 구성되어 있다.
이상의 설명에서 알 수 있는 바와 같이, 각 제진대(3940)는, 제2 스토퍼 부재(4148)와 위치 검출기(4149)와의 사이의 상대 거리가 변화하면, 제진 성능을 정하는 압축 공기의 압력을 제어하여 진동을 제거하는 피드백 제어 기능을 가진다. 그리고, 상부대좌(4241) 또는 에어식 댐퍼(4242)의 상하 동작이 허용 범위를 넘으면, 피드백 제어 기능이 작용하지 않도록 되어 있다. 제2 스토퍼 부재(4148)의 위치는 수동으로 상하로 움직일 수 있어 어떤 위치에서 제진 기능을 정지시키는지를 임의로 설정할 수 있다.
즉, 제진대(3940)의 기능은, 피스톤 부재(4246)가 제1 스토퍼 부재(4247)에 접촉하는 위치로부터, 위치 검출기(4149)의 일부가 제2 스토퍼 부재(4148)에 접촉하는 위치까지 발휘된다. 이 거리를 200 ㎛로 잡으면, 제진대(3940)는 ± 100 ㎛의 상하 동작의 범위 내에서 기능하게 된다.
여기에서, 구형 빔을 사용하여 서의 정밀도가 높은 스캔 시의 동작에 대하여 설명한다. 구형 빔을 사용하여 처리를 행할 때에, 프로세스 챔버(3921)에 있어서의 진동은 CVD 장치(3910) 또는 바닥(3950)에서 전해지는 것이 주된 것이다. 이 진동은 크더라도 고작 ±수십 ㎛의 진폭이고, 스캔에 기인하여 벨로즈(3971)가 크게 변위하는 일은 없다. 그래서, 구형 빔을 사용하여 스캔하고 있을 때에 틀림 없이 제진 기능이 작용하도록, 제진대(3940)의 제2 스토퍼 부재(4148)와 위치 검출기(4149)와의 상대 거리를, 예를 들면 200 ㎛와 같이 예상되는 변위보다 조금 크게 설정하여 둔다. 이 경우, 마루(3940)로부터의 진동은 피드백 제어 기능에 의해서 복수의 제진대(3940)가 흡수하고, CVD 장치(3910)로부터의 진동은 벨로즈(3971)가 흡수한다.
이어서, 장척 빔을 사용한 정밀도가 낮은 스캔시의 제진 성능에 대하여 설명한다. 장척 빔에 의해 처리를 할 때에는, 프로세스 챔버(3921)내의 프로세스 스테이지(3922)가 움직이기 때문에, 프로세스 챔버(3921)내의 프로세스 스테이지(3922)의 중심 위치가 어긋나 전체적으로 크게 기울기 쉽다. 경사가 작은 경우는 구형 빔에 의한 처리시와 같은 제진 기능이 작용하지만, 경사가 커지면 제2 스토퍼 부재(4148)에 의한 리미트가 걸려 제진대(3940)는 기능하지 않게 된다. 제진대(3940)가 기능하지 않으면 프로세스 챔버(3921)와 벨로즈(3971)는 일체로 변위하기 때문에, 프로세스 챔버(3921)에서 벨로즈(3971)의 상대 위치가 어긋나지는 않게 되어, 변위량이 크더라도 벨로즈(3971)가 파괴되는 일은 없다. 또, 장척 빔에 의한 스캔 정밀도는 구형 빔에 비교하여 수십배의 진동을 허용하기 때문에 스캔 정밀도에 큰 영향은 주지 않는다.
도 28 내지 도 29를 참조하여, 본 발명에 의한 진공내 리니어 액추에이터 기구 중, 기계 구성에 대하여 그 실시예를 설명한다. 여기에서는, 레이저 어닐링용의 진공 챔버안에 배치되는 데 알맞은 구성에 대하여 설명한다. 진공 챔버에 대해서는, 도 30에 상징적으로 파선(43100)으로 나타내고 있고, 대기압으로부터 1.0×10-6 Torr까지의 분위기하에서 사용 가능한 것이면 좋다.
진공 챔버(43100)내의 밑바닥부에 고정 베이스 부재로서의 스테이지 베이스(4309)가 설치되어 있다. 스테이지 베이스(4309)에는, 떨어진 위치에서 Y축 방향으로 평행하게 연장되도록 Y축 리니어 베어링(4315, 4320)가 장착되어 있다. Y축 리니어 베어링(4315, 4320)은, 그것들의 위에 조합되는 Y축 베이스(4314)를 Y축 방향으로 직선 안내하기 위한 것이다. Y축 베이스(4314)에는, 떨어진 위치에서 X축 방향으로 평행하게 연장되도록 한 쌍의 X축 리니어 베어링(4307)이 장착되어 있다. X축 리니어 베어링(4307)은, 그것들의 위에 조합되는 X축 베이스(4306)를 X축 방향으로 직선 안내하기 위한 것이다. X축 베이스(4306)에는, 가열용의 히터를 내장하고 있는 스테이지(4302)를 지지하고 있는 트롤리(4403)가 설치되어 있고, 스테이지(4302)상에는 워크(유리 등; 4301)를 싣는 구성으로 되어 있다.
X축 베이스(4306)는, X축 리니어 베어링(4307)에 인접하여 Y축 베이스(4314)에 마련된 한 쌍의 X축 리니어 모터(4408)에 의해 구동된다. X축 베이스(4306)의 위치는, 한쪽 X축 리니어 모터(4408)에 인접하여 Y축 베이스(4314)에 설치된 X축 리니어 인코더(4410)에 의해 검출된다. 이로써, X축 베이스(4306)를 직접 구동함과 동시에, 위치를 직접 계측하게 되어 종래의 백래시(backalsh; 엇물림)에 의한 정밀도 열화 등이 없어져 고속 응답화가 가능해지고 있다.
Y축 베이스(4314)는, 스테이지 베이스(4309)상에 마련된, 각각 독립적으로 제어 가능한 2개의 리니어 모터(4318, 4323)로 구동된다. Y축 베이스(4314)의 위치는, 리니어 모터(4318, 4323)에 인접하고, 스테이지 베이스(4309)에 배치된 2개의 리니어 인코더(4316, 4321)에 의해 서로 반대측의 2개소에서 검출된다. 이에 따라, X축과 같이 백래시 등에 의한 정밀도 열화가 없고, 고속 응답화가 가능해진다. 또한, Y축 베이스(4314)의 서로 반대 단부에서의 2개소에서 리니어 인코더(4316, 4321)에 의해 Y축 방향의 위치를 검출함으로써, 각각의 검출값의 차에 의해 Y축 베이스(4314)의 미소 회전을 검출·제어할 수 있다. Y축 베이스(4314)의 미소 회전이란, X축, Y축에 직각인 Z축 주위의 회전이고, 이하, 이것을 Z축 주위의 회전θ라고 한다.
스테이지(302)의 히터로부터의 복사열이 X축 베이스(4306) 또는 Y축 베이스(4314)에 전달되는 것을 방지하기 위하여, 트롤리(4403)와 X축 베이스(4306) 사이에는 수냉판(4304)이 마련되어 있다. 또한, X축 베이스(4306)에도 수냉 기구가 내장되고 있어 스테이지(4302)의 히터로부터의 복사열에 의한 리니어 베어링 등의 트러블을 방지하고 있다. 더욱이, 스테이지 동작 중에 발열하는 각 리니어 모터의 코일은, 각 리니어 모터에 마련한 X축 모터 코일 냉각판(4411), Y축 모터 코일 냉각판(4319, 4324)에 의해 냉각하는 구성으로 되어 있다. 또한, X축 리니어 인코더(4310), Y축 리니어 인코더(4316, 4321)에 대해서도, 열변형에 의한 파손·정밀도 열화를 방지하기 위하여, 각각 X축 인코더 냉각판(4412), Y축 인코더 냉각판(4317, 4322)을 마련함으로써 일정 온도로 유지하는 구성으로 되어 있다.
또한, 이동하는 X축 리니어 인코더(4410), Y축 리니어 인코더(4316, 4321)로부터 고정부에 검출 신호용의 케이블을 도출하기 위하여, X축 리니어 인코더(4410)에 대응하여 케이블 가이브(4413)가 마련되고, Y축 리니어 인코더(4316, 4321)에 대응하여 각각 케이블 가이드(4325)가 마련된다.
도 31, 도 32를 참조하여, 본 발명에 의한 마스크 스테이지 구동 기구의 실시예에 대하여 설명한다. 상부로부터 순차로 스테이지 구성을 설명하면, 중앙부에 큰 원형의 개구를 가지는 베이스 플레이트(4601)가 도시하지 않은 고정부에 고정된다. 베이스 플레이트(4601)의 개구의 테두리부에 크로스 롤러 베어링(4703)이 설치되어 있다. 베이스 플레이트(4601)의 하면측에는, 크로스 롤러 베어링(4703)을 통하여 θ축, 즉 Z축 회전에 회동 가능하게 θ축 가동부(4604)가 마련되어 있다. θ축 가동부(4604)의 중앙부에도 베이스 플레이트(4601)의 개구에 대응하는 개구가 마련되어 있다. θ축 가동부(4604)의 하면측에는, Y축 방향으로 평행하게 연장되는 한 쌍의 Y축 리니어 베어링(4706)을 통하여 Y축 방향으로 이동 가능하도록 Y축 가동부(4707)가 장착되어 있다. Y축 가동부(4707)의 중앙부에도 베이스 플레이트(4601)의 개구에 대응하는 개구가 마련되어 있다.
Y축 가동부(4707)에는, Y축 리니어 베어링(4706)의 설치 공간을 확보하기 위하여 형성된 공간을 이용하여, X축 가동부(4610)가 마련되어 있다. X축 가동부(4610)는, 리프트용 에어 베어링(4611) 및 요우 가이드용 에어 베어링(4615, 4616)에 의해 X축 방향에 대하여 안내된다. X축 가동부(4610)의 중앙부에도 베이스 플레이트(4601)의 개구에 대응하는 개구가 마련된다.
자세히 설명하면, X축 가동부(4610)는, θ축 가동부(4604)와 Y축 가동부(4707) 사이이고, Y축 가동부(4707)에서의 X축 가동부(4610)과의 대향면에 마련된 복수의 리프트용 에어 베어링(4611)를 통하여 X축의 방향으로 동작 가능하게 구성되어 있다. 리프트용 에어 베어링(4611)는, 압축 공기를 X축 가동부(4610)의 하면에 내뿜는 것으로 X축 가동부(4610)를 부상시키기 위한 것이고, 여기에서는, X축 가동부(4610)의 중심에 대하여 120도의 각도 간격을 두고 3개 마련되어 있다.
또한, X축 가동부(4610)은 자성 재료로 구성되고, Y축 가동부(4707)에 있어서의 X축 가동부(4610)와의 대향면에는 다시, 복수 개소에 흡인용의 마그넷(4618)이 배치되어 있다. 특히, 마그넷(4618)은, 리프트용 에어 베어링(4611)의 주위에 3개씩, 합계 9개 배치되어 있다. 또한, X축 가동부(4610)는, X축의 방향으로 평행한 2개의 끝테두리부를 가지고, 이들 2개의 끝테두리부를 각각, Y축 가동부(4707)에 마련한 요우 가이드용 에어 베어링(4615, 4616)에 의해 X축 방향의 이동을 안내하도록 구성되어 있다. 요우 가이드용 에어 베어링(4615, 4616)은 각각, X축 가동부(4610)의 1개의 끝테두리부에 대하여 2개씩 마련되어 있다. 덧붙여, X축 가동부(4610)에서의 한쪽 끝테두리부측에 마련된 2개의 요우 가이드용 에어 베어링(4616)에 각각 프리로드용 피스톤(4620)이 조합되고, 상기 한쪽의 끝테두리부에 대하여 프리로드가 걸려 있다. X축 가동부(4610)에는, 보스(4710-1)를 통하여 마스크 스테이지(4730)가 짝 지어지고 있다. 마스크 스테이지(4730)는, 그 중앙에 베이스 플레이트(4601)의 개구보다 약간 작은 개구를 가짐과 동시에, Y축 가동부(4707)의 하면측에서 동출되어 있고, 그 하단부에는 마스크(4714)의 보유부를 갖는다.
이상의 구성에 의해, X축, Y축, θ축의 3자유도 마스크 스테이지를 구성하고 있다. θ축 구동 모터(4605)의 출력축이 θ축 구동 모터(4605)의 회전에 따라 축 방향으로 이동하여 θ축 구동 플레이트(4619)를 누른다. 이에 따라, θ축 가동부(4604)는, 그 중심에 대하여 Z축 주위의 시계 반대 방향으로 회동한다. 또, θ축 구동 모터(4605)의 출력축은 구동 플레이트(4619)에 고정되어 있지 않다. 이 때문에, 베이스 플레이트(4601)와 θ축 가동부(4604) 사이에 인장용 스프링(617)을 설치하여 시계 회전 방향의 프리로드를 거는 구성으로 하여, 크로스 롤러 베어링(4703)의 마찰 등에 의해 백래시 및 회전 불량 등이 발생하는 것을 막고 있다. θ축 가동부(4604)의 회전각은, β축 가동부(4604)에 장착되어 일체적으로 회동하는 회전축(4704-1)에 조합한 중공의 로터리 인코더(4702)에 의해 계측하여, 정밀도를 확보하고 있다.
Y축 가동부(4707)는, θ축 가동부(4604) 끝부와 Y축 가동부(4707)의 끝부 사이에 배치된 Y축 리니어 모터(4608)에 의해 Y축 방향에 구동된다. Y축 가동부(4707)의 위치는, Y축 리니어 모터(4608)의 근방에 배치된 Y축 리니어 인코더(4709)에 의해 계측된다. X축 가동부(4610)는 X축 리니어 모터(4713)에 의해 구동된다. X축 리니어 모터(4713)는, Y축 가동부(4707)의 하면측에 배치되어 있고, 그 가동 부분이 보스(4710-1)와 연결되어 있음으로써, X축 가동부(4610)와 보스(4710-1)가 X축 방향으로 구동된다. X축 가동부(4610)의 위치는, Y축 가동부(4707)의 하면측과 보스(4710-1)와의 사이에 배치된 X축 리니어 인코더(4612)에 의해 계측된다.
X축 가동부(4610)의 안내 기구의 상세에 대하여 기술한다. 중앙부의 개구를 광로로 하여 마스크(4714)의 아래쪽에 배치된 워크(도시하지 않음)에 레이저광을 조사하면서 일정 속도로 이동하는 스캐닝을 행하기 위하여, X축 가동부(4610)는 높은 궤적 추종 성능과 위치 결정 정밀도가 요구된다. 그 때문에, X축 가동부(4610)의 안내 기구에는, 정압 축받이가 채용되어 있다. X축 가동부(4610)의 안내 기구의 구성은, 상하 방향(방사상)과 가로 방향의 2개의 안내 기구에 의해 구성된다. 상하 방향 안내의 정압 축받이는, Y축 가동부(4707)에 장착된 리프트용 에어 베어링(4611)과 X축 가동부(4610)의 안내면으로 구성된다. 특히, 높은 안내 강성이 얻어지는 틈(5 내지 10 ㎛ 정도)을 유지하기 위하여, Y축 가동부(4707)에 장착한 복수의 마그넷(4618)의 흡인력에 의해 프리로드를 거는 구성으로 되어 있다.
통상, 에어 베어링은 가동부측에 고정하지만, X축 가동부(4610)의 필요 스트로크가 짧은 점을 활용, 리프트용 에어 베어링(4611)를 X축 가동부(4610)가 아니라, X축 가동부(4610)의 베이스가 되는 Y축 가동부(4707)측에 고정하는 구성으로 되어 있다. 이에 따라, X축 가동부(4610)의 중량 저감을 도모하여 이동시의 외란이 되는 에어 베어링으로의 에어 공급 튜브의 접속 수를 줄였다.
X축 가동부(4610)의 가로 방향 안내의 정압 축받이는, X축 가동부(4610)에 장착한 2조의 요우 가이드용 에어 베어링(4615, 4616)으로, X축 가동부(4610)를 끼우는 형태로 구성된다. 2개의 요우 가이드용 에어 베어링(4615)은, 각각 어져스트 볼트(4621)에 의해 지지된다. 어저스터 볼트(4621)는 X축 가동부(4610)에 장착되고, 그 앞단이 요우 가이드용 에어 베어링(4615)에 당접하고 있어, 그 출납 양을 조정함으로써, X축 가동부(4610)의 가로 방향의 자세를 조정할 수 있다.
가이드용 에어 베어링(4615)과는 반대측으로 장착된 요우 가이드용 에어 베어링(4616)은, 프리로드용 피스톤(4620)에 의해 지지되고, 일정한 힘에 의해 지지되어 있다. 이 때문에, X축 가동부(4610) 또는 Y축 가동부(4707) 등의 열변형, 기계 가공 정밀도, 조립 오차 등의 영향을 받지 않고, 일정한 정압 축받이 빈틈을 유지하는 것이 가능한 구성으로 되어 있다.
모든 에어 베어링의 지지점은, 세라믹구에 의해 구면 지지되어 있고, 상대면의 파형, 열변형 등, 에어 베어링면과 상대면의 평행도가 없어져도, 어느 정도 흡수할 수 있는 같은 구성으로 되어 있다.
본 발명에 의한 공기압식 틸트 기구를 구비한 진공 챔버용 스테이지 장치의 개략도를 도 33, 도 34에 도시한다. 이 스테이지 장치는, 진공 또는 감압 상태를 얻을 수 있는 진공 챔버내에 설치되는데, 여기에서는, 진공 챔버는 도시를 생략하였다.
본 발명에 의한 공기압식 틸트 기구는, 베이스(5102)상에 빔 기압 구동 방식의 3대의 벨로즈 실린더(5104-1, 5104-2, 5104-3)와 판 스프링(5103)을 배치하고, 이들로 스테이지(5201)를 지지하는 구조로 되어 있다. 판 스프링(5103)은 열십자 형상을 하고 있고, 판 스프링(5103)의 중앙부(교차부)를 스테이지(5201)의 하면에 마련한 대상부에 볼트 등으로 고정하였다. 또한, 판 스프링(5103)의 4개의 끝부를 각각, 지지대(5202-1)를 통하여 베이스(5102)측에 고정하였다.
벨로즈 실린더(5104-1 내지 5104-3)는, 빔 기압 실린더를 벨로즈로써 밀봉하였고, 빔 기압 실린더로부터 공기 누설이 있더라도 새어 공기가 진공 챔버안에 유입하지 않도록 구성되어 있다.
본 공기압식 틸트 기구는, 판 스프링(5103)에 의해 스테이지(5201)를 지지하고, 각 벨로즈 실린더(5104-1 내지 5104-3)에 압축 공기를 보내는 것으로 벨로즈 실린더(5104-1 내지 5104-3)를 신축시켜 스테이지(5201)의 높이, 경사를 조정한다.
도 35는, 조사 광학계(5420)의 구성을 설명하는 도면이다. 레이저 광원(도시하지 않음)부터의 레이저광(AL)이 입사하는 호모지나이저(5421)는, 종횡의 빔 사이즈를 독립으로 컨트롤하기 위한 제1 내지 제4 실린더리컬 렌즈 어레이(CA1 내지 CA4)와, 집광을 위한 콘덴서 렌즈(5521a)로 이루어진다. 여기에서, 제1 및 제 3 실린더리컬 렌즈 어레이(CA1, CA3)는, 지면과 평행한 단면에 곡율을 가지며, 제2 및 제 4 실린더리컬 렌즈 어레이(CA2, CA4)는 지면에 수직인 단면에 곡율을 갖는다.
호모지나이저(5421)로부터의 레이저광(AL)은, 턴 미러(5525)를 거쳐, 마스크 조립체(5422)에 입사한다. 이 마스크 조립체(5422)는, 레이저광(AL)에 의해서 조명됨과 동시에 워크(W)에 조사해야 할 패턴을 하면(5580)에 형성한 마스크(5522a)와, 마스크(5522a)의 패턴의 광 빛 투과부(즉 개구)의 주위에 레이저광(AL)이 입사하여 귀환 광의 원인으로 되는 것을 방지하는 반사 부재(5522b)와, 눈동자 위치를 조절하는 필드 렌즈(5522c)로 이루어진다. 여기에서, 반사 부재(5522 b)는, 마스크(5522a)에 대하여 기울어 배치되어 있고, 반사 부재(5522b)의 상면(5581)으로부터의 반사광(RL)은, 광축(OA)에서 벗어난 방향으로 출사되고, 필드 렌즈(5522c)를 지나서 빔 댐퍼(5526)에 입사한다. 또, 필드 렌즈(5522c)는, 호모지나이저(5421)의 일부라고 생각할 수도 있다.
마스크(5522a)를 통과한 레이저광(AL)은, 투영 렌즈(5423)에 입사하는 이 투영 렌즈(5423)는, 레이저광(AL)에 의해서 조명된 마스크(5522a)에 형성된 광 투과 패턴인 슬릿상을 워크(W)의 가공면상에 축소 투영, 즉 결상·전사한다.
이어서, 도 36과 도 37을 참조하여 본 발명에 관련되는 CVD 장치의 제1 실시예를 설명한다. 도 36에 있어서, 이 CVD 장치에서는, 바람직하게는 실란을 재료 가스로서 사용하여, 통상의 TFT 용 유리 기판(7111)의 상면에 실리콘 산화막을 게이트 절연막으로서 성막 한다. CVD 장치의 용기(7112)는, 성막 처리를 행할 때, 배기 기구(7113)에 의해서 그 내부가 목적으로 하는 진공 상태로 보유되는 진공 용기이다. 배기 기구(7113)는 진공 용기(7112)에 형성된 배기 포트(7112b-1)에 접속되어 있다.
진공 용기(7112)의 내부에는, 상하 방향의 중간 위치에 거의 수평인 상태로 도전성 부재로 만들어진 격벽부(7114)가 마련되어 있고, 평면 형상이 예를 들면 방형의 격벽부(7114)의 주 테두리부가 진공 용기(7112)의 주위벽 부분에 접촉하도록 배치되어 있다. 진공 용기(7112)의 내부는 격벽부(7114)에 의해서 상하 2개의 실에 격리된다. 위쪽의 실은 플라즈마 생성 공간(7115)을 형성하고, 아래쪽의 실은 성막처리 공간(7116)을 형성한다. 격벽부(7114)는, 목적으로 하는 특정한 두께를 가지고, 또한 전체적으로 평판상의 형태를 가지며, 진공 용기(7112)의 수평 단면 형상과 유사한 평면 형상을 갖는다. 격벽부(7114)에는 내부 공간(7124)이 형성되어 있다.
상기 유리 기판(7111)은, 성막 처리 공간(7116)에 마련된 기판 보유 기구(7117)의 위에 배치되어 있다. 유리 기판(7111)은 격벽부(7114)에 실질적으로 평행하고, 그 성막면(상면)이 격벽부(7114)의 하면에 대향하도록 배치되어 있다. 기판 보유 기구(7117)의 전위는 진공 용기(7112)와 같은 전위인 접지 전위로 유지된다. 더욱이 기판 보유 기구(7117)의 내부에는 히터(7118)가 마련되어 있다. 이 히터(7118)에 의해서 유리 기판(7111)의 온도는 소정의 온도로 유지된다.
진공 용기(7112)의 구조를 설명한다. 진공 용기(7112)는, 그 조립성을 양호하게 하는 관점에서, 플라즈마 생성 공간(7115)을 형성하는 상부 용기(7112a)와, 성막 처리 공간(7116)을 형성하는 하부 용기(7112b)로 구성된다. 상부 용기(7112a)와 하부 용기(7112b)를 조합하여 진공 용기(7112)를 만들 때, 양자간에 위치에 격벽부(7114)가 마련된다. 격벽부(7114)는, 그 주 테두리부가, 후술하는 바와 같이 전극(7120)을 마련할 때에 상부 용기(7112a)와의 사이에 마련되는 환상 절연 부재(7121, 7122) 중 아래쪽 절연 부재(7122)와 접촉하도록 장착된다. 이에 따라, 격벽부(7114)의 위쪽과 아래쪽에, 격리된 플라즈마 생성 공간(7115)과 성막 처리 공간(7116)이 형성된다. 격벽부(7114)와 상부 용기(7112a)에 의하여 플라즈마 생성 공간(7115)이 형성된다.
플라즈마 생성 공간(7115)에 있어서 플라즈마(7119)가 생성되어 있는 영역은, 상술한 격벽부(7114)과 상부 용기(7112a)와의 거의 중앙 위치에 배치되는 판상의 전극(고주파 전극(7120)으로 형성되어 있다. 전극(7120)에는 복수의 구멍(7120a)이 형성되어 있다. 격벽부(7114)와 전극(7120)은, 상부 용기(7112a)의 측부 내면에 따라 마련된 2개의 환상 절연 부재(7121, 7122)에 의해서 지지되고 고정된다. 환상 절연 부재(7121)에는, 외측에서 플라즈마 생성 공간(7115)에 산소 가스를 도입하는 도입 파이프(7123)가 마련되어 있다. 도입 파이프(7123)는 유량 제어를 행하는 매스플로우 컨트롤러(도시하지 않음)를 통하여 산소 가스 공급원(도시하지 않음)에 접속되어 있다.
진공 용기(7112)의 내부는, 격벽부(7114)에 의해서 플라즈마 생성 공간(7115)과 성막 처리 공간(7116)에 격리되지만, 격벽부(7114)에는 소정 조건을 만족하는 복수의 관통 구멍(7125)가 내부 공간(7124)을 관통하는 상태로 분산하여 형성되어 있고, 이러한 관통 구멍(7125)를 통해서만 플라즈마 생성 공간(7115)과 성막 처리 공간(7116)은 연결된다. 또한 격벽부(7114)내에 형성된 내부 공간(7124)은, 재료 가스를 분산시켜 균일하게 성막 처리 공간(7116)에 공급하기 위한 공간이다. 또한 격벽부(7114)의 하벽에는 재료 가스를 성막 처리 공간(7116)에 공급하는 복수의 확산 구멍(7126)이 형성되어 있다. 상기 관통 구멍(7125) 또는 확산 구멍(7126)은 각각 후술하는 소정의 조건을 만족하도록 만들어져 있다. 또한 상기 내부 공간(7124)에는, 재료 가스를 도입하기 위한 도입 파이프(7128)가 접속되어 있다. 도입 파이프(7128)는 측방에서 접속되도록 배치되어 있다. 또한 내부 공간(7124)의 중에는, 재료 가스가 확산 구멍(7126)으로부터 균일하게 공급되도록, 복수의 구멍(7127a)을 갖도록 천공된 균일판(7127)이 거의 수평으로 마련되어 있다. 도 37에 도시하는 바와 같이 균일판(7127)에 의해서 격벽부(7114)의 내부 공간(7124)은 상하 두개의 공간(7124a, 7124b)으로 나누어져 있다. 도입 파이프(7128)로 내부 공간(7124)에 도입되는 재료 가스는, 위쪽 공간(7124a)에 도입되고, 균일판(7127)의 구멍(7127a)을 통하여 아래쪽 공간(7124b)에 도달하며, 또한 확산 구멍(7126)을 통하여 성막 처리 공간(7116)에 확산되게 된다. 이상의 구조에 근거하여, 성막 처리 공간(7116)의 전체에 걸쳐 재료 가스를 균일하게 공급하는 것이 가능해진다.
도 37에서는 격벽부(7114)의 일부가 확대 도시되고, 관통 구멍(7125)과 확산 구멍(7126)과 균일판(7127)의 주요부가 확대 도시된다. 관통 구멍(7125)는, 일례로서, 플라즈마 생성 공간(7115)측이 큰 직경을 가지고, 성막 처리 공간(7116)측이 좁혀지며, 작은 직경으로 만들어져 있다.
상부 용기(7112a)의 천정부에는, 전극(7120)에 접속된 전력 도입 막대(7129)가 마련되어 있다. 전력 도입 막대(7129)에 의해서 전극(7120)에 방전용 고주파 전력이 공급된다. 전극(7120)은 고주파 전극으로서 기능한다. 전력 도입 막대(7129)는 절연물(7131)로 덮여 있고, 다른 금속 부분과의 절연이 도모되고 있다.
상기한 바와 같이 구성된 CVD 장치에 의한 성막 방법을 설명한다. 도시하지 않는 반송 로봇에 의해서 유리 기판(7111)이 진공 용기(7112)의 내부에 반입되고, 기판 보유 기구(7117)의 위에 배치된다. 진공 용기(7112)의 내부는, 배기 기구(7113)에 의해서 배기되고, 감압되어 소정의 진공 상태로 유지된다. 이어서, 도입 파이프(7123)를 통해서 산소 가스가 진공 용기(7112)의 플라즈마 생성 공간(7115)에 도입된다. 이 때 산소 가스의 유량은 외부의 매스플로우 컨트롤러로 제어된다. 수학식 1, 2를 사용하여, 산소 가스의 유량(Q02)과 압력(P02), 및 온도(T)부터 산소의 유속(u)이 구해진다.
한편, 재료 가스인 실란이 도입 파이프(7128)를 통하여 격벽부(7114)의 내부 공간(7124)에 도입된다. 실란은, 최초로 내부 공간(7124)의 위쪽 공간(7124a)에 도입되고, 균일판(7127)으로 균일화되어 아래쪽 부분(7124b)에 이동하고, 이어서 확산 구멍(7126)을 통하여 성막 처리 공간(7116)에 직접적으로, 즉 플라즈마에 접촉하지 않고 도입된다. 성막 처리 공간(7116)에 마련된 기판 유지 기구(7117)는, 히터(7118)에 통전이 이루어지고 있기 때문에, 미리 소정 온도로 유지되어 있다.
상기 상태에서, 전극(7120)에 대하여 전력 도입 막대(7129)를 통하여 고주파전력이 공급된다. 고주파 전력에 의해 방전이 생겨, 플라즈마 생성 공간(7115)내에서 전극(7120) 주위에 산소 플라즈마(7119)가 생성된다. 산소 플라즈마(7119)를 생성함으로써, 중성의 여기종인 라디칼(여기 활성종)이 생성된다.
기판(7111)의 표면에 성막을 행할 때, 진공 용기(7112)의 내부 공간은, 도전 재료로 형성된 격벽부(7114)로 플라즈마 생성 공간(7115)과 성막 처리 공간(7116)에 격리된 구성에 있어서, 플라즈마 생성 공간(7115)에서는 산소 가스를 도입하고 또한 전극(7120)에 고주파 전력을 공급하여 산소 플라즈마(7119)를 생성하고, 다른 한편, 성막 처리 공간(7116)에서는 재료 가스인 실란이 격벽부(7114)의 내부 공간(7124) 및 확산 구멍(7126)을 통하여 직접적으로 도입된다. 플라즈마 생성 공간(7115)에서 생성된 산소 플라즈마(7119)중의 라디칼은 격벽부(7114)의 복수의 관통 구멍(7125)를 지나 성막 처리 공간(7116)에 도입됨과 동시에, 실란은 격벽부(7114)의 내부 공간(7124) 및 확산 구멍(7126)을 지나 성막 처리 공간(7116)에 직접 도입된다. 또한 성막 처리 공간(7116)에 직접 도입된 실란은, 관통 구멍(7125)이 갖는 형태에 근거하여 플라즈마 생성 공간측으로 역확산하는 것이 억제된다. 이와 같이, 재료 가스인 실란를 성막 처리 공간(7116)에 도입할 때 실란이 직접적으로 산소 플라즈마(7119)에 닿지 않아, 실란과 산소 플라즈마가 심하게 반응하는 것이 방지된다. 이렇게 하여, 성막 처리 공간(7116)에 있어서, 격벽부(7114)의 하면에 대향하여 배치된 기판(7111)의 표면에 실리콘 산화막이 성막된다.
상기 구조에 있어서, 격벽부(7114)의 복수의 관통 구멍(7125)의 크기 등의 형태는, 플라즈마 생성 공간(7115)에서의 산소 가스를 관통 구멍 중의 물질 이동 흐름으로 하고, 성막 처리 공간(7116)에서의 실란이, 관통 구멍(7125)를 지나 반대측 공간으로 확산 이동을 행하는 것을 상정할 때, 그 이동량을 목적으로 하는 범위로 제한하도록 정해져 있다. 즉, 예를 들면, 온도 T에서의 격벽부(7114)의 관통 구멍(7125)를 흐르는 산소 가스와 실란에 대하여 그 상호 가스 확산 계수를 D로 하고, 또한 관통 구멍(7125)의 최소 직경 부분의 길이(관통공의 특징적 길이)를 L이라 할 때, 가스 유속(가스의 유속 u로 한다)를 사용하여, uL/D>1의 관계가 만족하도록 정해진다. 이상의 관통공 형태에 관한 조건은, 바람직하게는 격벽부(7114)에 형성된 확산 구멍(7126)에 대해서도 동일하게 적용된다.
상기 uL/D>1의 관계는 다음과 같이 도출된다. 예를 들면 관통 구멍(7125)을 이동하는 산소와 실란의 관계에 관하여 실란 가스 밀도(β SiH4)와 확산 유속(uSiH4)과 상호 가스 확산 계수(DSiH4­02)를 사용하여 하기 수학식 3이 성립한다. 관통공의 특징적 길이를 L이라 하면 , 수학식 3이 수학식 4에 근사할 수 있다. 수학식 4의 양변을 비교한 결과, 실란의 확산 유속(uSiH4)이 -DSiH4­02/L로 표시된다. 따라서, 상기 수학식 1과 2로부터 얻어지는 산소 유속을 u로 하고, 실란의 확산 유속을 -DSiH4­02/L로 했을 경우, 이러한 2개의 유속의 절대값의 비, 즉 |-u/(-DSiH4­02/L)|= uL/DSiH402의 값은 산소 물질 이동 속도와 실란 확산 속도의 비이고, 이 비 uL/DSiH4­02를 1이상으로 하는 것은, 확산의 유량에 비하여 대류에 의한 유량이 큰 것을 의미한다. 즉, uL/DSiH4­02의 값을 1이상으로 하는 것은, 실란의 확산 영향이 적은 것을 의미하고 있다.
이어서, 구체적인 예를 설명한다. 격벽부(7114)의 온도를 300 ℃, 격벽부(7114)에 형성된 관통 구멍(7125)의 지름을 O.5 ㎜, 지름 0.5 ㎜ 부분의 길이(L)를 3 ㎜, 관통공(7125)의 총수를 500개, 산소 가스의 가스 유량을 500 sccm, 성막 처리 공간(7116)의 압력 100 Pa로 하면, 상기 수학식 4의 값은 11이 된다. 이러한 경우에는, 실란 가스의 확산에 비하여 흐름의 영향이 충분히 크기 때문에, 플라즈마 생성 공간(7115)로 실란 가스가 확산하는 일은 적어진다.
상기한 바와 같이, 플라즈마 생성 공간(7115)과 성막 처리 공간(7116)은, 상기 특성을 갖는 관통 구멍(7125)와 확산 구멍(7126)이 다수 형성된 격벽부(7114)로 각각 닫혀진 실이 되도록 구분되어 격리되어 있기 때문에, 성막 처리 공간(7116)에 직접도입된 실란과 산소 플라즈마가 접촉하는 일은 거의 없다. 따라서, 종래 장치와 같이, 실란와 산소 플라즈마가 심하게 반응하는 것은 방지된다.
이어서, 도 38를 참조하여 본 발명에 관한 CVD 장치의 제2 실시예를 설명한다. 도 38에 있어서, 도 36에서 설명한 요소와 실질적으로 동일한 요소에는 동일한 부호를 부치고, 여기에서 상세한 설명을 반복하는 것은 생략한다. 본 실시예의 특징적 구성은, 상부 용기(7112a)의 천정부 안쪽에 판상 절연 부재(7333)을 마련하고, 또한 그 아래쪽에 전극(7120)를 배치하도록 하였다. 전극(7120)에는 상기 구멍(7120a)는 형성되지 않아 한 장짜리 판의 형태를 갖는다. 전극(7120)과 격벽부(7114)에 의해서 평행 평판형 전극 구조에 의한 플라즈마 생성 공간(7115)을 형성한다. 그 밖의 구성은 제1 실시예의 구성과 실질적으로 같다. 또한, 제2 실시예에 의한 CVD 장치에 의한 작용, 효과도 상술한 제1 실시예와 같다.
이어서, 도 39를 참조하여 본 발명에 관한 CVD 장치의 제 3 실시예를 설명한다. 도 39에 있어서, 도 36에서 설명한 요소와 실질적으로 동일한 요소에는 동일한 부호를 부치고, 여기에서 상세한 설명을 반복하는 것은 생략한다. 본 실시예의 특징적 구성은, 상부 용기(7112a)의 측벽부 안쪽에 마련된 환상 절연 부재(7122)에는, 외측에서 플라즈마 생성 공간(7115)으로 세정용 가스를 도입하는 제2 가스 도입 파이프(7423)가 추가로 마련되어 있다. 도입 파이프(7423)는 유량 제어를 하는 매스플로우 컨트롤러(도시하지 않음)을 통하여 세정용 가스 공급원(도시하지 않음)에 접속되어 있다. 제2 가스 도입 파이프(7423)를 통해서 플라즈마 생성 공간(7115)내에 세정용 가스를 도입하고, 또한 고주파 전원으로부터 전극(7120)에 고주파 전력을 공급하면, 플라즈마 생성 공간(7115)내에는, 기판(7111)상의 막 표면의 세정에 사용되는 라디칼을 만들기 위한 플라즈마가 생성된다. 세정용 가스로서는 예를 들면 NF3, Cl F3, C2F4, C2F6, H2, O2, N2, F2, Ar 등(희석 가스, 할로겐화 가스)가 사용된다. 그 밖의 구성은 제1 실시예의 구성과 실질적으로 같다.
가스 도입 파이프(7123)와 제2 가스 공급 파이프(7423)의 사용은 택일적으로 실행되도록 제어된다. 이 실시 형태에서는, 최초로 세정용 가스가 도입되어 기판(7111)상의 막의 표면 세정이 이루어지고, 그 후에 성막용 가스가 도입되어 기판(7111)상의 막의 표면상에 게이트 절연막이 형성된다.
즉 레이저 어닐처 리가 행하여진 막(폴리실리콘막)을 표면에 형성한 기판(7111)이 기판 홀더(7117)상에 탑재된 후에, 플라즈마 생성 공간(7115)으로 제2 가스도입 파이프(7423)로부터 세정용 가스를 도입하고, 전극(7120)에 대하여 전력 도입 막대(7129)를 통하여 고주파 전력이 공급된다. 이에 따라 플라즈마 생성 공간(7115)으로 방전이 개시되어 세정용 가스 플라즈마(7419)가 생성된다. 그 결과, 플라즈마중에서는 라디칼이 생기고, 그 라디칼이 격벽부(7114)의 복수의 관통 구멍(7125)를 통과시켜 성막 처리 공간(7116)으로 이동하여 기판(7111)상에 형성된 막의 표면을 라디칼에 의해서 세정한다. 그것에 의해 레이저 어닐 후에 기판의 막표면상에 발생한 불순물을 제거하는 것이 가능해진다.
상기 기판 세정의 공정을 종료하고 소정 조건을 만족시킨 후, 플라즈마 생성 공간(7115)으로 가스 공급 파이프(7123)로부터 산소 가스를 도입하고, 전극(7120)에 대하여 전력 도입 막대(7129)를 통하여 고주파 전력이 공급된다. 이것에 의해 플라즈마 생성 공간(7115)으로 방전이 개시되어, 산소 플라즈마(7119)가 생성된다. 그 결과, 플라즈마 중에서는 라디칼이 생기고, 그 라디칼이 격벽부(7114)의 복수의 관통 구멍(7125)를 통과시켜 성막 처리 공간(7116)으로 이동한다. 다른 한편 라디칼의 도입에 더불어, 도입 파이프(7128)로부터 격벽부(7114)를 통해서 재료 가스를 성막 처리 공간(7116)으로 도입한다. 성막 처리 공간(7116)에서는 라디칼이 재료 가스와 반응하고, 그 결과, 기판(7111)상에 형성된 막의 표면상에 게이트 절연막이 형성된다.
또, 본 발명에 관한 성막 장치는, 진공으로 유지되는 것이 바람직하다.
이어서, 본 발명의 실시예의 장치를 사용한 성막 방법에 대하여 설명한다.
도 40는 본 발명에 관한 성막 장치의 일례를 도시한다. 도 40에 있어서, 7112는 도 36의 진공 용기이다. 이 진공 용기(7112)는, 상술한 바와 같이 다수의 관통공이 형성된 격벽부(7114)에 의해, 서로 격리된 플라즈마 생성 공간(7115)과 성막 처리 공간(7116)를 구비하고 있다.
도 40에서, 7512는 성막용 재료 가스 공급 장치이다. 성막용 재료 가스 공급 장치(7512)로부터 공급되는 재료 가스는, MFC(매스플로우 컨트롤러: 유량 제어기)(7513a)를 포함하는 가스 도입로(7513)를 경유하여 격벽부(7114)내의 상기 내부 공간(7124)으로 도입된다. 재료 가스로서는, SiH4 등의 규소 수소 화합물(SinH2n+2(n=1,2.3.…))이 사용된다. 성막 처리 공간(7116)에 있어서는, 격벽부(7114)의 내부 공간(7124) 지나 도입되는 재료 가스와, 격벽부(7114)에 형성된 다수의 관통 구멍(7125)를 통해서 도입되는 라디칼이 반응하여, 재료 가스가 분해되고, 성막실내에 반입된 기판에 대하여 산화 규소 박막이 퇴적되어 성막이 이루어진다.
7514는 상위 컨트롤러이다. 상위 컨트롤러(7514)는, 가스 도입로(7513)에 마련된 MFC7513a 에서의 재료 가스의 유량을 제어하는 기능을 가지고 있다. 상기 컨트롤러(7514)에 의해서, MFC7513a에서의 재료 가스의 유량을 제어하여, 성막 처리 공간(7116)에 도입되는 재료 가스의 공급량을 후술하는 바와 같이 목적으로 하는 값으로 제어하는 것이 가능해진다. 도 41에 표시된 그래프는 횡축이 시간(t), 종축이 재료 가스의 유량(sccm)을 나타내, 재료 가스 유량 변화의 일례를 표시하고 있다. 본 실시예에서는, MFC7513a에서의 재료 가스의 유량을 상기 컨트롤러(7514)에 근거하여 제어하고, 성막 초기인 방전 개시시에, 성막 처리 공간(7116)으로의 재료 가스의 도입 유량(공급량)을 제한하여 그 후에 증가시키는 데 특징을 가지고 있다. 이어서 재료 가스의 도입 유량의 제한 방법을 설명한다.
도 42는 재료 가스인 SiH4 공급량의 제어 일례를 나타내는데, 횡축은 시간이고, 세로축은 도입 유량이다. 시간축에서는, 시각 tO, t1, t2가 설정되어 있다. 플라즈마 생성용 가스로서는 예를 들면 산소(02)가 사용되고 있다. 시각 t0은, 산소 가스가 플라즈마 생성실에 도입되어 산소 가스의 방전이 개시되는 시각이고, 성막의 개시 시점이다. 시각 t1에서 SiH4의 공급이 개시된다. 따라서, 시각 tO에서 시각 t1 사이, SiH4의 공급은 이루어지지 않는다. 시각 t1에서 시각 t2 사이에서는 SiH4의 공급량은 시간에 따라 점차로 증가하고, 시각 t2에서 SiH4의 공급량은 일정값에 도달한다. 시각 t2 이후, SiH4의 공급량은 일정값으로 유지된다. 이상과 같이, 방전 개시를 포함하는 성막 초기(t0 내지 t1 및 t1과 가까운 시기)에는 재료 가스의 공급량이 제한됨으로써 성막 초기의 규소 과잉의 산화 규소 박막의 형성을 억제할 수 있으며, 그 후에 재료 가스의 공급량이 서서히 증가됨으로써 성막 시간 단축하여 실용성을 높이고 있다.
또한, t1 내지 t2에서의 공급량의 증대를 스텝 함수 또는 각종 함수, 예를 들면 비례, 일차 함수, 이차 함수, 지수 함수 등으로 변화시키도록 제어를 하여도 좋다.
상술한 각 실시예에서는, 재료 가스로서 실란의 예를 설명하였지만, 이것에 한정되지 않고, TEOS 등의 다른 재료 가스를 사용할 수 있다는 것은 물론이다. 또한 실리콘 산화막 뿐만아니라, 실리콘 질화막 등 그 밖의 성막에도 응용할 수 있다. 본 발명의 원리적 생각은, 재료 가스가 플라즈마에 접함으로써 파티클이 발생하는 것, 기판에 이온이 입사하는 것이 문제가 되는 모든 처리에 응용할 수 있어 성막, 표면 처리, 등방 에칭 등에 응용할 수 있다. 또한 상술한 실시 형태에서는, 격벽부는 이중 구조로 되어 있는데, 필요에 따라 다층 구조로 할 수 있는 것은 물론 이다.
이상의 설명에서 밝혀진 바와 같이 본 발명에 의하면, 대면적 기판에 플라즈마 CVD에 의래 실란 등의 재료 가스를 사용하여 실리콘 산화막 등을 성막하는 경우에, 소정 조건을 만족하는 복수의 관통공 또는 확산공이 형성된 격벽부를 마련함으로써 진공 용기의 내부를 플라즈마 생성 공간과 성막 처리 공간으로 격리하고, 플라즈마 생성 공간에서 생성된 활성종은 격벽부의 관통공을 통과시켜 성막 처리 공간에 도입되고, 재료 가스는 격벽부의 내부 공간 및 확산공을 통해서 플라즈마에 닿지 않고 직접 성막 처리 공간에 도입하도록 하였기 때문에, 재료 가스와 플라즈마간의 심한 화학 반응을 방지할 수 있으며, 그 결과, 파티클의 발생을 억제하여 기판으로의 이온 입사를 방지할 수 있다.
또한 재료 가스를 균일하게 도입할 수 있으며, 격벽부에 형성된 복수의 관통공에 의해 산소 가스의 라디칼도 성막 처리 공간에 균일하게 공급할 수 있어 이것에 의해 기판의 표면 근방에서의 라디칼과 실란 등의 분포를 양호로 하여, 대면적기판으로의 성막를 유효하게 행할 수 있다.
도 43는, 크러스터 툴형의 장치를 옆에서 본 단면도이다. 이 장치는, 기판(8109)의 표면에 게이트 절연막이 되는 산화 실리콘막을 제작하는 성막 챔버(8101)과, 로드락 챔버(8102)와, 내부에 반송 기구로서의 반송 로보트(813O)을 구비한 반송 챔버(8103)을 가지고 있다.
성막 챔버(8101)는 내부에 CVD 유닛(8113)를 구비하고 있다. 이 CVD 유닛(8113)내에서 플라즈마를 생성하고, 플라즈마 중에서 취출한 활성종을 이용함으로써 산화 실리콘막을 제작하도록 되어 있다. 그런데, 본 실시 형태 장치의 큰 특징점은, 반송 챔버(8103)의 구성에 있다. 도 43에 도시하는 바와 같이, 반송 챔버(8103)는, 내부의 압력 조정을 위하여, 성막 챔버(8101)내에서의 성막에 악영향을 주지 않은 가스를 내부에 도입하는 가스 도입계(이하, 조압용 가스 도입계)(8132)를 가지고 있다. 본 실시예에서는, 조압용 가스 도입계(8132)는 수소 가스를 도입하도록 되고 있다. 조압용 가스 도입계(8132)는, 도시하지 않은 유량 조정기 또는 필터를 구비하고 있고, 순도가 높은 조압용 가스를 소정의 유량으로 도입할 수 있도록 되어 있다.
또, 「성막에 악영향을 주지 않은 가스」란, 제작되는 박막의 품질에 악영향을 주지 않은 가스를 의미한다. 이 가스에는, 수소와 같이 성막에는 직접적으로는 관여하지 않는 가스 또는 막질을 향상시키는 효과를 가지는 가스가 포함된다.
반송 챔버(8103)가 조압용 가스 도입계(8132)를 구비하는 것은, 반송 챔버(8103)의 배기계(8131)에 대한 특유의 기술 사상과 관련하고 있다. 즉, 본 실시예의 장치에서는, 반송 챔버(8103)내의 압력을 성막 챔버(8101)내의 압력보다도 약간 낮은 진공 압력이 되도록 유지하는 구성으로 되어 있다.
반송 챔버(8103)의 배기계(8131)는, 이와 같이 비교적 높은 압력으로 배기하면 충분하기 때문에, 염가의 구성으로 되어있다. 반송 챔버(8103)의 배기계(8131)에는, 예를 들면 염가인 드라이 펌프와 메카니컬 부스터 펌프의 조합을 채용할 수 있다.
반송 챔버(8103)의 배기계(8131)에는, 통상 성막 챔버(8101)보다도 배기 속도가 큰 것이 사용되어 반송 챔버(8103)를 성막 챔버(8101)보다도 낮은 압력으로까지 배기하도록 구성되어 있다. 그러나 그러한 구성이면 상술한 바와 같이 배기계(8131)의 구성이 비싸지게 된다. 예를 들면, 상술한 성막 챔버(8101)내의 도달 압력을 얻기 위하여는, 터보 분자 펌프와 같은 대단히 비싼 진공 펌프를 사용할 필요가 있다. 도달 압력이 1 Pa 이상이면 염가인 드라이 펌프와 메카니컬 부스터 펌프의 조합으로 충분하기는 하지만, 도달 압력이 1 Pa보다 낮아지면, 이것보다 수배 비싼 터보 분자 펌프 등이 필요하게 된다.
또한, 반송 챔버(8103)내의 압력이 비교적 높게 설정되므로, 장치의 배기 동작이 단시간에 끝난다. 따라서, 장치 전체의 생산 효율이 높게된다.
본 실시예의 장치의 다른 큰 특징점은, 반송 챔버(8103)내에, 기판(8109)의 표면의 개질 작용이 있는 화학종(이하, 개질종)를 공급하는 개질종 공급부(8133)이 마련되어 있는 점이다. 이 점에 대하여 이하에 설명한다.
개질종 공급부(8133)은, 개질용 가스 도입계(8134)에 의해서 도입된 가스에 에너지를 부여하여 플라즈마를 형성하는 구성으로 되어 있다. 개질종 공급부(8133)의 구성에 대하여 도 44를 사용하여 설명한다. 도 44는 도 43에 도시하는 장치의 반송 챔버(8103)내에 마련된 개질종 공급부(8133)의 구성을 도시하는 측단면 개략도이다.
개질종 공급부(8133)는, 기본적으로 도 36와 거의 같은 구성이다. 단, 재료 가스를 도입하는 구성은 없고, 격벽부(7114)는 복수의 구멍이 뚫린 판상으로 되어 있다. 개질종 공급부(8133)는 도 43으로부터 알수 있는 바와 같이, 반송 챔버(8103)내이고, 성막 챔버(8101)과의 경계 부분의 게이트 밸브(8104c)와 가까운 위치에 배치되어 있어 기판(8109)의 반송 라인 위쪽에 위치하고 있다.
개질용 가스 도입계(8134)는, 조압용 가스 도입계(8132)와 마찬가지로 수소 가스를 플라즈마 생성 공간에 공급하도록 되고 있다. 조압용 가스 도입계(8132)의 배관을 분기시켜 개질종 공급부(8133)에 접속하여, 조압용 가스 도입계(8132)를 개질용 가스 도입계(8134)와 겸용하여도 좋다.
개질용 가스 도입계(8134)에 의해서 수소 가스가 플라즈마 생성 공간에 도입되어 있는 상태에서 고주파 전원이 동작하면, 플라즈마가 형성되어, 수소 활성종이 아래쪽으로 유출하도록 되어 있다. 이 수소 활성종이, 본 실시예에서는 개질종에 상당하고 있고, 이것이 기판의 표면에 공급됨으로써 개질이 이루어진다. 예를 들면, 기판(8109)의 표면이 산화되어 있는 경우, 이것을 환원한다. 또한, 표면에 결합단이 존재하고 있는 경우, 수소 활성종은 이것을 종단하여 표면의 상태를 화학적으로 안정시킨다.
이 개질시에, 기판(8109)을 반송 라인상에서 정지시켜도 좋고, 또한 효율화시키기 위하여 이동시키면서 행하여도 좋다.
제2 실시예 장치의 큰 특징점은, 채널 층에 폴리실리콘 박막을 사용한 TFT-LCD의 제조에 필요한 레이저-어닐 공정 및 게이트 절연막 작성 공정을 진공 중에서 연속하여 할 수 있도록 되어 있는 것이다. 이 제2 실시예의 장치에 있어서도, 반송 챔버(8103)는 조압용 가스 도입계(8132)를 구비하고 있고, 반송 챔버(8103)내는 진공 압력이기는 하지만 1 Pa보다 높으며, 성막 챔버(8101)보다 낮은 압력으로 유지되도록 되어 있다. 조압용 가스 도입계(8132)는, 마찬가지로 수소 가스를 반송 챔버(8103)내에 도입하도록 되어 있다.
이 제2 실시예에 의하면, 어닐 공정의 후, 개질종의 공급에 의해서 기판(8109)의 표면이 개질되는 구성은, TFT의 동작 특성을 향상시키는 데에 있어서 지극히 중요한 의의를 가지고 있다. 어닐 공정에서 비정질 실리콘막을 결정화시켜 얻어진 폴리실리콘막의 표면에는, 실리콘의 미결합단(던글링본드)가 존재하고 있다. 따라서, 이 기판(8109)이 어닐 챔버(도시하지 않음)로부터 성막 챔버(8101)에 반송될 때, 분위기에 산소와 같은 실리콘과 반응하기 쉬운 가스가 존재하고 있으면, 미결합단에서 용이하게 실리콘과 반응하여, 폴리실리콘막의 표면에 오손된 영역을 만들어 버린다. 이러한 오손 영역이 폴리실리콘막과 게이트 절연막의 계면에 존재하고 있으면, 화학량론적 조성이 얻어지지 않아 결함 준위의 발생 등, TFT의 동작 특성을 저해하는 문제가 발생하기 쉽다.
본 실시 형태에서는, 어닐 공정의 후, 수소 활성종으로 표면을 개질하여 실리콘의 미결합단을 수소로 종단하였기 때문에, 상기와 같은 문제가 억제된다. 나아가 반송 챔버(8103)는 비교적 높은 압력의 진공이기는 하지만, 수소 가스로 퍼지되어 있기 때문에, 미결합단이 존재하고 있어도 거기에 오손 물질이 반응할 우려가 저감되며, 또한 수소와 반응하여 마찬가지로 안정적으로 종단할 가능성이 높게 된다. 그러므로, 본 실시 형태의 장치에 의하면 폴리실리콘 TFT를 제조하는 데에 있어서 지극히 중요한 기술사항인 폴리실리콘막과 게이트 절연막의 계면 상태를 지극히 양질로 만들 수가 있다.
또한, 개질종 공급부가 활성종을 공급하는 점은, 어닐 공정후의 개질이라는 것과 관련하여 중요한 의의를 갖는다. 상술한 바와 같이, 기판(8109)의 표면 개질에는 활성종 외에 이온 입사를 이용하는 것도 있을수 있다. 그러나, 어닐 공정 후의 개질에 이온 입사를 이용하는 것은 문제를 발생시킨다. 어닐 공정에 의해서 결정화된 폴리실리콘막은, 비교적 약한 결정 구조이다. 따라서, 이온을 입사시켜 버리면, 용이하게 결합이 깨져 폴리실리콘막의 표면이 거칠어진 요철이 형성되거나 한다. 이 결과, 계면 특성이 저해되거나 채널 저항이 증대되거나 하는 문제가 발생할 우려가 있다.
본 실시예에서는, CVD 유닛(8113)을 사용하여, 기판의 표면에서 떨어진 영역에 플라즈마를 형성하여 활성종을 공급하고 있다. 따라서, 기판 표면으로의 이온의 입사는 본질적으로 없어 상술한 바와 같은 문제는 발생하지 않는다.
도 45는, 본 발명에 관한 레이저 어닐 장치의 구조를 설명하는 도면이다.
이 레이저 어닐 장치는, 아모르퍼스상 Si 등의 반도체 박막을 표면상에 형성한 유리판인 워크(W)를 탑재하여 3차원적으로 원활하게 이동 가능한 스테이지(3210)와, 한 쌍의 특성이 다른 레이저 빔(LB1, LB2)을 각각 발생하는 한 쌍의 레이저 광원(3221, 3222)과, 이들 레이저 빔(LB1, LB2)을 합성하는 합성 광학계(3230)와, 합성 광학계(3230)에 의해서 합성된 합성광(CL)을 선조 빔(AB)로 하여 소정의 조도로 워크(W)상에 입사되는 조사 광학계(3240)와, 조사 광학계(3240)중에 마련한 마스크(3242)를 이동시켜 워크(W)상에 투사한 선조 빔(AB)를 워크(W)상에서 주사시키는 주사 수단인 마스크 구동 장치(3250)와, 워크(W)를 탑재한 스테이지(3210)를 조사 광학계(3240)등에 대하여 필요량 만큼 적절히 이동시키는 스테이지 구동 장치(3260)와, 레이저 어닐 장치 전체의 각부 동작을 통괄적으로 제어하는 주 제어 장치(32100)를 구비한다.
한 쌍의 레이저 광원(3221, 3222)은, 함께 워크(W)상의 반도체 박막을 가열하기 위한 엑시머 레이저 그 밖의 펄스 광원이고, 발광 시간 또는 피크 강도, 또는파장 등의 특성이 서로 다른 한 쌍의 레이저 빔(LB1, LB2)를 각각 개별적으로 발생한다.
합성 광학계(3230)는, 양쪽 레이저 광원(3221, 3222)으로부터의 한 쌍의 레이저 빔(LB1, LB2)을 공간적으로 연결하여 합성광(CL)을 형성하기 위한 것으로 한 쌍이 평행하게 배치된 나이프 에지 미러(3231, 3232)로 이루어진다. 또, 합성 광학계(3230)와 양쪽 레이저 광원(3221, 3222) 사이에는, 각각 다이버전스 광학계(3271)와 텔레스코프 광학계(3272)를 조정 장치로하여 마련하고 있다. 다이버전스 광학계(3271)는, 레이저 광원(3221)으로부터의 제1 빔(LB1)에 대하여, 조사 광학계(3240)에 마련한 호모지나이저(3241)에 의한 광축 방향 결상 위치(빔 형성 위치)를 미조정하는 조정 광학계로서의 역할을 갖는다. 텔레스코프 광학계(3272)는, 레이저 광원(3222)으로부터의 제2 빔(LB2)에 대하여, 그 빔 사이즈를 조절하여 합성 광학계(3230)에 입사하는 제1 빔(LB1)의 빔 사이즈와 일치시키는 아포칼 광학계로서의 역할을 갖는다.
조사 광학계(3240)는, 합성 광학계(3230)로부터의 합성광(CL)을 일단 복수로 분할함과 동시에 이러한 분할광을 구형의 빔로 하여 소정면상에 중첩하여 균일하게 입사되는 호모지나이저(3241)와, 슬릿상의 투과 패턴을 가짐과 동시에, 소정면상에 배치되어 합성광(CL)을 차단하는 마스크(3242)와, 마스크(3242)에 형성된 투과 패턴을 선조 빔(AB)으로 하여 워크(W)상에 축소 투영하는 투영 렌즈(3243)를 구비한다.
스테이지 구동 장치(3260)는, 스테이지(3210)를 구동하여 워크(W)상의 소정 영역을 조사 광학계(3240)에 대하여 위치 맞춤하는 얼라인먼트을 행한다. 또한, 스테이지 구동 장치(3260)는, 마스크 구동 장치(3250)에 의해서 선조 빔(AB)가 워크(W)상의 소정 영역에서 주사되어 소정 영역의 레이저 어닐이 종료한 단계에서, 마스크(3242)를 상기 소정 영역에 인접하는 영역으로 스텝 이동시키는 얼라인먼트을 행한다. 또, 스테이지 구동 장치(3260)에 의한 스테이지(3210)의 구동량은 위치 검출 장치(3280)에 의해서 상시 감시되고 있다.
이하, 도 45의 장치의 동작에 대하여 설명한다. 우선, 레이저 어닐 장치의 스테이지(3210)상에 워크(W)를 반송하여 탑재한다. 다음에, 조사 광학계(3240)에 대하여 스테이지(3210)상의 워크(W)를 얼라인먼트한다. 이어서, 조사 광학계(3240)의 마스크(3242)를 이동시키면서, 한 쌍의 레이저 광원(3221, 3222)으로부터 얻은 합성광(CL)을 선조 빔(AB)으로 하여 워크(W)상의 소정 영역에 입사된다. 워크(W)상에는, 비정질 반도체의 아모르퍼스 Si 등의 박막이 형성되어 있고, 선조 빔(AB)의 조사 및 주사에 의해서 반도체의 소정 영역이 어닐, 재결정화되어, 전기적 특성이 뛰어난 반도체 박막을 제공할 수 있다. 이상과 같은 레이저 어닐은, 워크(W)에 마련한 복수의 소정 영역에서 반복되어 워크(W)에 마련한 복수의 소정 영역에서 반도체 박막이 어닐된다.
이 때, 상기 장치에서는, 합성 광학계(3230)가 한 쌍의 레이저 광원(3221, 3222)으로부터의 한 쌍의 레이저 빔(LB1, LB2)을 공간적으로 맞붙여 합성광(CL)을 형성하기 때문에, 한 쌍의 레이저 빔(LB1, LB2)을 손실을 최소한에 억제하여 합성할 수 있으며, 합성 후는 호모지나이저(3241)에 의해 한 쌍의 레이저 빔(LB1, LB2)에 대하여 균일한 구형 빔을 각각 소정면인 마스크(3242)상에 형성할 수 있다. 또한 워크(W)상에 입사하는 선조 빔(AB)는, 레이저 빔(LB1, LB2)을 효율적으로 합성한 것으로, 다양한 레이저 어닐이 가능해진다.
도 46는, 합성 광학계(3230)및 그 주변의 구조를 설명하는 도면이다. 이미 설명한 바와 같이, 합성 광학계(3230)는 한 쌍의 나이프 에지 미러(3231,3232)로 이루어지고, 제1 빔(LB1)을 한 쌍의 나이프 에지(3231a,3232a) 사이에 통과시킴과 동시에 제2 빔(LB2)을 한 쌍의 나이프 에지(3231a,3232a)에 의해서 분할한다. 제1 빔(LB1)에 대하여 호모지나이저(3241)에 의한 결상위 치를 미조정하는 다이버전스 광학계(3271)는, 볼록 렌즈(3271a)와 오목 렌즈(3271b)를 조합한 아포칼계가 되어 있다.
제2 빔(LB2)의 빔 사이즈를 제1 빔(LB1)의 빔 사이즈와 일치시키는 텔레스코프광학계(3272)도, 오목 렌즈(3272a)와 볼록 렌즈(3272b)를 조합한 아포칼계로 되어 있다. 텔레스코프 광학계(3272)와 합성 광학계(3230) 사이에는, 턴 미러(3233)를 마련하여 제2 빔(LB2)을 안내하고 있다. 한편, 양쪽 레이저 빔(LB1, LB2)을 합성한 합성광(CL)이 입사하는 호모지나이저(3241)는, 제1 내지 제4 실린더리컬 렌즈 어레이(CA1 내지 CA4)와, 볼록 렌즈의 콘덴서 렌즈(3241a)로 이루어진다. 여기에서, 제1 및 제 3 실린더리컬 렌즈 어레이(CA1, CA3)은 지면과 평행한 단면에 곡율을 가지며, 제2 및 제4 실린더리컬 렌즈 어레이(CA2, CA4)는 지면과 수직으로 광축을 포함하는 단면에 곡율을 갖는다.
이하, 동작의 개요에 대하여 설명한다. 제1 빔(LB1)은, 나이프 에지(3231a,3232a) 사이, 즉 호모지나이저(3241)의 광축(OA)를 포함하는 중앙측 눈동자 영역을 지나고, 제2 빔(LB2)은 나이프 에지 미러(3231, 3232)에 의해 2개로 분할되어 제1 빔(LB1)의 양단, 즉 호모지나이저(3241)의 한 쌍의 외측 눈동자 영역을 지나, 각각 호모지나이저(3241)에 입사한다. 호모지나이저(3241)는, 합성광(CL)이 입사할 수 있도록 빔 2개 분의 입사 눈동자의 사이즈로 되어 있고, 콘덴서 렌즈(3241a) 등의 렌즈계는 그 입사 눈동자에 맞추어 수차 보정이 되어 있다.
호모지나이저(3241)에 입사한 합성광(CL)은, 제1 내지 제4 실린더리컬 렌즈 어레이(CA1 내지 CA4)에 의해서, 실린더리컬 렌즈를 구성하는 세그먼트수로 분할된 2차 광원을 형성한다. 분할된 2차 광원으로부터의 광 빔은, 콘덴서 렌즈(3241a)에 입사하고, 콘덴서 렌즈(3241a)의 백 포커스 위치에 배치된 피조사면(IS)에서 포개져 균일한 구형 빔을 형성한다.
여기에서, 다이버전스 광학계(3271) 또는 텔레스코프 광학계(3272)는, 제1 빔(LB1)과 제2 빔(LB2)의 빔 특성 또는 그 차이 등에 기인하여 호모지나이저(3241)에 의해 형성되는 구형 빔에 대하여 포커스 위치의 차이 또는 빔 사이즈의 차이, 나아가 유니포미티의 차이가 생겨 버리는 것을 방지하고 있다.
전자의 다이버전스 광학계(3271)는, 호모지나이저(3241)에 입사하는 제1 빔(LB1)의 NA를 약간 바꿔 호모지나이저(3241)에 의한 베스트 포커스 위치 및 빔 사이즈를 조정한다. 후자의 텔레스코프 광학계(3272)는, 호모지나이저(3241)에 입사하는 제1 빔(LB1)의 빔 사이즈에 제2 빔(LB2)의 빔 사이즈를 일치시킨다. 이에 따라, 양쪽 레이저 빔(LB1, LB2)에 대하여, 실린더리컬 렌즈 어레이(CA1 내지 CA4) 에 의한 분할수를 일치시켜 동일한 유니포미티를 얻을 수가 있다.
이하, 동작의 상세에 대하여 설명한다. 제1 빔(LB1)은, 도시하지 않은 빔 딜리버리(턴 미러 등)을 지나 제1 빔용의 다이버전스 광학계(3271)에 입사한다. 이 다이버전스 광학계(3271)는, 거의 등배의 아포칼계이고, 2개의 렌즈(3271a, 3271b)의 렌즈간 거리를 바꿈으로써, 이 다이버전스 광학계(3271)로부터 출사하는 제1 빔(LB1)의 빔 사이즈를 거의 바꾸지 않고, 이 제1 빔(LB1)의 NA를 약간 바꿀 수 있다. 구체적인 실시예로서는, 다이버전스 광학계(3271)에 의한 출사 NA(제1 빔(LB1)의 확대(넓이)각)의 가변 조절 범위를 수 mrad 정도로 하였다. 또, 2장의 렌즈(3271a,3271b)는 요철의 2군계이고, 각각의 파워도 작기 때문에, 양쪽 렌즈(3271a,3271b)의 간격을 바꾸더라도 수차의 변화는 거의 발생하지 않는다.
다이버전스 광학계(3271)를 출사한 제1 빔(LB1)은, 2장의 나이프 에지 미러(3231,3232) 사이, 즉 호모지나이저(3241)의 광축 중심측을 통과할 뿐이다. 나이프 에지 미러(3231, 3232) 사이를 통과한 제1 빔(LB1)은, 그 후 호모지나이저(3241)의 실린더리컬 렌즈 어레이(CA1)의 중앙부(제1 빔(LB1)에 할당된 실린더리컬 렌즈)에 입사하여, 실린더리컬 렌즈의 개수(도 46에서는 6개)로 분할된다. 분할된 각 빔은, 콘덴서 렌즈(3241a)에 의해 포개어져 피조사면(IS)에서 균일 빔을 형성한다.
한편, 제2 빔(LB2)은, 도시하지 않은 빔 딜리버리를 지나 제2 빔용의 텔레스코프 광학계(3272)에 입사한다. 이 텔레스코프 광학계(3272)에 입사한 제2 빔(LB2)은, 본 광학계에서 확대 또는 축소되어 제1 빔(LB1)과 동일한 빔 사이즈가 되어 여기에서 출사하여 합성 광학계(3230)로 향한다. 합성 광학계(3230)에서는, 나이프 에지 미러(3231, 3232)에 의해서 제2 빔(LB2)이 2개의 빔부분(LB2a, LB2b)로 분할되어, 각각 제1 빔(LB1)의 양단을 통과하여 호모지나이저(3241)로 향한다. 양쪽 빔 부분(LB2a, LB2b)는, 호모지나이저(3241)의 광축 중심의 외측, 즉 호모지나이저(3241)의 실린더리컬 렌즈 어레이(CA1)의 양단부(제2 빔(LB2)에 할당된 실린더리컬 렌즈)에 입사하고, 실린더리컬 렌즈의 개수(도 46에서는 상하 3개씩의 총6개)로 분할된다. 분할된 각 빔은, 콘덴서 렌즈(3241a)에 의해 포개여져 피조사면(IS)에서 균일 빔을 형성한다.
이상의 설명에서는, 제1 빔(LB1) 및 제2 빔(LB2) 모두「피조사면(IS)에서 균일 빔을 형성한다」고 기재하였으나, 사실은 양자의 베스트 포커스 위치는, 주로 광원에서 출사되는 빔의 확장각 등의 특성의 차이에 따라 다를 수가 있다. 또한, 이와 같이 베스트 포커스가 다른 경우, 빔 사이즈도 다른 것이 많다. 따라서, 제1 빔(LB1) 및 제2 빔(LB2)의 특성의 차이를 보상할 필요가 있다. 이것을 위하여 제2 빔(LB2)의 베스트 포커스 위치를 참된 피조사면(IS)(기준면)으로 하고, 이 기준면에 제1 빔(LB1)의 베스트 포커스 위치를 일치시킨다. 구체적으로는, 다이버전스 광학계(3271)에 의해 제1 빔(LB1)의 출사 NA, 즉 호모지나이저(3241)에서 보았을 경우의 입사 NA를 바꾼다. 호모지나이저(3241)에서 본 입사 NA를 변경하면, 그것에 따라 호모지나이저(3241) 통과 후의 베스트 포커스 위치가 변한다. 이에 따라, 제1 빔(LB1)의 베스트 포커스 위치를 미조정하여 제2 빔(LB2)의 그것과 일치시킬 수 있다. 또, 호모지나이저(3241)의 렌즈 구성에 따라 출사 NA와 베스트 포커스 위치 어긋남과의 대응은 다르기 문에 이러한 조정의 상세한 설명은 생략한다.
도 47는, 본 명에 관한 레이저 공 장치의 한 실시예인 레이저 어닐링 장치의 구조를 개념적으로 설명하는 도면이다.
이 레이저 어닐링 장치는, 유리 기판상에 아모르퍼스상 Si 등의 반도체 박막을 형성한 워크(W)를 열처리하기 위한 것으로, 이러한 반도체 박막을 가열하기 위한 엑시머 레이저 그 밖의 레이저광(AL)을 발생하는 레이저 광원(3310)과, 이 레이저광(AL)을 라인상(미세한 구형)으로 하여 소정의 조도로 워크(W)상에 입사되는 조사 광학계(3320)과, 워크(W)를 탑재하여 워크(W)를 X-Y면내에서 원활하게 병진 이동시킬 수 있음과 동시에 Z축의 주위로 회전 이동시킬 수 있는 프로세스 스테이지 장치(3330)를 구비한다.
조사 광학계(3320)은, 입사한 레이저광(AL)을 균일한 분포로 하는 호모지나이저(3321)와, 호모지나이저(3321)를 거친 레이저광(AL)을 가느다란 구형 빔으로 좁히는 슬릿를 형성한 마스크를 갖는 마스크 조립체(3322)와, 마스크의 슬릿상을 워크(W)상에 축소투영하는 투영 렌즈(3323)로 이루어진다. 이 중, 마스크 조립체(3322)는, 마스크 스테이지 장치(3340)와 교환 가능하게 지지되어 있어 마스크 스테이지 장치(3340)에 구동되어 X-Y 면내에서 원활하게 병진 이동 가능함과 동시에 Z축 주위로 회전 이동 가능하게 되어 있다.
프로세스 스테이지 장치(3330)는, 프로세스 챔버(3350)내에 수용되어 있고, 워크(W)를 프로세스 챔버(3350)내에 지지함과 동시에 조사 광학계(3320)에 대하여 적절히 이동시킬 수가 있다. 조사 광학계(3320)로부터의 레이저광(AL)은, 윈도(3350a)를 통하여 프로세스 챔버(3350)내의 적소에 지지된 워크(W)상에 조사된다.
또, 투영 렌즈(3323)의 양측에는, 윈도(3350a)를 통하여 검사광을 워크(W) 표면에 입사되는 투광 장치(3361)와, 워크(W) 표면에서의 반사광을 검출하는 수광 장치(3362)로 이루어지는 위치 검출 장치 등이 설치되어 있고, 프로세스 스테이지 장치(8330)상의 워크(W)를 조사 광학계(3320)에 대하여 정밀하게 위치 맞춤을 할 수 있게 되어 있다.
여기에서, 마스크 스테이지 장치(3340) 또는 투영 렌즈(3323)는, 프로세스 챔버(3350)에서 연장되는 가대(3365)에 매달려 고정되어 있다. 또, 도시를 생략하였지만, 호모지나이저(3321)는 가대(3365)에 대하여 간접적으로 고정되어 있다.
마스크 스테이지 장치(3340)에 지지된 마스크 조립체(3322)는, 원주상의 설치 지그(3370)의 하단에 매달리고, 마스크 스테이지 장치(3340)에 마련한 삽입구(3340a)의 밑바닥부에 삽입되어 여기에 고정된다. 마스크 조립체(3322)는, 슬릿을 형성한 마스크(3322a)와, 마스크(3322a) 윗쪽에 마스크(3322a)에 대하여 경사지게 배치되어 마스크(3322a)에서의 궤환 광에 기인하여 다른 광학 요소에 대미지가 발생하는 것을 방지하는 반사 부재(3322b)와, 마스크(3322a)에 입사하는 레이저광(AL)의 확대각을 조정하는 필드 렌즈(3322c)를 구비하여 이들 마스크(3322a), 반사 부재(3322b) 및 필드 렌즈(3322c)를 일체적으로 유지한다.
도 48은, 마스크 스테이지 장치(3340)의 구조와 마스크 조립체(3322)의 지지를 설명하는 도면이고, 도 48a는 마스크 스테이지 장치(3340) 등의 측방 단면도이고, 도 48b는 설치 지그(3370)의 평면도이다.
마스크 스테이지 장치(3340)는, 마스크 조립체(3322)를 X축 방향으로 병진 이동시키는 X축 스테이지부(3441)와, X축 스테이지(3441)와 함께 마스크 조립체(3322)를 Y축 방향으로 병진 이동시키는 Y축 스테이지부(3442)와, X축 스테이지(3441) 및 Y축 스테이지부(3442)를 Z축의 주위에 회전 이동시키는 θ축 스테이지(3443)로 이루어진다. X축 스테이지(3441)와 Y축 스테이지부(3442)는 슬라이드 가이드(3445)를 통하여 접동 가능하게 연결되어 있다. 한편, Y축 스테이지부(3442)와 θ축 스테이지(3443)는, 축받이(3446)를 통하여 회전 가능하게 연결되어 있다.
마스크 조립체(3322)는, 마스크(3322a), 반사 부재(3322b) 및 필드 렌즈(3322c)를 유지하는 통 형상의 마스크 홀더 본체(3422d)의 외주에, 아래쪽을 향하여 가늘어지는 테이퍼드 외면(TP1)을 갖는다. 한편, X축 스테이지(3441)도 밑바닥부(3441a)에 마련한 원형 개구에, 테이퍼드 외면(TP1)에 감합하는 테이퍼드 내면(TP2)을 갖는다. 그 결과, X축 스테이지(3441)의 밑바닥부(3441a)에 마련한 원형 개구에 마스크 조립체(3322)를 삽입하는 것만으로, 테이퍼드 외면(TP1)과 테이퍼드 내면(TP2)가 감합하여, X축 스테이지(3441)에 대하여 마스크 조립체(3322)를 정밀하게 위치 맞춤할 수 있다. 또한, 마스크 조립체(3322)는, X축 스테이지(3441)의 밑바닥부(3441a)에 밀어 넣어진 환상의 고정 너트(3425)에 의해 아래쪽으로 일정한 힘으로 부세된다.
마스크 조립체(3322) 또는 고정 너트(3425)는, 설치 지그(3370)을 이용하여 X축 스테이지(3441)의 밑바닥부(3441a)에 장착된다. 마스크 조립체(3322)는, 설치 지그(3370)의 하면에 마련한 갈고리 형상의 걸기 부재(3471)와 계합하는 함몰 오목부(3422g)를 가지며, 설치 지그(3370)의 조작에 따라 승강한다. 이에 따라, X축 스테이지(3441)의 밑바닥부(3441a)에 마련한 원형 개구에 마스크 조립체(3322)를 간편하고 확실하게 삽입할 수 있다. 또한, 고정 너트(3425)도 설치 지그(3370)의 걸기 부재(3471)와 계합하는 함몰 오목부(3425g)를 가지며, 설치 지그(3370)의 조작에 따라 승강한다. 이에 따라, X축 스테이지(3441)의 밑바닥부(3441a)에 삽입된 마스크 조립체(3322)의 윗쪽에서 고정 너트(3425)을 밀어 넣어, 마스크 조립체(3322)를 간편하고 확실하게 고정할 수 있다.
부착 지그(3370)은, 원주상의 본체(3470a)와 본체(3470a) 하단에 고정되어 걸기 부재(3471)를 지지하는 원반상의 지지 부재(3470b)와 본체(3470a)를 지지 부재(3470b)와 함께 회전시키거나 승강시키거나 하기 위한 핸들(3470c)를 구비한다. 또, 핸들(3470c)는 조작의 편의 등을 고려하여 도 48b에 도시하는 바와 같이, 3방향으로 연장되는 손잡이(3473)를 가지고 있다.
설치 지그(3370)의 하부에 마스크 조립체(3322)를 부착한 상태에서, 마스크 스테이지 장치(3340)의 삽입구(3440a)에서 마스크 조립체(3322)를 삽입하여, 밑바닥부(3441a)까지 마스크 조립체(3322)를 강하시킨 곳에서 설치 지그(3370)를 시계방향으로 회전시키면, 마스크 조립체(3322)는 설치 지그(3370)과 분리된다.
이어서, 고정 너트(3425)를 마스크 조립체(3322)와 마찬가지로 부착 지그(3370)의 하부에 부착하여 마스크 스테이지 장치(3340)의 삽입구(3440a)에서 삽입한다. 하단에 도달한 시점에서, 고정 너트(3425)을 시계 반대 방향으로 회전시켜 소정의 위치까지 조이면, 마스크 조립체(3322)는 접시 용수철(3425c) 접시의 일정 압력으로 밑바닥부(3441a)에 눌려진다. 이 때, 마스크 홀더본체(3422d)에 마련한 테이퍼드 외면(TP1)과, 밑바닥부(3441a)에 마련한 테이퍼드 내면(TP2)가 밀착되기 때문에, 마스크 조립체(3322)를 마스크 스테이지 장치(3340)에 좋은 정밀로 부착할 수가 있다. 그 후, 설치 지그(3370)를 시계 방향으로 회전시키면, 고정 너트(3425)은 설치 지그(3370)과 분리되어 설치 지그(3370)만 취출할 수 있다.
마스크 조립체(3322)의 마스크 스테이지 장치(3340)로부터 떼어 낼 때는 상기한 조작을 완전히 반대로 하면 된다. 즉, 설치 지그(3370)을 마스크 스테이지 장치(3340)의 삽입구(3440a)에 삽입하고, 고정 너트(3425)을 풀어서 떼어 내고, 다기 같은 설치 지그(3370)의 선단을 마스크 조립체(3322)상의 함몰 오목부(3422g)에 걸어 둔다. 그 후, 천천히 설치 지그(3370)를 들어 올리면, 마스크 조립체(3322)가 설치 지그(3370)와 일체가 되어 끌어 내어진다. 다시, 마스크(3322a) 및 반사 부재(3322b)의 마스크 조립체(3322)로부터 떼어내어도 상세한 설명은 생략하지만 이들의 설치할 때와 반대 순서로 용이하게 행할 수 있다.
또, 이상의 조작에 의해 마스크(3322a)는 마스크 스테이지 장치(3340)에 대하여 좋은 정밀도로 부착할 수 있게 되는데, 더욱 정밀한 위치 결정은, 마스크면에 부착된 얼라인먼트 마크를 CCD 카메라(도시 안함) 등으로 육안으로 관찰하면서 조정하게 된다.
이어서, 본 발명에 관한 실시예의 위치 계측 장치 및 방법에 대하여 도면을 참조하면서 구체적으로 설명한다.
도 49는, 실시 형태의 위치 계측 장치를 도입한 레이저 어닐 장치의 구조를 개념적으로 설명하는 도면이다. 레이저 어닐 장치는, 유리판인 워크(W)상에 형성한 아모르퍼스상 Si 등의 반도체 박막을 가열하기 위한 엑시머 레이저 그 밖의 레이저광(AL)을 발생하는 레이저 광원(3510)과, 이 레이저광(AL)을 라인상 또는 스폿상으로 하여 소정의 조도로 워크(W)상에 입사되는 조사 광학계(3520)과, 워크(W)를 탑재하여 X-Y 면내에서 원활하게 이동 가능함과 동시에 Z축의 주위에 회전 가능한 스테이지(3530)과, 워크(W)를 탑재한 스테이지(3530)를 조사 광학계(3520) 등에 대하여 필요량 만큼 이동시키는 구동 수단인 스테이지 구동 장치(3540)를 구비한다. 또, 조사 광학계(3520)는, 예를 들면 입사한 레이저광(AL)을 균일한 분포로 하는 호모지나이저(3520a)와, 호모지나이저(3520a)를 거친 레이저광(AL)을 소정의 빔 형상으로 좁히는 슬릿를 갖는 마스크(3520b)와, 마스크(3520b)의 슬릿상을 워크(W)상에 축소 투영하는 투영 렌즈(3520c)로 이루어지는 것으로 할 수 있다.
더욱이, 이 레이저 어닐 장치는 위치 계측 장치로서 상기 스테이지(3530) 및 스테이지 구동 장치(3540) 외에, 스테이지(3530)의 이동량을 광학적인 정보 또는 전기적인 정보로서 검출하는 이동량 계측 장치(3550)와, 워크(W)상의 얼라인먼트 마크를 결상하는 동축 타입으로 2눈 2배율의 투영 광학계(3560)와, 투영 광학계(3560)에 의해 투영된 비교적 저배율의 제1 배율의 상을 화상 신호로 변환하는 제1 촬상 장치(3571)와, 투영 광학계(3560)에 의해서 투영된 비교적 고배율의 제2 배율의 상을 화상 신호로 변환하는 제2 촬상 장치(3572)와, 제1 및 제2 촬상 장치(3571,3572)로부터 출력된 화상 신호에 적당한 신호 처리를 실시하는 화상 처리 장치(3580)와, 워크(W) 표면을 조명하기 위하여 투영 광학계(3560)에 조명광을 공급하는 조명용 램프(3565)를 구비한다. 또, 주 제어 장치(3585)는, 이 위치 계측 장치뿐만 아니라, 레이저 어닐 장치의 각부의 동작을 통괄적으로 제어한다.
투영 광학계(3560)에 대하여 보다 상세하게 설명한다. 이 투영 광학계(3560)는, 이미 기술한 바와 같이 동축 타입의 2눈 2배율의 광학계이고, 스테이지(3530)상의 워크(W) 상을 비교적 저배율인 제1 배율로 제1 촬상 장치(3571)상에 투영하는 제1 렌즈계(3561a, 3561b)와, 이것을 비교적 고배율인 제2 배율로 제2 촬상 장치(3572)상에 투영하는 제2 렌즈계(3562a,3562b)와, 워크(W)에서의 상광(IL)을 분할하여 제1 렌즈계(3561a,3561b) 및 제2 렌즈계(3562a,3562b)로 유도하는 하프 미러(3563)와, 레이저 광원(3510)과 다른 파장의 조명광을 발생하는 조명용 램프(3565)로부터의 조명광을, 케이블(3566)를 통하여 제2 촬상 장치(3572)의 광축상으로 유도하는 낙사(落射) 조명계(3567)를 구비한다.
여기에서, 제1 렌즈계(3561a,3561b)와, 제2 렌즈계(3562a,3562b)는 광축을 공유하는 동축 광학계로 되어 있다. 즉, 제1 렌즈계(3561a,3561b)의 광축에 따라 워크(W)에서 출사된 상광(IL)은, 하프 미러(3563)으로 반사된 경우에는 제1 촬상 장치(3571)의 화계 중심에 입사함과 동시에, 하프 미러(3563)를 투과한 경우에는 제2 렌즈계(3562a,3562b)의 광축을 따라 제2 촬상 장치(3572)의 화계 중심에 입사한다. 또한, 낙사 조명계(3567)도, 제2 렌즈계(3562a,3562b)와 동축으로 배치되어 있고, 제1 및 제2촬상 장치(3571,3572)의 화계(畵界)에 대응하는 워크(W)상의 영역이 한결같이 조명된다.
또, 제1 촬상 장치(3571)는, 고체 촬상 소자인 CCD 소자로 이루어져, 렌즈(3561b)와 함께 CCD 카메라(3573)를 구성한다. 이 CCD 카메라(3573)는, 렌즈(3561a)를 수용하는 경통(3575)의 일단에 고정되어 있다. 한편, 제2 촬상 장치(3572)도, CCD 소자로 이루어져, 렌즈(3562b)와 함께 CCD 카메라(3574)를 구성한다. 이 CCD 카메라(3574)는, 렌즈(3562a)를 수용하는 경통(3576)의 일단에 고정되어 있다. 양경통(3575, 3576)의 타단은, 하프 미러(3563)를 수납하는 케이스에 고정되어 있다.
도 50은, 도 49의 스테이지(3530)에 탑재되는 워크(W)의 표면에 형성되는 얼라인먼트 마크의 배치 일례를 도시하는 도면이다. 도시의 얼라인먼트 마크(M1, M 2)는 함께 명암 2값의 십자 패턴을 대소 조합한 2중 패턴이다.
제1 얼라인먼트 마크(M1)은, 워크(W) 4 구석의 1개소에 형성되어 있고, 제2 얼라인먼트 마크(M2)은 워크(W) 4 구석의 다른 개소에 형성되어 있다.
이와 같이, 제1 및 제2 얼라인먼트 마크(M1,M2)를 워크(W)상의 2개소에 형성하고 있는 것은, 워크(W)의 위치뿐만 아니라 워크(W)의 회전도 검출하기 위해서이다. 즉, 제1 및 제2 얼라인먼트 마크(M1,M2)의 위치 계측에 의해, 워크(W)상의 2개의 기준점의 좌표를 알 수 있게 되어, 워크(W)의 자세를 수정한 후에 워크(W)를 적정한 위치로 이동시키는 얼라인먼트가 가능하게 된다.
이어서, 도 49의 레이저 어닐 장치의 동작에 대하여 설명한다. 우선, 레이저 어닐 장치의 스테이지(3530)상에 워크(W)를 반송하여 탑재한다. 다음에, 어닐 용의 레이저광(AL)을 유도하는 조사 광학계(3520)에 대하여 스테이지(3530)상의 워크(W)를 얼라인먼트한다. 이어서, 조사 광학계(3520)에 대하여 스테이지(3530)를 적절히 이동시키면서, 레이저 광원(3510)으로부터의 레이저광(AL)을 라인상 또는 스폿상으로 하여 워크(W)상에 입사된다. 워크(W)상에는, 아모르퍼스 Si 등의 비정질 반도체의 박막이 형성되어 있어 레이저광(AL)의 조사에 의해 반도체가 어닐, 재결정화되어 전기적 특성이 뛰어난 반도체 박막을 제공할 수 있다.
조사 광학계(3520)에 대하여 스테이지(3530)상의 워크(W)를 얼라인먼트함에 있어서는, 위치 계측 장치를 이용한다. 즉, 스테이지(3530)를 스테이지 구동 장치(3540)에 의해서 적절히 이동시켜, 제1 얼라인먼트 마크(M1), 즉 글로벌 마크(M11) 및 파인 마크(M12)를 제1 촬상 장치(3571)의 화계내로 유도한다(스텝(S1)). 스테이지(3530)상의 워크(W) 위치는 일정한 반송 정밀도 범위(실시예에는, 0.5 내지 1 ㎜)안에 수습되어 있기 때문에, 투영 광학계(3560)에 대하여 스테이지(3530)를 적절히 이동시켜, 제1 렌즈계(3561a, 3561b)의 시야내, 즉 제1 촬상 장치(3571)의 화계(실시예에서는, 5 ㎜ 사이즈)중으로 제1 얼라인먼트 마크(M1)을 이동시킬 수 있다. 예를 들면, 워크(W)상의 제1 얼라인먼트 마크(M1)의 위치를 데이터로 하여 미리 입력하여 기억해 두면, 제1 얼라인먼트 마크(M1)의 위치 데이터에 근거하여, 스테이지(3530)를 적절히 이동시켜, 제1 촬상 장치(3571)의 화계 중에 제1 얼라인먼트 마크(M1)을 거의 확실히 넣은 것이 보증된다.
다음에, 제1 얼라인먼트 마크(M1) 중 우선 글로벌 마크(M11)에 대하여, 화상 처리 장치(3580)에서 저배율의 제1 촬상 장치(3571)로부터의 화상 신호를 처리함으로써 그 위치를 계측한다(스텝 S2). 또, 제1 촬상 장치(3571)의 화소와 스테이지(3530)상의 거리 사이에는 정밀한 대응 관계가 있어, 제1 촬상 장치(3571)의 중심, 즉 제1 렌즈계(3561a,3561b)의 광축으로부터 글로벌 마크(M11)의 중심까지의 거리 XY 성분을 정밀하게 판정할 수 있다.
이어서, 이동량 계측 장치(3550)로 이동량을 계측하면서 스테이지 구동 장치(3540)을 구동하여 스테이지(3530)를 XY면내에서 이동시킴으로써, 제1 렌즈계(3561a, 3561b)의 광축에 글로벌 마크(M11) 의 중심을 일치시킨다(스텝 S3). 또, 이동량 계측 장치(3550)가 계측하는 이동량은, 스텝 S2에서 구한 거리에 대응한다. 이 때, 글로벌 마크(M11)에 의한 위치 결정 정밀도는, 실시예에서는 10 ㎛ 까지 정도이다. 이상과 같은 서치 얼라인먼트에 의해, 글로벌 마크(M11)의 중심에 배치된 파인 마크(M12)를 고배율의 제2 촬상 장치(3572)의 화계(실시예에서는, 0.5 ㎜ 사이즈) 중으로 확실히 이동시킬 수 있다.
이어서, 파인 마크(M12)에 대하여, 화상 처리 장치(3580)에서 제2 촬상 장치(3572)로부터의 화상 신호 처리함으로써 그 위치를 계측한다(스텝 S4). 또, 제2 촬상 장치(3572)의 화소와 스테이지(3530)상의 거리 사이에는 정밀한 대응 관계가 있고, 제2 촬상 장치(3572)의 중심, 즉 제2 렌즈계(3562a,3562b)의 광축으로부터 파인 마크(M12)의 중심까지의 거리를 정밀하게 판정할 수 있다. 파인 마크(M12)에 의한 위치 계측 정밀도는, 실시예에서는 1 ㎛ 까지 정도이다.
여기에서, 파인 마크(M12)의 위치를 계측하고 있는 투영 광학계(3560)는, 레이저 어닐용의 조사 광학계(3520)에 대하여 소정의 위치 관계에 있고, 이 위치 관계는 미리 계측되거나 조정되어 있다. 따라서, 제2 렌즈계(3562a,3562b)의 광축으로부터 파인 마크(M12)의 중심까지의 거리를, 상기 위치 관계에 근거하여, 레이저 어닐용 조사 광학계(3520)로부터 파인 마크(M12)의 중심까지의 거리로 환산할 수 있다(스텝 S5). 이상으로부터, 제1 얼라인먼트 마크(M1)가 정밀한 좌표 결정이 가능하게 된다.
이상의 계측(step S1 내지 S5)은, 제2 얼라인먼트 마크(M2)에 대해서도 동일하게 이루어져 제2 얼라인먼트 마크(M2)에 대하여도 정밀한 좌표 결정이 가능하게 된다(스텝 S6). 또, 실시예에서는, 제2 촬상 장치(3572)의 1 화소를 1 ㎛로 하여, 1㎛ 정도의 정밀도로 위치 검출이 이루어졌다.
이어서, step S5, S6에서 얻은 제1 및 제2 얼라인먼트 마크(M1,M2)가 정밀한 좌표 측정 결과에 근거하여, 조사 광학계(3520)에 대하여 워크(W)를 얼라인먼트한다(스텝 S7). 구체적으로는, 조사 광학계(3520)를 기준으로 한 제1 및 제2 얼라인먼트 마크(M1,M2)의 파인 마크에 대한 좌표 측정값에 근거하여, 워크(W)의 위치와 회전을 구하고, 이 결과로부터 레이저 어닐의 개시에 있어서 필요해지는 위치에 필요한 회전 자세로 워크(W)를 배치한다.
이어서, 조사 광학계(3520)로부터 조사되는 레이저 스폿 또는 레이저 라인 등의 레이저광(AL)을, 스테이지 구동 장치(3540)및 이동량 계측 장치(3550)를 사용하여 워크(W)상에서 주사시키면서, 워크(W)상의 아모르퍼스 박막을 재결정화시켜, 워크(W)상에 다결정 박막을 순차 형성한다. 이 때, 이동량 계측 장치(3550)로 이동량을 관측하면서 스테이지 구동 장치(3540)에 의해 스테이지(3530)를 X 방향 또는 Y 방향으로 이동시킴으로써, 레이저광(AL)의 주사가 가능해진다. 또한, 조사 광학계(3520)에 주사 기능을 갖게 하는 것, 예를 들면 조사 광학계(3520) 내부의 마스크(3520b)를 이동시킴에 따라서도 레이저광(AL)의 주사가 가능해진다.
이상에서 설명한 제1 실시예의 위치 계측 방법에 의하면, 워크(W)를 스테이지(3530)상에 반송 탑재한 후에, 글로벌 마크(M11)을 사용한 서치 얼라인먼트에 의한 워크(W)의 이동만으로 고정밀도의 위치 계측이 가능해져, 워크(W)의 위치 계측이 신속한 것이 된다. 또한, 글로벌 마크(M11)과 파인 마크(M12)의 윤곽을 상사형(相似刑)으로 하고 있기 때문에, 양쪽 마크(M11,Ml2)를 계측할 때의 화상 계측 알고리즘을 거의 공통으로 할 수 있으므로, 연산 처리 등을 간소화할 수 있다.
도 51는, 스테이지(353O)(도 49 참조) 위에 탑재되는 워크(W)의 표면에 형성되는 얼라인먼트 마크의 배치를 설명하는 사시도이다.
제1 및 제2 글로벌 마크(M111,M211)은, 워크(W) 4 구석의 어느 하나에 각각 형성되어 있다. 양쪽 글로벌 마크(M111,M211)은, 워크 X축에 대하여 좌표가 같고, 워크 Y축에 대하여 좌표가 다르다. 한편, 제1 및 제2 파인 마크(M112,M212)는, 워크(W)상의 가공 영역(PA)의 근방에 각각 배치되어 있다. 양쪽 fine 마크(M112,M212)는, 워크 X축에 대하여 좌표가 같고, 워크 Y축에 대하여 좌표가 다르다. 또, 가공 영역(PA)는, 투영 렌즈(3520c)에 의해서 마스크(3520b)의 슬릿상 등을 투영해야 할 영역으로, 워크(W)상에 적당한 간격으로 배열되어 있다(도면에서는, 2개만 예시).
제1 및 제2 글로벌 마크(M111,M211)의 위치 계측에 의해, 워크(W) 주변의 2개의 기준점의 좌표를 알 수 있게 되어, 워크(W)의 자세를 수정한 후, 제1 및 제2 파인 마크(M112,M212)의 각각을 고배율측의 제2 촬상 장치(3572)(도 49참조)의 화계에 넣은 서치 얼라인먼트(글로벌 얼라인먼트)이 가능하게 된다. 한편, 제1 및 제2 파인 마크(M112,M212)의 위치 계측에 의해, 이들에 대응하는 가공 영역(PA) 주변의 2개 기준점의 정밀한 좌표를 알기 때문에, 워크(W)를 적절히 이동시켜 마스크(3520b)의 슬릿상을 가공 영역(PA) 상에 정밀하게 투영할 수 있다.
도 52는, 본 발명에 관한 레이저가공 장치의 한 실시예인 레이저 어닐링 장치의 구조를 개념적으로 설명하는 도면이다.
이 레이저 어닐링 장치는, 유리 기판상에 아모르퍼스상 Si 등의 반도체 박막을 형성한 워크(W)를 열처리하기 위한 것으로, 이러한 반도체 박막을 가열하기 위한 엑시머 레이저 그 밖의 레이저광(AL)을 발생하는 레이저 광원(5310)과, 이 레이저광(AL)을 라인상(정확에는 미세한 구형)으로 하여 소정의 조도로 워크(W)상에 입사시키는 조사 광학계(5320)와, 워크(W)를 탑재하여 워크(W)를 X-Y 면내에서 원활하게 병진 이동시킬 수 있음과 동시에 Z축 주위에 회전 이동시킬 수 있는 프로세스 스테이지 장치(5330)와, 프로세스 스테이지 장치(5330)의 동작을 제어하는 스테이지제어 장치(5340)와, 레이저 어닐링 장치 각부의 동작을 통괄적으로 제어하는 주 제어 장치(53100)를 구비한다.
조사 광학계(5320)는, 입사한 레이저광(AL)을 균일한 분포로 하는 호모지나이저(5320a)와, 호모지나이저(5320a)를 거친 레이저광(AL)을 구형의 빔 형상으로 좁히는 슬릿를 갖는 마스크(5320b)와, 마스크(5320b)의 슬릿상을 워크(W)상에 축소투영하는 투영 광학계인 투영 렌즈(5320c)로 이루어진다. 이 중 마스크(5320b)는, 마스크 스테이지 장치(5350)와 교환 가능하게 유지되어 있고, X-Y 면내에서 원활하게 병진 이동 가능하게 되어 있음과 동시에 Z축 주위에 회전 이동 가능해져 있다. 마스크 스테이지 장치(5350)의 동작은, 스테이지 제어 장치(5360)에 의해 제어되고 있고, 마스크(5320b)의 병진 또는 회전 이동의 타이밍 또는 그 이동량을 감시할 수 있도록 되어 있다. 또, 이들 마스크 스테이지 장치(5350)및 스테이지 제어 장치(5360)는 마스크 구동 장치를 구성한다.
프로세스 스테이지 장치(5330)은, 프로세스 챔버(5370)내에 수용되어 있다. 조사 광학계(5320)로부터의 레이저광(AL)은, 윈도(5370a)를 통하여 프로세스 챔버(5370)내에 배치한 프로세스 스테이지 장치(5330)에 보유된 워크(W)상에 조사된다. 프로세스 스테이지 장치(5330)의 병진 이동량 또는 회전 이동량은, 스테이지제어 장치(5340)에 의해 감시되고 있다.
또, 프로세스 챔버(5370)의 상면에 마련한 윈도(5370a)의 구석 부분 바로 위에는, 워크 얼라인먼트 카메라(5380)가 고정되어 있다. 이 워크 얼라인먼트 카메라(5380)는, 프로세스 스테이지 장치(5330)상에 탑재된 워크(W)의 위치 어긋남을 검출하기 위한 것으로, 결상 광학계와 CCD 등의 촬상 소자로 이루어진다. 워크 얼라인먼트 카메라(5380)의 화상 신호 출력은, 화상 처리 장치(5381)로 처리된다. 화상 처리 장치(5381)이 출력하는 신호는, 주 제어 장치(53100)에 입력되고, 조사 광학계(5320)를 구성하는 투영 렌즈(5320c)에 대하여 워크(W)를 위치 맞춤할 때에 이용된다.
또한, 마스크(5320b)의 구석 부분 바로 아래에는, 촬상 장치인 마스크 얼라인먼트 카메라(5384)가 고정되어 있다. 이 마스크 얼라인먼트 카메라(5384)는, 마스크 스테이지 장치(5350)에 보유된 마스크(5320b)의 위치 어긋남을 검출하기 위한 것으로, 그 화상 신호 출력은, 화상 처리 장치(5385)로 처리되고, 촬영한 화상은 표시 장치인 디스플레이(5886)에 표시되어 워크(W)에 대하여 마스크(5320b)를 위치 맞춤할 때에 이용된다.
여기에서, 마스크 스테이지 장치(5350) 또는 촬영 렌즈(5320C)는, 프로세스 챔버(5370)로부터 연장되는 가대(5390)에 고정되어 있다. 또한, 마스크 얼라인먼트 카메라(5384)도 지지 부재(5391)를 통하여 가대(5390)에 고정되어 있다. 이 지지 부재(5391)는, 상세한 설명은 생략하지만, 마스크 얼라인먼트 카메라(5384)의 마스크 스테이지 장치(5350)에 대한 위치를 조정할 수 있도록 되어 있다. 즉, 마스크 얼라인먼트 카메라(5384)는, X-Y 면내에서 병진 이동하여, Z축 주위에 회전 이동함과 동시에, 필요한 조절 이동이 종료된 후는, 가대(5390)에 대하여 튼튼히 고정할 수 있게 되어 있다.
이상의 장치에서는, 마스크(5320b)를 마스크 스테이지 장치(5350)에 의해서 투영 렌즈(5320C)에 대하여 이동시키면서, 디스플레이(5386)에 의해서 마스크 얼라인먼트 마크(AM)의 상을 표시하기 때문에, 마스크(5320b)의 위치를 시각적으로 확인하면서 실시간으로 정밀하고 또한 확실한 위치 결정을 행할 수 있다.
이하에 본 발명의 효과를 열거한다.
(1) 광 마스크상에 형성한 복수의 패턴을 반도체 박막에 투영 노광하여, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 노광되어야 할 빛을, 상기 광 마스크상의 소정의 영역에서, 그 영역 내의 빛의 강도 분포가 해당 영역 내의 빛의 평균 강도의 ±11.2 % 이내의 범위에 포함되도록 균일화시키는 기구를 가짐으로써, 패턴이 노광되는 목적으로 하는 영역에서, 균일성이 높은 반도체 박막의 개질을 행할 수 있었다. LCD와 같은 이미징 디바이스로의 응용시에도 광원의 강도 편차에 기인한 기판 대미지, 그것에 의한 화상 품질의 저하를 방지하는 것이 가능해졌다.
(2) 광 마스크상에 형성한 노광 패턴을, 기판 스테이지에 보유된 기판상의 반도체 박막에 투영 노광하여, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 광 마스크 또는 기판 스테이지를 개별 또는 동시에 구동함으로써, 노광 패턴을 순차 주사하게 한 결과, 기판상의 임의 영역을 순차 고 스루풋으로 개질하는 것이 가능해졌다. LCD와 같은 이미징 디바이스로의 응용시에도 광원의 강도 편차에 기인한 기판 대미지, 그것에 의한 화상 품질의 저하를 방지하는 것이 가능해졌다. 또한, 트랩 준위 밀도가 1012cm-2보다 낮은 값을 나타내는 결정화 실리콘막의 제공이 가능하게 되었다.
(3) 광 마스크상에 형성한 노광 패턴을 반도체 박막에 투영 노광하여 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 노광 패턴을 반도체 박막에 투영 노광할 때의, 노광 패턴의 반도체 박막의 상기 소정의 영역으로의 초점 맞춤을 행하는 초점 맞춤 기구를 가짐으로써, 개질 처리의 신뢰성, 재현성이 높은 반도체 박막 형성 장치를 제공할 수 있었다.
(4) 광 마스크상에 형성한 패턴을 반도체 박막에 노광 빔에 의해 투영 노광하여 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 노광 빔의 반도체 박막에 대한 경사를 보정하는 경사 보정 기구를 가짐으로써, 개질 처리의 신뢰성, 재현성이 높은 반도체 박막 형성 장치를 제공할 수 있었다.
(5) 광 마스크상에 형성한 패턴을 반도체 박막에 노광 빔에 의해 투영 노광하여 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 반도체막이 퇴적된 기판상에 형성된 마크에 대하여, 노광 빔의 위치 맞춤을 행하는 얼라인먼트 기능을 가짐으로써, 목적으로 하는 영역으로 ㎛ 오더 이상의 위치 정밀도를 갖게 하여 노광하는 것을 가능하게 하였다. LCD와 같은 이미징 디바이스에의 응용시에도 광원의 강도 편차에 기인한 기판 대미지, 그것에 의한 화상 품질의 저하를 방지하는 것이 가능해졌다.
(6) 광 마스크상에 형성한 패턴을 반도체 박막에 투영 노광하여 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 반도체막이 퇴적된 기판을 스테이지상에 보유하는 기능을 가짐으로써, 개질 처리의 신뢰성, 재현성이 높은 반도체 박막 형성 장치를 제공할 수 있었다.
(7) 광 마스크상에 형성한 패턴을 반도체 박막에 노광 빔에 의해 투영 노광하여 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 복수의 레이저광을 상기 노광 빔으로서 합성하는 합성 기구를 가짐으로써, 패턴이 노광되는 목적으로 하는 영역에서, 균일성이 높은 고품질의 반도체 박막의 개질을 행할 수 있었다. 더불어, 기판상의 임의의 영역을 순차 고 스루풋으로 개질하는 것이 가능하게 되었다.
(8) 상기 (7)에 기재한 반도체 박막 형성 장치에 있어서, 상기 복수의 레이저광이 제1 및 제2 레이저광이고, 상기 합성 기구는, 제1 레이저광에 대하여 제2 레이저광이 연장하여 반도체 박막에 조사되도록, 제1 및 제2 레이저광을 상기 노광 빔으로서 합성함으로써, 패턴이 노광되는 목적으로 하는 영역에서, 균일성이 높은 고품질의 반도체 박막의 개질을 행할 수 있었다. 더불어, 기판상의 임의의 영역을 순차 고 스루풋으로 개질하는 것이 가능하게 되었다.
(9) 광 마스크상에 형성한 패턴을 기판상의 반도체 박막에 투영 노광하여, 반도체 박막의 소정의 영역을 개질하는 반도체 박막 형성 장치에 있어서, 대기에 노출하지 않고서 별도의 처리실로 기판을 반송하는 기구를 갖는 반도체 박막 형성 장치를 제공함으로써, 단결정 반도체 박막과 동등한 고품질이며 화학적으로 활성인 표면을 갖는 반도체 박막을, 불순물 또는 쓰레기에 의한 오염을 받지 않고 다음 공정으로 보내는 것이 가능해져, 세정 공정의 삭감에 의한 반도체 장치 제조 비용의 저감 또는 각 진공 장치내에서의 배기 시간이나 세정 시간의 삭감에 의한 스루풋을 향상시킬 수가 있었다.
(10) 상기 (9)에 기재한 반도체 박막 형성 장치에 있어서, 상기 다른 처리실이 기판에 절연막을 형성하기 위한 절연막 형성실인 것을 특징으로 하는 반도체 박막 형성 장치를 제공함으로써, 단결정 반도체 박막과 동등한 고품질이며 화학적으로 활성인 표면을 갖는 반도체 박막을, 불순물이나 쓰레기에 의한 오염을 받지 않고 게이트 절연막 형성 공정으로 보낼 수 있어, 실리콘과 실리콘 열산화와의 계면에 형성되는 양호한 반도체-절연체 계면을 갖는 반도체 디바이스 제조를, 60O ℃ 이하의 저온 프로세스로 실현하였다. 트랩 준위 정밀도가 1012cm-2보다 낮은 값을 나타내는 결정화 실리콘막의 제공이 가능하게 됨과 동시에 저계면 준위 밀도를 나타내는 실리콘-절연막 계면의 제공을 가능하게 하였다.
(11) 상기 (9)에 기재의 반도체 박막 형성 장치에 있어서, 상기 다른 처리실이 기판에 반도체막을 형성하기 위한 반도체막 형성실인 것을 특징으로 하는 반도체 박막 형성 장치를 제공함으로써, 단결정 반도체 박막과 동등한 고품질 또한 화학적으로 활성인 표면을 갖는 반도체 박막을 형성하기 위하여 필요한 상기 반도체막을, 불순물이나 쓰레기에 의한 오염을 받지 않고 광 조사 공정에 보내는 것이 가능해져, 세정 공정의 삭감에 의한 반도체 장치 제조 비용의 저감 또는 각 진공 장치내에서의 배기 시간이나 세정시간의 삭감에 의한 스루풋을 향상시킬 수 있었다.
(12) 상기 (9)에 기재한 반도체 박막 형성 장치에 있어서, 상기 다른 처리실이 기판에 가열 처리를 실시하기 위한 가열 처리실인 것을 특징으로 하는 반도체 박막 형성 장치를 얻을 수 있었다.
(13) 상기 (9)에 기재한 반도체 박막 형성 장치에 있어서, 상기 다른 처리실이 기판에 플라즈마 처리를 실시하기 위한 플라즈마 처리실인 것을 특징으로 하는 반도체 박막 형성 장치를 제공함으로써, 단결정 반도체 박막과 동등한 고품질이며 화학적으로 활성인 표면을 갖는 반도체 박막을, 불순물이나 쓰레기에 의한 오염을 받지 않고 다음 공정으로 보내는 것이 가능해져, 세정 공정의 삭감에 의한 반도체 장치 제조 비용의 저감 또는 각 진공 장치내에서의 배기 시간이나 세정 시간의 삭감에 의한 스루풋을 향상시킬 수 있었다.
(14) 상기 (9)에 기재한 반도체 박막 형성 장치에 있어서, 상기 처리실이 상기 광 마스크상에 형성한 패턴을 상기 기판상의 반도체 박막에 레이저 빔에 의해 투영 노광하여 반도체 박막의 상기 소정의 영역을 개질하기 위한 레이저처리실이고, 상기 다른 처리실이 또 하나의 레이저 처리실인 것을 특징으로 하는 반도체 박막 형성 장치를 제공함으로써, 단결정 반도체 박막과 동등한 고품질이며 화학적으로 활성인 표면을 갖는 반도체 박막을, 불순물이나 쓰레기에 의한 오염을 받지 않고 다음 공정으로 보내는 것이 가능해져, 세정 공정의 삭감에 의한 반도체 장치 제조 비용의 저감 또는 각 진공 장치내에서의 배기 시간이나 세정 시간의 삭감에 의한 스루풋을 향상시킬 수 있었다.
(15) 상기 (9) 내지 (13)에 기재한 반도체 박막 형성 장치에 있어서, 상기 다른 처리실은, 상기 다른 처리실내의 소정의 영역에 플라즈마를 발생시키기 위한 플라즈마 발생원을 가지며, 상기 다른 처리실내의 상기 소정의 영역 외의 영역에 기판이 배치되는 것을 특징으로 하는 반도체 박막 형성 장치를 제공함으로써, 불순물이나 쓰레기에 의한 오염을 받지 않고 다음 공정으로 보내진 단결정 반도체 박막과 동등한 고품질이며 화학적으로 활성인 표면을 갖는 반도체 박막으로의 플라즈마 대미지를 억제하는 것을 실현하였다.
(16) 상기 (13)에 기재한 반도체 박막 형성 장치에 있어서, 상기 다른 처리실은 상기 다른 처리실내의 소정의 영역에 플라즈마를 발생시키기 위한 플라즈마 발생원을 가지며, 상기 다른 처리실은, 상기 소정의 영역의 상기 플라즈마에 의해 여기된 가스와, 상기 소정의 영역을 통하지 않고 상기 다른 처리실내에 도입되는 별도의 가스를 반응시킴으로써, 상기 기판에 상기 플라즈마 처리를 실시하는 것을 특징으로 하는 반도체 박막 형성 장치를 제공함으로써, 실리콘과 실리콘 열산화와의 계면에 형성되는 양호한 반도체-절연체 계면을 갖는 반도체 디바이스 제조를, 400 ℃ 이하의 저온 프로세스로 실현하였다.
도 1은, 종래의 엑시머 레이저 어닐 장치의 개념도.
도 2는, 종래 및 본 발명의 레이저 운전 방법을 설명하기 위한 타이밍챠트.
도 3은, 레이저 펄스 강도의 펄스간 분포의 예를 도시한 도면.
도 4는, 실리콘막 온도 변화의 예를 도시한 도면.
도 5는, 레이저 펄스 파형의 일예를 도시한 도면.
도 6은, 조사 강도와 냉각 속도, 비정질화가 발생하는 냉각 속도를 도시한 도면.
도 7은, 실리콘 박막 온도 변화의 계산 결과예를 도시한 도면.
도 8은, 각 조사 강도에 대한 실리콘 박막의 결정 형태를 도시하는 도면.
도 9는, 제2 펄스 투입후의 최대 냉각 속도와 응고점 근방의 냉각 속도를 도시한 도면.
도 10은, 평균 결정 입자 직경의 프로세스 조건 의존성을 도시한 도면.
도 11은, 본 발명의 노광장치의 실시예(전체)를 설명하기 위한 도면.
도 12는, 본 발명의 노광장치의 실시예(얼라인먼트 방법)을 설명하기 위한 도면.
도 13은, 본 발명의 노광장치의 실시예(마스크 투영법)을 설명하기 위한 도면.
도 14는, 본 발명의 노광장치의 실시예(제어예)를 설명하기 위한 타이밍챠트.
도 15는, 본 발명의 노광장치, 반송실, 플라즈마 CVD실의 측면 단면도.
도 16은, 본 발명의 노광장치, 반송실, 플라즈마 CYD실 등 복합장치의 평면도.
도 17은, 본 발명의 플라즈마 CVD실의 측면 단면도.
도 18은, 본 발명의 TFT 제조 프로세스를 설명하기 위한 단면도.
도 19는, 본 발명의 얼라인먼트 마크를 사용한 TFT 제조 프로세스를 설명하기 위한 단면도.
도 20은, 본 발명의 얼라인먼트 마크 형성을 포함하는 TFT 제조 프로세스를 설명하기 위한 단면도.
도 21은, 본 발명에 의한 복수의 광원의 펄스 발진 지연 제어의 실시예를 설명하기 위하여 사용하는 도면.
도 22는, 본 발명에 의한 복수의 광원의 펄스 발진 지연의 예를 설명하기 위하여 사용하는 도면.
도 23은, 본 발명에 의한 초점 조절 장치를 장착한 레이저 어닐 장치를 도시한 도면.
도 24는, 본 발명에 의한 복합기의 개략 구성을 도시한 도면.
도 25는, 도 24에 있어서의 렌즈를 확대하여 도시한 도면.
도 26은, 도 24에 있어서의 프로세스 챔버와 제진대와의 관계를 도시한 도면.
도 27은, 도 26에 있어서의 제진대(除振臺)의 구조를 확대하여 도시한 도면.
도 28은, 본 발명에 의한 진공내 리니어 액추에이터 구동 기구의 종단면도.
도 29는, 도 28의 선 C-C에 의한 종단면도.
도 30은, 도 28의 구동 기구의 개략 구성을 설명하기 위한 도면.
도 31은, 본 발명에 의한 마스크 스테이지 구동 기구의 평면도.
도 32는, 도 31의 선B-B에 의한 종단면도.
도 33은, 본 발명에 의한 공기압식 틸트 기구를 구비한 스테이지 장치를, 스테이지를 제외한 상태에서 도시하는 평면도.
도 34는, 본 발명에 의한 공기압식 틸트 기구의 측면도.
도 35는, 본 발명에 의한 귀환광 제거방법과 장치를 설명하기 위한 도면.
도 36은, 본 발명의 실시예의 구성을 도시하는 종단면도.
도 37은, 격벽부에 형성된 각종의 구멍 확대 단면도.
도 38은, 본 발명의 실시예의 구성을 도시하는 종단면도.
도 39는, 본 발명의 실시예의 구성을 도시하는 종단면도.
도 40은, 본 발명에 관련되는 DPR식에 의한 참가 규소 박막의 성막장치의 구성을 도시하는 블록도.
도 41은, 재료 가스의 공급량의 변화상태의 일예를 도시하는 그래프.
도 42는, 재료 가스의 공급량의 변화상태의 다른 예를 도시하는 그래프.
도 43은, 본 발명의 실시예의 박막 작성 장치의 측단면 개략도.
도 44는, 도 43에 도시하는 장치의 반송 챔버에 설치된 개질종 공급부(8133)의 구성을 도시하는 측단면 개략도.
도 45는, 본 발명에 의한 복수 빔의 합성 광학계의 실시예를 설명하기 위하여 사용하는 도면.
도 46은, 본 발명에 의한 복수 빔의 합성 광학계의 실시예를 설명하기 위하여 사용하는 도면.
도 47은, 본 발명에 의한 마스크 스테이지 기구의 실시예를 설명하기 위하여 사용하는 도면.
도 48은, 본 발명에 의한 마스크 스테이지기구의 실시예를 설명하기 위하여 사용하는 도면.
도 49는, 본 발명에 의한 구형 빔용 정밀 얼라인먼트 장치와 방법을 설명하기 위하여 사용하는 도면.
도 50은, 본 발명을 설명하기 위하여 사용하는 얼라인먼트 마크 배치예를 도시한 도면.
도 51은, 얼라인먼트 마크의 배치를 설명하는 사시도.
도 52는, 본 발명의 실시예인 레이저 어닐링 장치의 구조를 도시하는 도면.
* 도면의 주요 부분에 대한 부호의 설명 *
5410: 레이저 광원 5420: 조사 광학계
5421: 호모지나이저 5422: 마스크 조립체
5522a: 마스크 5522b: 반사 부재
5522c: 필드 렌즈 5423: 투영 렌즈
5525: 반사 미러 5526: 빔 댐퍼
5430: 프로세스 스테이지 장치 5440: 마스크 스테이지 장치
5450: 프로세스 챔버 5450a: 윈도
5465: 가대 LS: 구형 슬릿
OA: 광축 SS: 구형 슬릿
W: 워크 5310: 레이저 광원
5320: 조사 광학계 5320a: 호모지나이저
5320b: 마스크 5320c: 투영 렌즈
5330: 프로세스 스테이지 장치 5340: 스테이지 제어 장치
5350: 마스크 스테이지 장치 5360: 스테이지 제어 장치
5370: 프로세스 챔버 5380: 워크 얼라인먼트 카메라
5384: 마스크 얼라인먼트 카메라 5386: 디스플레이
53100: 주 제어 장치 AL: 레이저광
AM: 마스크 얼라인먼트 마크 W: 워크
5201: 스테이지 5102: 베이스
5202-1: 지지대 5103: 판 스프링
5104-1 내지 5104-3: 벨로즈 실린더 5105-1 내지 5105-3: 변위 센서
4601: 베이스 플레이트1 47O2: 로터리 인코더
4703: 크로스 롤러 베이링 4704-1: 회전축
4604: θ축 가동부 4605: θ축 구동 모터
4706: Y축 리니어 베어링 4707: Y축 가동부
4608: Y축 리니어 모터 4709: Y축 리니어 인코더
4610: X축 가동부 4710-1: 보스
4611: 리프트용 에어 베어링 4612: X축 리니어 인코더
4713: X축 리니어 모터 4714: 마스크
4615, 4616: 요우 가이드용 에어 베어링 4617: 인장 스프링
4718: 마그넷 4620: 프리로드용의 피스톤
4301: 워크 4302: 스테이지
4403: 트롤리 4304: 수냉판
4306: X축 베이스 4307: X축 리니어 베어링
4408: X축 리니어 모터 4309: 스테이지 베이스
4410: X축 리니어 인코더 4314: Y축 베이스
4315, 4320: Y축 리니어 베어링 4318, 4323: Y축 리니어 모터
4316, 4321: Y축 리니어 인코더 43100: 진공 챔버
3901: 유리 기판 3910: CVD 장치
3920: 레이저 어닐링 장치 3921: 프로세스 챔버
3922: 프로세스 스테이지 3923: 투과창
3924: 가대 3925: 레이저 조사계
3926: 레이저 발진기 3927: 반사 미러
3928: 마스크 스테이지 3929: 렌즈 광학계
3940: 제진실 4242: 에어식 댐퍼
4244: 콤프레셔 4246: 피스톤부
4247: 제1 스토퍼 부재 4148: 제2 스토퍼 부재
4149: 위치 검출기 3950: 마루
3960: 기판 반송 로봇 3970: 트랜스퍼 챔버
3971: 벨로즈 42100: 제어 장치
3710: 레이저 광원 3720: 조사 광학계
3730: 스테이지 3740: 스테이지 구동 장치
3742: 틸트장치 3744: 병진 장치
3750: 이동량 계측 장치 3760: 경사 계측 장치
3770: 비접촉 변위계 3771: 투광부
3772: 수광부 3780: 주 제어 장치
3790: 챔버 DL: 검사광
RL: 반사광 T: 계측 타깃
W: 워크 θX, θY: 틸트각
3510: 레이저 광원 3520: 조사 광학계
3530: 스테이지 3540: 스테이지 구동 장치
3550: 이동량 계측 장치 3560: 투영 광학계
3561a, 3561b: 제1 렌즈계 3562a, 3562b: 제2 렌즈계
3565: 조명용 램프 3571, 3572: 제1, 제2 촬상 장치
3573, 3574: 카메라 3580: 화상 처리 장치
3585: 주 제어 장치 IL: 상광
M1, M2: 제1 및 제2 얼라인먼트 마크 3310: 레이저 광원
3320: 조사 광학계 3321: 호모지나이저
3322: 마스크 조립체 3322a: 마스크
3322b: 반사 부재 3322c: 필드 렌즈
3422d: 마스크 홀더 본체 3422g: 함몰 오목부
3323: 투영 렌즈 3425: 고정 너트
3425g: 함몰 오목부 3330: 프로세스 스테이지 장치
3340: 마스크 스테이지 장치 3340a: 삽입구
3350: 프로세스 챔버 3350a: 윈도
3365: 가대 3370: 지그
3470a: 본체 3470b: 지지 부재
3470c: 핸들 3471: 걸기 부재
AL: 레이저광 TP1: 테이퍼드 외면
TP2: 테이퍼드 내면 W: 워크
3110: 레이저 발생부 3111: 제1 레이저 발진 장치
3112: 제2 레이저 발진 장치 3113: 발진 제어 장치
3120: 레이저 조사 처리부 3121: 투영 광학계
3122: 스테이지 3123: 스테이지 구동계
3130: 주 제어 장치 3151: 기준 펄스 발생 회로
3152: 딜레이 시간 설정 회로 3153: 연산 회로
3154: 트리거 펄스 발생 회로 3155: 딜레이 시간 검출 회로
3161, 3162: 포토 센서 3163, 3164: 앰프
3170: 광 합성계 W: 기판
3210: 스테이지 3221, 3222: 레이저 광원
3230: 합성 광학계 3231, 3232: 나이프 에지 미러
3240: 조사 광학계 3241: 호모지나이저
3242: 마스크 3243: 투영 렌즈
3250: 마스크 구동 장치 3260: 스테이지 구동 장치
3271: 다이버전스 광학계 3272: 텔레스코프 광학계
3280: 위치 검출 장치 32100: 주 제어 장치
AB: 선조 빔 CL: 합성광
LB1: 제1 빔 LB2: 제2 빔
W: 워크 7111: 유리 기판
7112: 진공용기 7114: 격벽부
7115: 플라즈마 생성 공간 7116: 성막 처리 공간
7117: 기판 보유 기구 7120: 전극
7123: 도입 파이프 7124: 내부 공간
7125: 관통 구멍 7126: 확산 구멍
7127: 균일판 7128: 도입 파이프
7333: 판형 절연 부재 7423: 제2도 입 파이프
7512: 성막용 재료 가스 공급 장치 7513: 매스플로우 컨트롤러(MFC)
7514: 상위 컨트롤러

Claims (22)

  1. 광 마스크 상에 형성한 복수의 패턴을 반도체 박막에 투영 노광하여, 반도체 박막의 소정의 영역을 개질(改質)하는 반도체 박막 형성 장치에 있어서,
    노광되어야 하는 광(光)을, 상기 광 마스크 상의 소정의 영역에서, 상기 영역 내의 광의 강도 분포가 상기 영역 내의 광의 평균 강도의 ±11.2 % 이내의 범위에 포함되도록, 균일화시키는 기구를 구비하고 있는 것을 특징으로 하는 반도체 박막 형성 장치.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
KR10-2000-0038851A 1999-07-08 2000-07-07 반도체 박막 형성 장치 KR100499961B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP11194024A JP2001023918A (ja) 1999-07-08 1999-07-08 半導体薄膜形成装置
JP99-194024 1999-07-08

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0025118A Division KR100437920B1 (ko) 1999-07-08 2003-04-21 반도체 박막 형성 장치 및 반도체 박막 개질 장치

Publications (2)

Publication Number Publication Date
KR20010029904A KR20010029904A (ko) 2001-04-16
KR100499961B1 true KR100499961B1 (ko) 2005-07-11

Family

ID=16317686

Family Applications (2)

Application Number Title Priority Date Filing Date
KR10-2000-0038851A KR100499961B1 (ko) 1999-07-08 2000-07-07 반도체 박막 형성 장치
KR10-2003-0025118A KR100437920B1 (ko) 1999-07-08 2003-04-21 반도체 박막 형성 장치 및 반도체 박막 개질 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR10-2003-0025118A KR100437920B1 (ko) 1999-07-08 2003-04-21 반도체 박막 형성 장치 및 반도체 박막 개질 장치

Country Status (6)

Country Link
US (3) US6861614B1 (ko)
EP (2) EP1067593B1 (ko)
JP (1) JP2001023918A (ko)
KR (2) KR100499961B1 (ko)
DE (1) DE60041166D1 (ko)
TW (1) TW479367B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101810062B1 (ko) 2011-10-14 2017-12-19 삼성디스플레이 주식회사 레이저 결정화 장치 및 레이저 결정화 방법

Families Citing this family (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6555449B1 (en) 1996-05-28 2003-04-29 Trustees Of Columbia University In The City Of New York Methods for producing uniform large-grained and grain boundary location manipulated polycrystalline thin film semiconductors using sequential lateral solidfication
JP3491571B2 (ja) 1999-07-13 2004-01-26 日本電気株式会社 半導体薄膜の形成方法
JP3751772B2 (ja) 1999-08-16 2006-03-01 日本電気株式会社 半導体薄膜製造装置
US6573531B1 (en) 1999-09-03 2003-06-03 The Trustees Of Columbia University In The City Of New York Systems and methods using sequential lateral solidification for producing single or polycrystalline silicon thin films at low temperatures
JP4514861B2 (ja) * 1999-11-29 2010-07-28 株式会社半導体エネルギー研究所 レーザ照射装置およびレーザ照射方法および半導体装置の作製方法
US6830993B1 (en) 2000-03-21 2004-12-14 The Trustees Of Columbia University In The City Of New York Surface planarization of thin silicon films during and after processing by the sequential lateral solidification method
JP4599032B2 (ja) 2000-10-10 2010-12-15 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク 薄い金属層を処理する方法及び装置
US6770562B2 (en) 2000-10-26 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
US6961117B2 (en) 2000-11-27 2005-11-01 The Trustees Of Columbia University In The City Of New York Process and mask projection system for laser crystallization processing of semiconductor film regions on a substrate
JP4845267B2 (ja) * 2001-01-15 2011-12-28 東芝モバイルディスプレイ株式会社 レーザアニール装置およびレーザアニール方法
JP2002246381A (ja) * 2001-02-15 2002-08-30 Anelva Corp Cvd方法
US7079564B2 (en) * 2001-04-09 2006-07-18 Cymer, Inc. Control system for a two chamber gas discharge laser
US7009140B2 (en) * 2001-04-18 2006-03-07 Cymer, Inc. Laser thin film poly-silicon annealing optical system
JP2003059894A (ja) * 2001-06-05 2003-02-28 Dainippon Screen Mfg Co Ltd 基板処理装置
TW552645B (en) 2001-08-03 2003-09-11 Semiconductor Energy Lab Laser irradiating device, laser irradiating method and manufacturing method of semiconductor device
WO2003018882A1 (en) 2001-08-27 2003-03-06 The Trustees Of Columbia University In The City Of New York Improved polycrystalline tft uniformity through microstructure mis-alignment
JP3977038B2 (ja) * 2001-08-27 2007-09-19 株式会社半導体エネルギー研究所 レーザ照射装置およびレーザ照射方法
US7830934B2 (en) * 2001-08-29 2010-11-09 Cymer, Inc. Multi-chamber gas discharge laser bandwidth control through discharge timing
SG120880A1 (en) * 2001-08-31 2006-04-26 Semiconductor Energy Lab Laser irradiation method, laser irradiation apparatus, and method of manufacturing a semiconductor device
KR20040052468A (ko) * 2001-11-12 2004-06-23 소니 가부시끼 가이샤 레이저 어닐 장치 및 박막 트랜지스터의 제조 방법
US7026227B2 (en) * 2001-11-16 2006-04-11 Semiconductor Energy Laboratory Co., Ltd. Method of irradiating a laser beam, and method of fabricating semiconductor devices
US7105048B2 (en) 2001-11-30 2006-09-12 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US7133737B2 (en) * 2001-11-30 2006-11-07 Semiconductor Energy Laboratory Co., Ltd. Program for controlling laser apparatus and recording medium for recording program for controlling laser apparatus and capable of being read out by computer
KR100831227B1 (ko) * 2001-12-17 2008-05-21 삼성전자주식회사 다결정 규소를 이용한 박막 트랜지스터의 제조 방법
US7135389B2 (en) * 2001-12-20 2006-11-14 Semiconductor Energy Laboratory Co., Ltd. Irradiation method of laser beam
JP4011344B2 (ja) * 2001-12-28 2007-11-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6933527B2 (en) 2001-12-28 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and semiconductor device production system
EP1326273B1 (en) 2001-12-28 2012-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP4627135B2 (ja) * 2001-12-28 2011-02-09 株式会社半導体エネルギー研究所 半導体装置の生産方法
US6841797B2 (en) * 2002-01-17 2005-01-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device formed over a surface with a drepession portion and a projection portion
US6847050B2 (en) * 2002-03-15 2005-01-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and semiconductor device comprising the same
AU2003220611A1 (en) 2002-04-01 2003-10-20 The Trustees Of Columbia University In The City Of New York Method and system for providing a thin film
US6727125B2 (en) * 2002-04-17 2004-04-27 Sharp Laboratories Of America, Inc. Multi-pattern shadow mask system and method for laser annealing
US6984573B2 (en) * 2002-06-14 2006-01-10 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method and apparatus
JP4873858B2 (ja) 2002-08-19 2012-02-08 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク エッジ領域を最小にするために基板のフィルム領域のレーザ結晶化処理方法及び装置並びにそのようなフィルム領域の構造
WO2004017379A2 (en) 2002-08-19 2004-02-26 The Trustees Of Columbia University In The City Of New York Process and system for processing a thin film sample and thin film structure
US7300858B2 (en) 2002-08-19 2007-11-27 The Trustees Of Columbia University In The City Of New York Laser crystallization and selective patterning using multiple beamlets
CN1757093A (zh) * 2002-08-19 2006-04-05 纽约市哥伦比亚大学托管会 具有多种照射图形的单步半导体处理系统和方法
JP2004128421A (ja) * 2002-10-07 2004-04-22 Semiconductor Energy Lab Co Ltd レーザ照射方法およびレーザ照射装置、並びに半導体装置の作製方法
US7638732B1 (en) * 2002-10-24 2009-12-29 Analogic Corporation Apparatus and method for making X-ray anti-scatter grid
US7387922B2 (en) * 2003-01-21 2008-06-17 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, method for manufacturing semiconductor device, and laser irradiation system
WO2004075263A2 (en) 2003-02-19 2004-09-02 The Trustees Of Columbia University In The City Of New York System and process for processing a plurality of semiconductor thin films which are crystallized using sequential lateral solidification techniques
JP4498734B2 (ja) * 2003-02-28 2010-07-07 株式会社半導体エネルギー研究所 レーザー光照射装置
DE602004020538D1 (de) * 2003-02-28 2009-05-28 Semiconductor Energy Lab Verfahren und Vorrichtung zur Laserbestrahlung, sowie Verfahren zur Herstellung von Halbleiter.
KR100534579B1 (ko) * 2003-03-05 2005-12-07 삼성에스디아이 주식회사 다결정 실리콘 박막, 이의 제조 방법 및 이를 이용하여제조된 액티브 채널 방향 의존성이 없는 박막 트랜지스터
KR100992120B1 (ko) * 2003-03-13 2010-11-04 삼성전자주식회사 규소 결정화 시스템 및 규소 결정화 방법
US8346497B2 (en) * 2003-03-26 2013-01-01 Semiconductor Energy Laboratory Co., Ltd. Method for testing semiconductor film, semiconductor device and manufacturing method thereof
US7277188B2 (en) * 2003-04-29 2007-10-02 Cymer, Inc. Systems and methods for implementing an interaction between a laser shaped as a line beam and a film deposited on a substrate
JP4583004B2 (ja) * 2003-05-21 2010-11-17 株式会社 日立ディスプレイズ アクティブ・マトリクス基板の製造方法
GB2403595B (en) * 2003-06-25 2005-10-05 Lg Philips Lcd Co Ltd Liquid crystal display device having polycrystalline silicon thin film transistor and method of fabricating the same
KR100720452B1 (ko) * 2003-06-30 2007-05-22 엘지.필립스 엘시디 주식회사 레이저 조사 장치 및 이를 이용한 실리콘 결정화 방법
KR100587368B1 (ko) * 2003-06-30 2006-06-08 엘지.필립스 엘시디 주식회사 Sls 결정화 장치
KR100546711B1 (ko) * 2003-08-18 2006-01-26 엘지.필립스 엘시디 주식회사 레이저 조사 장치 및 이를 이용한 실리콘 결정화 방법
US7364952B2 (en) * 2003-09-16 2008-04-29 The Trustees Of Columbia University In The City Of New York Systems and methods for processing thin films
TWI351713B (en) 2003-09-16 2011-11-01 Univ Columbia Method and system for providing a single-scan, con
US7164152B2 (en) 2003-09-16 2007-01-16 The Trustees Of Columbia University In The City Of New York Laser-irradiated thin films having variable thickness
TWI359441B (en) 2003-09-16 2012-03-01 Univ Columbia Processes and systems for laser crystallization pr
WO2005029546A2 (en) 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for providing a continuous motion sequential lateral solidification for reducing or eliminating artifacts, and a mask for facilitating such artifact reduction/elimination
WO2005029547A2 (en) 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Enhancing the width of polycrystalline grains with mask
US7318866B2 (en) 2003-09-16 2008-01-15 The Trustees Of Columbia University In The City Of New York Systems and methods for inducing crystallization of thin films using multiple optical paths
KR100531416B1 (ko) * 2003-09-17 2005-11-29 엘지.필립스 엘시디 주식회사 Sls 장비 및 이를 이용한 실리콘 결정화 방법
WO2005034193A2 (en) 2003-09-19 2005-04-14 The Trustees Of Columbia University In The City Ofnew York Single scan irradiation for crystallization of thin films
KR100573225B1 (ko) * 2003-09-24 2006-04-24 엘지.필립스 엘시디 주식회사 비정질 실리콘층의 결정화 방법
KR100525443B1 (ko) * 2003-12-24 2005-11-02 엘지.필립스 엘시디 주식회사 결정화 장비 및 이를 이용한 결정화 방법
KR100606447B1 (ko) * 2003-12-24 2006-07-31 엘지.필립스 엘시디 주식회사 최적의 포컬 플레인 결정방법 및 이를 이용한 결정화방법
KR100617035B1 (ko) * 2003-12-26 2006-08-30 엘지.필립스 엘시디 주식회사 결정화 장비
KR100575235B1 (ko) * 2003-12-30 2006-05-02 엘지.필립스 엘시디 주식회사 레이저 광학계 및 이를 이용한 결정화 방법
TWI239936B (en) * 2004-02-27 2005-09-21 Au Optronics Corp Laser annealing apparatus and laser annealing method
TWI250910B (en) 2004-03-05 2006-03-11 Olympus Corp Apparatus for laser machining
US7812283B2 (en) 2004-03-26 2010-10-12 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, laser irradiation apparatus, and method for fabricating semiconductor device
US7655152B2 (en) 2004-04-26 2010-02-02 Hewlett-Packard Development Company, L.P. Etching
US8525075B2 (en) 2004-05-06 2013-09-03 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
JP2006029893A (ja) * 2004-07-14 2006-02-02 Agilent Technol Inc ディスプレイパネルの製造システム、それに用いられる製造方法、及びそのための検査装置
WO2006023595A2 (en) * 2004-08-18 2006-03-02 New Way Machine Components, Inc. Moving vacuum chamber stage with air bearing and differentially pumped grooves
CN101667538B (zh) * 2004-08-23 2012-10-10 株式会社半导体能源研究所 半导体器件及其制造方法
US7645337B2 (en) 2004-11-18 2010-01-12 The Trustees Of Columbia University In The City Of New York Systems and methods for creating crystallographic-orientation controlled poly-silicon films
US20060157684A1 (en) * 2004-12-15 2006-07-20 The Regents Of The University Of California Thin film multilayer with nanolayers addressable from the macroscale
KR101326133B1 (ko) * 2005-03-10 2013-11-06 삼성디스플레이 주식회사 평판 표시 장치 제조 시스템
US8221544B2 (en) 2005-04-06 2012-07-17 The Trustees Of Columbia University In The City Of New York Line scan sequential lateral solidification of thin films
US20060261051A1 (en) * 2005-05-19 2006-11-23 Mark Unrath Synthetic pulse repetition rate processing for dual-headed laser micromachining systems
JP2005347764A (ja) * 2005-07-19 2005-12-15 Hitachi Ltd 画像表示装置の製造方法
JP4749799B2 (ja) * 2005-08-12 2011-08-17 浜松ホトニクス株式会社 レーザ加工方法
JP2007123300A (ja) * 2005-10-25 2007-05-17 Toyota Motor Corp 不純物活性化方法、レーザアニール装置、半導体装置とその製造方法
US7679029B2 (en) 2005-10-28 2010-03-16 Cymer, Inc. Systems and methods to shape laser light as a line beam for interaction with a substrate having surface variations
US7317179B2 (en) * 2005-10-28 2008-01-08 Cymer, Inc. Systems and methods to shape laser light as a homogeneous line beam for interaction with a film deposited on a substrate
JP2009518864A (ja) 2005-12-05 2009-05-07 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク 膜を加工するためのシステム及び方法並びに薄膜
KR101351474B1 (ko) * 2005-12-20 2014-01-14 가부시키가이샤 한도오따이 에네루기 켄큐쇼 레이저 조사장치, 레이저 조사방법, 및 반도체장치제조방법
CN101346800B (zh) * 2005-12-20 2011-09-14 株式会社半导体能源研究所 用于制造半导体装置的激光辐射设备和方法
JP4930052B2 (ja) * 2006-02-15 2012-05-09 住友電気工業株式会社 GaN基板の裏面の反り測定方法
JP4625775B2 (ja) * 2006-02-17 2011-02-02 株式会社アルバック イオン注入装置
KR101224377B1 (ko) * 2006-02-17 2013-01-21 삼성디스플레이 주식회사 실리콘층의 형성방법 및 이를 이용한 표시기판의 제조방법
JP4339330B2 (ja) * 2006-04-19 2009-10-07 日本電気株式会社 レーザ照射方法及びレーザ照射装置
US7514305B1 (en) * 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
JP4961897B2 (ja) * 2006-08-29 2012-06-27 ソニー株式会社 レーザー照射装置、レーザー照射方法、薄膜半導体装置の製造方法、及び表示装置の製造方法
US7615404B2 (en) * 2006-10-31 2009-11-10 Intel Corporation High-contrast laser mark on substrate surfaces
US7750818B2 (en) * 2006-11-29 2010-07-06 Adp Engineering Co., Ltd. System and method for introducing a substrate into a process chamber
JP2008221299A (ja) * 2007-03-14 2008-09-25 Hitachi Via Mechanics Ltd レーザ加工装置
JP2007288219A (ja) * 2007-07-06 2007-11-01 Sumitomo Heavy Ind Ltd レーザ照射装置
US7966743B2 (en) * 2007-07-31 2011-06-28 Eastman Kodak Company Micro-structured drying for inkjet printers
JP4900128B2 (ja) * 2007-08-07 2012-03-21 日本電気株式会社 半導体薄膜改質方法
KR20100074193A (ko) 2007-09-21 2010-07-01 더 트러스티이스 오브 콜롬비아 유니버시티 인 더 시티 오브 뉴욕 박막 트랜지스터에서 사용되는 측면 결정화된 반도체 섬의 집합
JP5385289B2 (ja) 2007-09-25 2014-01-08 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク 横方向に結晶化した薄膜上に作製される薄膜トランジスタデバイスにおいて高い均一性を生成する方法
KR100953657B1 (ko) * 2007-11-13 2010-04-20 삼성모바일디스플레이주식회사 박막트랜지스터 및 그 제조방법과 이를 구비하는유기전계발광표시장치
CN101919058B (zh) 2007-11-21 2014-01-01 纽约市哥伦比亚大学理事会 用于制备外延纹理厚膜的系统和方法
US8012861B2 (en) 2007-11-21 2011-09-06 The Trustees Of Columbia University In The City Of New York Systems and methods for preparing epitaxially textured polycrystalline films
WO2009067688A1 (en) 2007-11-21 2009-05-28 The Trustees Of Columbia University In The City Of New York Systems and methods for preparing epitaxially textured polycrystalline films
JP5498659B2 (ja) 2008-02-07 2014-05-21 株式会社半導体エネルギー研究所 レーザ照射位置安定性評価方法及びレーザ照射装置
KR100864062B1 (ko) * 2008-02-22 2008-10-16 한국철강 주식회사 태양전지 모듈 패터닝 장치
US8569155B2 (en) 2008-02-29 2013-10-29 The Trustees Of Columbia University In The City Of New York Flash lamp annealing crystallization for large area thin films
WO2009111774A2 (en) * 2008-03-07 2009-09-11 The Ohio State University Low-temperature spot impact welding driven without contact
CN102113120B (zh) * 2008-08-04 2014-10-22 普林斯顿大学理事会 用于薄膜晶体管的杂化的介电材料
US7751453B2 (en) * 2008-10-21 2010-07-06 Cymer, Inc. Method and apparatus for laser control in a two chamber gas discharge laser
US7720120B2 (en) * 2008-10-21 2010-05-18 Cymer, Inc. Method and apparatus for laser control in a two chamber gas discharge laser
US7756171B2 (en) * 2008-10-21 2010-07-13 Cymer, Inc. Method and apparatus for laser control in a two chamber gas discharge laser
CN102232239A (zh) 2008-11-14 2011-11-02 纽约市哥伦比亚大学理事会 用于薄膜结晶的系统和方法
JP5126076B2 (ja) * 2009-01-08 2013-01-23 富士通株式会社 位置測定装置、成膜方法並びに成膜プログラム及び成膜装置
US9646831B2 (en) 2009-11-03 2017-05-09 The Trustees Of Columbia University In The City Of New York Advanced excimer laser annealing for thin films
US8440581B2 (en) 2009-11-24 2013-05-14 The Trustees Of Columbia University In The City Of New York Systems and methods for non-periodic pulse sequential lateral solidification
US9087696B2 (en) 2009-11-03 2015-07-21 The Trustees Of Columbia University In The City Of New York Systems and methods for non-periodic pulse partial melt film processing
WO2011062162A1 (ja) * 2009-11-17 2011-05-26 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
TWI459444B (zh) 2009-11-30 2014-11-01 Applied Materials Inc 在半導體應用上的結晶處理
KR101097327B1 (ko) * 2010-01-07 2011-12-23 삼성모바일디스플레이주식회사 기판 밀봉에 사용되는 레이저 빔 조사 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
JP4865878B2 (ja) * 2010-03-25 2012-02-01 株式会社日本製鋼所 雰囲気安定化方法およびレーザ処理装置
JP5595152B2 (ja) * 2010-07-14 2014-09-24 住友重機械工業株式会社 レーザアニール方法
US8803026B2 (en) * 2010-10-15 2014-08-12 Mitsubishi Electric Corporation Laser machining device and bellows device
KR200464501Y1 (ko) * 2010-12-08 2013-01-21 미래산업 주식회사 엔코더장치, 이를 포함하는 선형전동기, 및 이를 포함하는 기판 이송장치
DK2564973T3 (en) * 2011-09-05 2015-01-12 Alltec Angewandte Laserlicht Technologie Ges Mit Beschränkter Haftung Marking apparatus having a plurality of lasers and a kombineringsafbøjningsindretning
ES2438751T3 (es) 2011-09-05 2014-01-20 ALLTEC Angewandte Laserlicht Technologie Gesellschaft mit beschränkter Haftung Dispositivo y procedimiento para marcar un objeto por medio de un rayo láser
EP2564976B1 (en) 2011-09-05 2015-06-10 ALLTEC Angewandte Laserlicht Technologie Gesellschaft mit beschränkter Haftung Marking apparatus with at least one gas laser and heat dissipator
EP2564974B1 (en) * 2011-09-05 2015-06-17 ALLTEC Angewandte Laserlicht Technologie Gesellschaft mit beschränkter Haftung Marking apparatus with a plurality of gas lasers with resonator tubes and individually adjustable deflection means
ES2530070T3 (es) * 2011-09-05 2015-02-26 ALLTEC Angewandte Laserlicht Technologie Gesellschaft mit beschränkter Haftung Aparato de marcado con una pluralidad de láseres y conjuntos ajustables individualmente de medios de desviación
EP2564972B1 (en) * 2011-09-05 2015-08-26 ALLTEC Angewandte Laserlicht Technologie Gesellschaft mit beschränkter Haftung Marking apparatus with a plurality of lasers, deflection means and telescopic means for each laser beam
DK2565996T3 (da) 2011-09-05 2014-01-13 Alltec Angewandte Laserlicht Technologie Gmbh Laserindretning med en laserenhed og en fluidbeholder til en køleindretning af laserenheden
ES2452529T3 (es) 2011-09-05 2014-04-01 ALLTEC Angewandte Laserlicht Technologie Gesellschaft mit beschränkter Haftung Dispositivo láser y procedimiento para marcar un objeto
JP2013149924A (ja) * 2012-01-23 2013-08-01 Japan Display Central Co Ltd レーザアニール装置
JP2015521368A (ja) * 2012-04-18 2015-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アドバンスアニールプロセスにおいて粒子を低減させる装置および方法
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
JP5843292B2 (ja) * 2013-03-21 2016-01-13 株式会社日本製鋼所 アニール処理半導体基板の製造方法、走査装置およびレーザ処理装置
KR102163606B1 (ko) * 2013-03-27 2020-10-08 고쿠리쓰다이가쿠호진 규슈다이가쿠 레이저 어닐링 장치
CN103325961B (zh) * 2013-05-22 2016-05-18 上海和辉光电有限公司 Oled封装加热装置及工艺方法
KR20140142856A (ko) * 2013-06-05 2014-12-15 삼성디스플레이 주식회사 레이저 장치 및 이를 이용한 결정화 방법
KR101507381B1 (ko) * 2014-02-26 2015-03-30 주식회사 유진테크 폴리실리콘 막의 성막 방법
JP6331634B2 (ja) * 2014-04-17 2018-05-30 住友電気工業株式会社 炭化珪素半導体装置の製造方法
JP6418794B2 (ja) 2014-06-09 2018-11-07 東京エレクトロン株式会社 改質処理方法及び半導体装置の製造方法
US9559023B2 (en) 2014-06-23 2017-01-31 Ultratech, Inc. Systems and methods for reducing beam instability in laser annealing
KR101604695B1 (ko) 2014-09-19 2016-03-25 한국생산기술연구원 후면노광 기술을 이용한 미세패턴 보호 및 메탈레이어 증착방법
KR20160048301A (ko) * 2014-10-23 2016-05-04 삼성전자주식회사 본딩 장치 및 그를 포함하는 기판 제조 설비
DE102015202575A1 (de) * 2015-02-12 2016-08-18 Robert Bosch Gmbh Vorrichtung zum Bearbeiten eines Substrats
KR101678987B1 (ko) * 2015-06-09 2016-11-23 주식회사 이오테크닉스 포토마스크 수선 시스템 및 수선 방법
CN106234557A (zh) * 2016-10-10 2016-12-21 成都沃特塞恩电子技术有限公司 一种射频功率源和射频解冻装置
US10641733B2 (en) * 2017-03-20 2020-05-05 National Technology & Engineering Solutions Of Sandia, Llc Active mechanical-environmental-thermal MEMS device for nanoscale characterization
WO2020016714A1 (en) * 2018-07-17 2020-01-23 Io Tech Group, Ltd. Leveling system for 3d printer
KR102182471B1 (ko) * 2019-01-11 2020-11-24 캐논 톡키 가부시키가이샤 성막장치 및 전자 디바이스 제조장치
JP2020188218A (ja) * 2019-05-17 2020-11-19 東京エレクトロン株式会社 真空搬送装置
JP7303053B2 (ja) * 2019-07-17 2023-07-04 ファナック株式会社 調整補助具及びレーザ溶接装置
CN111217151B (zh) * 2020-01-08 2021-09-17 上海向隆电子科技有限公司 楔型导光板的堆栈加工方法及其堆栈加工设备
CN112122778B (zh) * 2020-09-24 2022-07-22 松山湖材料实验室 激光加工去除熔渣系统、方法、计算机设备及可读存储介质
KR20200133310A (ko) * 2020-11-17 2020-11-27 캐논 톡키 가부시키가이샤 성막장치 및 전자 디바이스 제조장치
CN113953659B (zh) * 2021-11-09 2022-06-24 西安电子科技大学 一种基于脉冲交替法的激光加工实时成像装置及方法

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2465241A1 (fr) * 1979-09-10 1981-03-20 Thomson Csf Dispositif illuminateur destine a fournir un faisceau d'eclairement a distribution d'intensite ajustable et systeme de transfert de motifs comprenant un tel dispositif
JPS57181537A (en) 1981-05-01 1982-11-09 Agency Of Ind Science & Technol Light pattern projector
JPH07118443B2 (ja) 1984-05-18 1995-12-18 ソニー株式会社 半導体装置の製法
JPH0197083A (ja) 1987-10-09 1989-04-14 Victor Co Of Japan Ltd 文字放送信号再生装置
JPH0478123A (ja) * 1990-07-20 1992-03-12 Fujitsu Ltd 半導体装置の製造方法
JPH04100689A (ja) * 1990-08-14 1992-04-02 Tsubakimoto Chain Co レーザ加工機用5軸テーブル
US5160823A (en) * 1991-01-03 1992-11-03 Hutchinson Technology, Inc. Moving mask laser imaging
JPH05182923A (ja) 1991-05-28 1993-07-23 Semiconductor Energy Lab Co Ltd レーザーアニール方法
JPH05129183A (ja) 1991-06-20 1993-05-25 Hitachi Ltd パターン露光装置
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
KR100269350B1 (ko) * 1991-11-26 2000-10-16 구본준 박막트랜지스터의제조방법
JP3163693B2 (ja) 1991-11-29 2001-05-08 日本電気株式会社 集積回路の製造方法
US5477304A (en) 1992-10-22 1995-12-19 Nikon Corporation Projection exposure apparatus
US5643801A (en) * 1992-11-06 1997-07-01 Semiconductor Energy Laboratory Co., Ltd. Laser processing method and alignment
JP2840802B2 (ja) 1992-12-04 1998-12-24 株式会社半導体エネルギー研究所 半導体材料の製造方法および製造装置
JP3282167B2 (ja) 1993-02-01 2002-05-13 株式会社ニコン 露光方法、走査型露光装置、及びデバイス製造方法
JP3296448B2 (ja) 1993-03-15 2002-07-02 株式会社ニコン 露光制御方法、走査露光方法、露光制御装置、及びデバイス製造方法
JPH06310407A (ja) 1993-04-23 1994-11-04 Hitachi Ltd 投影露光装置の焦点合わせ装置
JPH0799321A (ja) 1993-05-27 1995-04-11 Sony Corp 薄膜半導体素子の製造方法および製造装置
JP3316706B2 (ja) 1993-06-25 2002-08-19 株式会社ニコン 投影露光装置、及び該装置を用いる素子製造方法
US6122036A (en) * 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
JP3398434B2 (ja) 1993-10-27 2003-04-21 東洋ゴム工業株式会社 トラック・バス用ラジアルタイヤ
JP3118681B2 (ja) 1993-10-29 2000-12-18 東京エレクトロン株式会社 処理装置及び処理方法
US5529951A (en) * 1993-11-02 1996-06-25 Sony Corporation Method of forming polycrystalline silicon layer on substrate by large area excimer laser irradiation
JP2597464B2 (ja) * 1994-03-29 1997-04-09 株式会社ジーティシー レーザアニール装置
JPH07283110A (ja) 1994-04-07 1995-10-27 Nikon Corp 走査露光装置
JPH07308788A (ja) * 1994-05-16 1995-11-28 Sanyo Electric Co Ltd 光加工法及び光起電力装置の製造方法
JP3453223B2 (ja) 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JPH08192287A (ja) 1995-01-17 1996-07-30 Toshiba Corp 露光用光源装置及びレーザ露光装置
JPH097911A (ja) 1995-06-16 1997-01-10 Sony Corp 半導体製造装置
JPH0917729A (ja) 1995-06-29 1997-01-17 Sharp Corp 半導体装置の製造方法
JP2674578B2 (ja) 1995-08-29 1997-11-12 株式会社ニコン 走査露光装置及び露光方法
JP3596188B2 (ja) 1995-09-22 2004-12-02 セイコーエプソン株式会社 薄膜トランジスタの製造方法
JPH09148246A (ja) 1995-11-21 1997-06-06 Ulvac Japan Ltd 多結晶シリコンの形成方法及び形成装置
JP3870420B2 (ja) * 1995-12-26 2007-01-17 セイコーエプソン株式会社 アクティブマトリクス基板の製造方法、エレクトロルミネッセンス装置の製造方法、表示装置の製造方法、及び電子機器の製造方法
EP0813749A1 (en) * 1996-01-04 1997-12-29 Koninklijke Philips Electronics N.V. Electronic device manufacture with a laser beam
JPH09283423A (ja) 1996-04-09 1997-10-31 Canon Inc 露光装置及び露光方法
JPH1041513A (ja) 1996-07-24 1998-02-13 Toshiba Electron Eng Corp 半導体素子の製造方法およびその装置
JPH1097083A (ja) 1996-09-19 1998-04-14 Nikon Corp 投影露光方法及び投影露光装置
US5699191A (en) * 1996-10-24 1997-12-16 Xerox Corporation Narrow-pitch beam homogenizer
JPH10149984A (ja) 1996-11-20 1998-06-02 Ulvac Japan Ltd 多結晶シリコンの形成方法及び形成装置
US5923475A (en) * 1996-11-27 1999-07-13 Eastman Kodak Company Laser printer using a fly's eye integrator
JP3917698B2 (ja) * 1996-12-12 2007-05-23 株式会社半導体エネルギー研究所 レーザーアニール方法およびレーザーアニール装置
JPH10209029A (ja) 1997-01-21 1998-08-07 Nikon Corp アライメント系を備える露光装置
JPH10230381A (ja) * 1997-02-21 1998-09-02 Nikon Corp 加工装置
JP4056577B2 (ja) * 1997-02-28 2008-03-05 株式会社半導体エネルギー研究所 レーザー照射方法
JP4059952B2 (ja) * 1997-03-27 2008-03-12 株式会社半導体エネルギー研究所 レーザー光照射方法
JP4086932B2 (ja) * 1997-04-17 2008-05-14 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー処理方法
JPH1117185A (ja) 1997-06-20 1999-01-22 Hitachi Ltd 液晶表示装置及びその製造方法
JP3642546B2 (ja) * 1997-08-12 2005-04-27 株式会社東芝 多結晶半導体薄膜の製造方法
TW408246B (en) * 1997-09-12 2000-10-11 Sanyo Electric Co Semiconductor device and display device having laser-annealed semiconductor element
JP3466893B2 (ja) 1997-11-10 2003-11-17 キヤノン株式会社 位置合わせ装置及びそれを用いた投影露光装置
JPH11186189A (ja) * 1997-12-17 1999-07-09 Semiconductor Energy Lab Co Ltd レーザー照射装置
US6072631A (en) * 1998-07-09 2000-06-06 3M Innovative Properties Company Diffractive homogenizer with compensation for spatial coherence
US6792326B1 (en) * 1999-05-24 2004-09-14 Potomac Photonics, Inc. Material delivery system for miniature structure fabrication
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
SG113399A1 (en) * 2000-12-27 2005-08-29 Semiconductor Energy Lab Laser annealing method and semiconductor device fabricating method
DE20205302U1 (de) * 2002-04-05 2002-06-27 Imko Intelligente Micromodule Vorrichtung zur Ermittlung der Materialfeuchte eines Mediums

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101810062B1 (ko) 2011-10-14 2017-12-19 삼성디스플레이 주식회사 레이저 결정화 장치 및 레이저 결정화 방법

Also Published As

Publication number Publication date
DE60041166D1 (de) 2009-02-05
EP1998364A2 (en) 2008-12-03
US20070166945A1 (en) 2007-07-19
TW479367B (en) 2002-03-11
EP1067593A2 (en) 2001-01-10
US7312418B2 (en) 2007-12-25
JP2001023918A (ja) 2001-01-26
US6861614B1 (en) 2005-03-01
EP1998364A3 (en) 2009-02-11
KR20030044947A (ko) 2003-06-09
KR20010029904A (ko) 2001-04-16
EP1067593B1 (en) 2008-12-24
EP1067593A3 (en) 2007-03-21
KR100437920B1 (ko) 2004-06-30
US20050109743A1 (en) 2005-05-26

Similar Documents

Publication Publication Date Title
KR100499961B1 (ko) 반도체 박막 형성 장치
KR100383419B1 (ko) 기판상의 소망하는 위치에 반도체막을 형성하는 방법
KR100382868B1 (ko) 반도체박막 가열장치
US6657154B1 (en) Semiconductor manufacturing apparatus and manufacturing method for thin film semiconductor device
KR100534501B1 (ko) 박막 처리 방법 및 박막 처리 장치
US8118937B2 (en) Semiconductor thin film, thin film transistor, method for manufacturing same, and manufacturing equipment of semiconductor thin film
KR100738295B1 (ko) 박막 처리 방법 및 박막 처리 장치
JP3393469B2 (ja) 薄膜半導体素子の製造方法及び薄膜半導体形成装置
US6863733B1 (en) Apparatus for fabricating thin-film semiconductor device
JP2004179634A (ja) レーザーアニール処理装置及び方法
JP4215563B2 (ja) 半導体薄膜改質方法
JP4322373B2 (ja) 膜体部改質装置及び膜体部改質方法
JP2007019529A (ja) 半導体薄膜形成装置
JP4900128B2 (ja) 半導体薄膜改質方法
JP2004006840A (ja) 半導体薄膜

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20030421

Effective date: 20050329

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140603

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150601

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160527

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee