JP5714564B2 - 上部ポストパッシベーション技術および底部構造技術を使用する集積回路チップ - Google Patents

上部ポストパッシベーション技術および底部構造技術を使用する集積回路チップ Download PDF

Info

Publication number
JP5714564B2
JP5714564B2 JP2012503470A JP2012503470A JP5714564B2 JP 5714564 B2 JP5714564 B2 JP 5714564B2 JP 2012503470 A JP2012503470 A JP 2012503470A JP 2012503470 A JP2012503470 A JP 2012503470A JP 5714564 B2 JP5714564 B2 JP 5714564B2
Authority
JP
Japan
Prior art keywords
layer
chip
circuit
metal
trace
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012503470A
Other languages
English (en)
Other versions
JP2012522398A (ja
Inventor
リン、モウ−シウン
リー、ジン−ユアン
ロ、シン−ジュン
ヤン、ピン−ジュン
リウ、テ−シェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of JP2012522398A publication Critical patent/JP2012522398A/ja
Application granted granted Critical
Publication of JP5714564B2 publication Critical patent/JP5714564B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • G11C5/147Voltage reference generators, voltage or current regulators; Internally lowered supply levels; Compensation for voltage drops
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/60Protection against electrostatic charges or discharges, e.g. Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6611Wire connections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6666High-frequency adaptations for passive devices for decoupling, e.g. bypass capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02311Additive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02313Subtractive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02321Reworking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0235Shape of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02371Disposition of the redistribution layers connecting the bonding area on a surface of the semiconductor or solid-state body with another surface of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02375Top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0239Material of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/024Material of the insulating layers therebetween
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03612Physical or chemical etching by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03614Physical or chemical etching by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03912Methods of manufacturing bonding areas involving a specific sequence of method steps the bump being used as a mask for patterning the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/0392Methods of manufacturing bonding areas involving a specific sequence of method steps specifically adapted to include a probing step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05171Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05176Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05187Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05554Shape in top view being square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05664Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05669Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05673Rhodium [Rh] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05676Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11009Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for protecting parts during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps
    • H01L2224/1191Forming a passivation layer after forming the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13006Bump connector larger than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13084Four-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13113Bismuth [Bi] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13169Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13199Material of the matrix
    • H01L2224/13294Material of the matrix with a principal constituent of the material being a liquid not provided for in groups H01L2224/132 - H01L2224/13291
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13298Fillers
    • H01L2224/13299Base material
    • H01L2224/133Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13298Fillers
    • H01L2224/13299Base material
    • H01L2224/133Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13301Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13311Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13609Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/14104Disposition relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body
    • H01L2224/1411Disposition relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body the bump connectors being bonded to at least one common bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16265Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • H01L2224/2929Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • H01L2224/29294Material of the matrix with a principal constituent of the material being a liquid not provided for in groups H01L2224/292 - H01L2224/29291
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29298Fillers
    • H01L2224/29299Base material
    • H01L2224/293Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29298Fillers
    • H01L2224/29299Base material
    • H01L2224/293Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29338Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29339Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32104Disposition relative to the bonding area, e.g. bond pad
    • H01L2224/32105Disposition relative to the bonding area, e.g. bond pad the layer connector connecting bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/331Disposition
    • H01L2224/3318Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/33181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/45124Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48111Disposition the wire connector extending above another semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/48247Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • H01L2224/48465Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond the other connecting portion not on the bonding area being a wedge bond, i.e. ball-to-wedge, regular stitch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48617Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48624Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48638Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48644Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48638Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48647Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48663Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/48664Palladium (Pd) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48663Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/48669Platinum (Pt) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48699Principal constituent of the connecting portion of the wire connector being Aluminium (Al)
    • H01L2224/487Principal constituent of the connecting portion of the wire connector being Aluminium (Al) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48763Principal constituent of the connecting portion of the wire connector being Aluminium (Al) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/48764Palladium (Pd) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48699Principal constituent of the connecting portion of the wire connector being Aluminium (Al)
    • H01L2224/487Principal constituent of the connecting portion of the wire connector being Aluminium (Al) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48763Principal constituent of the connecting portion of the wire connector being Aluminium (Al) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/48769Platinum (Pt) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48817Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48824Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48838Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48844Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48838Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48847Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48863Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/48864Palladium (Pd) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • H01L2224/4911Disposition the connectors being bonded to at least one common bonding area, e.g. daisy chain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • H01L2224/4912Layout
    • H01L2224/49175Parallel arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • H01L2224/4918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73207Bump and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73215Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73257Bump and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81401Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/81411Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81444Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/8185Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/81895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/819Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector with the bump connector not providing any mechanical bonding
    • H01L2224/81901Pressing the bump connector against the bonding areas by means of another connector
    • H01L2224/81903Pressing the bump connector against the bonding areas by means of another connector by means of a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83101Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus as prepeg comprising a layer connector, e.g. provided in an insulating plate member
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83104Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus by applying pressure, e.g. by injection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83851Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester being an anisotropic conductive adhesive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92127Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92142Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92147Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92225Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92247Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06506Wire or wire-like electrical connections between devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1023All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the support being an insulating substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1029All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the support being a lead frame
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/107Indirect electrical connections, e.g. via an interposer, a flexible substrate, using TAB
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/50Tape automated bonding [TAB] connectors, i.e. film carriers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/86Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using tape automated bonding [TAB]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01007Nitrogen [N]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01011Sodium [Na]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0102Calcium [Ca]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01031Gallium [Ga]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01041Niobium [Nb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01045Rhodium [Rh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01051Antimony [Sb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01056Barium [Ba]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01059Praseodymium [Pr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01068Erbium [Er]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01083Bismuth [Bi]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/141Analog devices
    • H01L2924/142HF devices
    • H01L2924/1421RF devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1433Application-specific integrated circuit [ASIC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19102Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
    • H01L2924/19104Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device on the semiconductor or solid-state device, i.e. passive-on-chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19105Disposition of discrete passive components in a side-by-side arrangement on a common die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Human Computer Interaction (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Description

優先権の主張
本出願は、その全体における参照によってここに組み込まれる2009年3月30日に出願した米国仮出願第61/164,473号の優先権を主張する。
本開示は、集積回路チップおよびチップパッケージ、より具体的には、回路チップおよびパッケージの異なるサイドに対し異なる相互接続スキーム(interconnection schemes)を利用する集積回路チップおよびパッケージに関する。
半導体チップは、多くの電子デバイスに見ることができ、今日では、多くの電子デバイスを高速および/または低消費電力という条件の下で動作させる必要がある。従来の半導体構成では、チップは、ボールグリッドアレイ(BGA)基板と接続するために、チップの、チップのパッシベーション層(passivation layer)内の開口部によって露出された、パッドと接合されたワイヤボンディングワイヤ(wirebonding wires)またはハンダボールを使用することができる。現代的な電子システム、モジュール、および/または回路基板は、典型的には、中央演算処理装置(CPU)、デジタルシグナルプロセッサ(DSP)、アナログチップ、ダイナミックランダムアクセスメモリ(DRAM)チップ、スタティックランダムアクセスメモリ(SRAM)チップ、フラッシュメモリチップ、および同様のものなど、さまざまな多くの種類のチップを含む。
それぞれのチップは、典型的には、異なる種類および/または異なる世代のIC製造プロセス技術を使用して製造される。例えば、いくつかのノートブック型パーソナルコンピュータでは、CPUチップは、電源電圧を1.2Vとする65nmのICプロセス技術を使用して製造され、アナログチップは、電源電圧を3.3Vとする旧式の0.25ミクロン(250nm)ICプロセス技術を使用して製造され、DRAMチップは、1.5Vで90nmのICプロセス技術を使用して製造され、フラッシュメモリチップは、電源電圧を2.5Vとする0.18ミクロン(180nm)ICプロセス技術を使用して製造されうる。
チップの種類が異なる毎に、その供給電力に対し異なる電圧条件が要求されることがある。例えば、与えられたDRAMチップは、3.3Vを1.5Vに変換するオンチップ電圧コンバータを必要とする場合があるが、フラッシュメモリチップは、それと同時に、3.3Vを2.5Vに変換するオンチップ電圧コンバータを必要とする場合がある。単一システム内にさまざまな電源電圧があると、電圧の制御および変換は、問題を引き起こす、非常に複雑な、コストがかかる可能性がある。
相互接続スキームは、ICを他の回路またはシステムコンポーネントに接続する金属製接続部を含む。このような相互接続スキームは、比較的重要なものとなっており、ICの小型化が進むにつれ、チップの電圧および制御を含む、回路性能に対するマイナスの影響を次第に強めてきている。例えば、金属製相互接続部の寄生容量および抵抗は、より小さなスケールで増大し、チップ性能を著しく低下させる。この点において著しく懸念されるのが、電力およびグランドバスにそった電圧降下およびクリティカルシグナルパス(critical signal paths)のRC遅延である。より幅広の金属線を使用して抵抗を減らそうとすると、それらのワイヤのキャパシタンスが高くなる。
この問題を解決するために、ワイヤ用に低抵抗の金属(銅など)を開発する一方で、低誘電体材料を信号線と信号線との間に使用する一アプローチがとられた。現行の実務慣例では、パッシベーション層(layer of passivation)の下に金属相互接続ネットワーク(metal interconnection networks)を形成するが、このアプローチは相互接続ネットワークを細線相互接続(fine line interconnects)に制限し、これは高い寄生容量と高いライン抵抗率(line resistivity)を伴う。後者の2つのパラメータは、比較的高い値であるため、デバイス性能を低下させ、その結果は、より高い周波数のアプリケーション、および、例えばクロック分配線に使用される長い相互接続線に対して、なおいっそう厳しいものとなる。また、細線相互接続金属は、典型的にはグランドバスおよび電源バスに必要な高い値の電流を流すことができない。
本開示は、チップまたはチップパッケージの各主要面に対するオーバーパッシベーション(over-passivation)および底部相互接続(bottom interconnection)スキーム(または「技術」)を利用する集積回路チップおよびチップパッケージに向けられている。
本開示の態様は、チップまたはチップパッケージの一方の面における一方の相互接続スキーム、および/または、チップまたはチップパッケージの他方の面の他方の相互接続スキーム、例えば、チップの上面におけるオーバーパッシベーションスキームおよび同じチップの底部における底部スキーム(bottom scheme)、を含むチップまたはチップパッケージを対象とする。集積回路チップは、ボールグリッドアレイ(BGA)基板、プリント基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路または構造に、オーバーパッシベーションスキームまたは底部スキームを通じて接続することができる。
本開示の他の態様は、オンチップ電圧調整および/または変換デバイスおよび回路を対象とする。
本開示の例示的な実施形態は、チップのパッシベーション層におけるオーバーパッシベーションスキームおよびチップの半導体基板の裏面における底部スキームを有するチップを提供する。例示的な実施形態は、チップまたはチップパッケージのいずれかの面、例えばチップの上面またはチップの底面(裏面)、に対するポストパッシベーション(post-passivation)スキームを含むものとしてよい。
本開示の例示的な実施形態は、異なる電圧特性を必要とする複数のデバイスまたは回路ユニット(例えば、サブ回路または回路の部分)に電力を送ることができるオンチップ電圧調整および/または変換デバイスもしくは回路を提供することができる。
さらに、例示的な実施形態は、寄生効果による損失をほとんど生じることなく1つまたは複数のデバイスまたは回路ユニットに、電圧または電力を供給することができる。
さらに他の実施形態は、パッシベーション層を通じて、およびパッシベーション層の上に蒸着された粗導体(coarse conductor)を使って1つまたは複数のデバイスもしくは回路ユニットに電力の送達を行うことができる。
実施形態は、少なくとも1つの内部回路または内部デバイスから、少なくとも1つの他の内部回路またはデバイスに、信号、電力、および/またはグランド出力を分配することができるオーバーパッシベーション金属相互接続部をさらに形成することができる。例示的な実施形態は、ESD、ドライバ、またはレシーバ回路に接続することなくそのように形成することができる。
実施形態は、本開示による他のチップおよびチップパッケージを含む、少なくとも1つの内部回路または内部デバイスから、少なくとも1つの他の内部回路またはデバイスおよび/または外部(チップの外部の)回路に、信号、電力、および/またはグランド出力を分配することができるオーバーパッシベーション金属相互接続部をさらに提供することができる。
本開示のこれらの、さらには他の、コンポーネント、ステップ、特徴、利点、および長所は、これ以降、例示される実施形態の以下の詳細な説明、添付図面、および請求項を検討することで明らかになるであろう。
図面は、本開示の例示的な実施形態を開示するものである。これらの図面で、すべての実施形態を説明しているわけではない。他の実施形態も、述べている実施形態に加えて、またはその代わりに使用することができる。明らかであるか、または不要であると思われる詳細は、スペースを節約するために、またはより効果的に説明するために省略する場合がある。逆に、いくつかの実施形態は、開示されている詳細のすべてがなくても実施することが可能である。同じ番号が異なる図面の中に出現した場合、これは、同じ、または類似のコンポーネントもしくはステップを指す。
本開示の態様は、その性質上説明に役立つものとしてみなされ、また制限するものとはみなされない、添付図面と併せて読むと、以下の説明からさらにきちんと理解することができる。これらの図面は、必ずしも縮尺通りではなく、代わりに本開示の原理に重点を置いている。
図1Aは、細線メタライゼーション(fine-line metallization)を通じて複数の内部回路に接続されている従来技術の電圧レギュレータまたはコンバータ回路の概略図である。 図1Bは、一実施形態による、オーバーパッシベーション電源バス(金属線、トレース、またはプレーン)を通じて複数の内部回路に接続されている電圧レギュレータまたはコンバータ回路の概略図である。 図1Cは、一実施形態による、オーバーパッシベーション電源バスおよびグランドバス(金属線、トレース、またはプレーン)を通じて複数の内部回路に接続されている電圧レギュレータまたは電圧コンバータの概略図である。 図1Dは、一実施形態による、オーバーパッシベーション電源バスおよびグランドバス(金属線、トレース、またはプレーン)を通じて複数の内部回路に接続されている電圧レギュレータまたは電圧コンバータの概略図である。 図2Aは、細線メタライゼーションを通じて複数の内部回路に接続されている従来技術の電圧レギュレータまたはコンバータ回路の上面レイアウト図である。 図2Bは、一実施形態による、オーバーパッシベーション電源バス(金属線、トレース、またはプレーン)を通じて複数の内部回路に接続されている電圧レギュレータまたはコンバータ回路の上面レイアウト図である。 図2Cは、一実施形態による、オーバーパッシベーション電力およびグランドバス(金属線、トレース、またはプレーン)を通じて複数の内部回路に接続されている電圧レギュレータまたはコンバータ回路の上面レイアウト図である。 図3Aは、細線メタライゼーションを通じて複数の内部回路に接続されている従来技術の電圧レギュレータまたはコンバータ回路の断面図である。 図3Bは、一実施形態による、オーバーパッシベーション電源バス(金属線、トレース、またはバス)を通じて複数の内部回路に接続されている電圧レギュレータまたはコンバータ回路の断面図である。 図3Cは、一実施形態による、オーバーパッシベーション電力およびグランドバス(2つのパターン形成された回路金属層内の金属線、トレース、またはプレーン)を通じて複数の内部回路に接続されている電圧レギュレータまたはコンバータ回路の断面図である。 図3Dは、一実施形態による、オーバーパッシベーション電源バス(金属線、トレース、またはバス)を通じて複数の内部回路に接続されている電圧レギュレータまたはコンバータ回路の断面図である。この図は、一番下のオーバーパッシベーション金属層とパッシベーション層との間に追加のポリマー層が設けられている点を除き、図3Bと類似である。 図4は、一実施形態による、CMOS電圧コンバータ回路の一例の概略図である。 図5Aは、一実施形態による、パッシベーション層の下の細線メタライゼーション構造を通じて接続されている複数の内部回路の概略図である。 図5Bは、一実施形態による、信号を伝送するためにパッシベーション層の上の厚く幅広の金属層を通じて接続されている複数の内部回路の概略図である。 図5Cは、一実施形態による、図5Bに示されている内部回路21に適用することができる、インバータの回路図を示す。 図5Dは、一実施形態による、図5Bに示されている内部回路21に適用することができる、内部ドライバの回路図を示す。 図5Eは、一実施形態による、図5Bに示されている内部回路21に適用することができる、トライステートバッファの回路図を示す。 図5Fは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Bに示されている内部回路21に適用することができる、トライステートバッファの回路図。 図5Gは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Bに示されている内部回路21に適用することができる、ゲートスイッチの回路図を示す。 図5Hは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Bに示されている内部回路21に適用することができる、ラッチ回路の回路図を示す。 図5Iは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Bに示されている内部回路21に適用することができる、ゲートスイッチおよび内部ドライバの回路図を示す。 図5Jは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Bに示されている内部回路21に適用することができる、ラッチ回路および内部ドライバの回路図を示す。 図5Kは、一実施形態による、信号を伝送するためにパッシベーション層の上の厚く幅広の金属層を通じて接続されている複数の内部回路の概略図である。 図5Lは、一実施形態による、図5Kに示されている内部回路21に適用することができる、内部レシーバの回路図を示す。 図5Mは、一実施形態による、図5Kに示されている内部回路21に適用することができる、トライステートバッファの回路図を示す。 図5Nは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Kに示されている内部回路21に適用することができる、トライステートバッファの回路図を示す。 図5Oは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Kに示されている内部回路21に適用することができる、ゲートスイッチの回路図を示す。 図5Pは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Kに示されている内部回路21に適用することができる、ラッチ回路の回路図を示す。 図5Qは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Kに示されている内部回路21に適用することができる、ゲートスイッチおよび内部レシーバの回路図である。 図5Rは、一実施形態による、メモリセルに接続されているセンス増幅器に接続されている、図5Kに示されている内部回路21に適用することができる、ラッチ回路および内部レシーバの回路図を示す。 図5Sは、一実施形態による、アナログ信号を伝送するためにパッシベーション層の上の厚く幅広の金属層を通じて接続されている複数の内部回路の概略図である。 図5Tは、一実施形態による、図5Sに示されている内部回路21に適用することができる、差動増幅器の回路図を示す。 図5Uは、一実施形態による、パッシベーション層の上にアドレスバスおよびデータバスを備えるメモリチップの概略図を示す。 図5Vは、一実施形態による、パッシベーション層の上にアドレスバスおよびデータバスを備えるメモリチップの概略図を示す。 図5Wは、一実施形態による、パッシベーション層の上にアドレスバスおよびデータバスを備えるメモリチップの概略図を示す。 図5Xは、一実施形態による、パッシベーション層の上にアドレスバスおよびデータバスを備えるメモリチップの概略図を示す。 図5Yは、一実施形態による、パッシベーション層の上にアドレスバスおよびデータバスを備えるメモリチップの概略図を示す。 図5Zは、一実施形態による、パッシベーション層の上にアドレスバスおよびデータバスを備えるメモリチップの概略図を示す。 図6Aは、一実施形態による、内部回路から他の内部回路への信号の分配の上面レイアウト図である。 図6Bは、一実施形態による、ハンダバンプもオフチップ回路も必要としない、オーバーパッシベーション相互接続スキームを通じて内部回路が他の内部回路に信号を送る、信号分配の上面レイアウト図である。 図7Aは、一実施形態による、内部回路から他の内部回路への信号の分配の断面図である。 図7Bは、一実施形態による、ハンダバンプもオフチップ回路も必要としない、オーバーパッシベーション相互接続スキームを通じて内部回路が他の内部回路に信号を送る、信号分配の断面図である。 図7Cは、一実施形態による、ハンダバンプもオフチップ回路も必要としない、オーバーパッシベーションスキームを通じて内部回路が他の内部回路に信号を送る、信号分配の断面図である。2つのオーバーパッシベーションスキームが2つの金属層を含む。 図7Dは、一実施形態による、オーバーパッシベーション相互接続スキームを通じて内部回路が他の内部回路に信号を送る、信号分配の断面図である。この図は、パッシベーション層と一番下のオーバーパッシベーション金属層との間に追加のポリマー層が設けられている点を除き、図7Bと類似である。 図8Aは、一実施形態による、細線スキームを使用してオフチップ回路を通る内部回路から外部回路への信号の分配の概略図である。 図8Bは、一実施形態による、オーバーパッシベーション金属および細線金属を通じて、およびオフチップ回路を通じて外部回路に伝搬する内部回路内に発生する信号の概略図である。 図8Cは、一実施形態による、オーバーパッシベーション金属および細線金属を通じて、およびオフチップ回路を通じて、外部回路から内部回路に伝送される信号の概略図である。 図8Dは、一実施形態による、オーバーパッシベーション金属および細線金属を通じて、およびオフチップ回路を通じて外部回路に伝搬する内部回路内に発生する信号の概略図である。 図8Eは、一実施形態による、オーバーパッシベーション金属および細線金属を通じて、およびオフチップ回路を通じて外部回路に伝搬する内部回路内に発生する信号の概略図である。 図8Fは、一実施形態による、オーバーパッシベーション金属および細線金属を通じて、およびオフチップ回路を通じて外部回路に伝搬する内部回路内に発生する信号の概略図である。 図9Aは、一実施形態による、細線スキームを使用してオフチップ回路を通る内部回路から外部回路への信号の分配の上面レイアウト図である。 図9Bは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続されている複数の内部回路の上面レイアウト図である。 図9Cは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続され、オフチップ回路は2段カスケードオフチップドライバ421を含む、複数の内部回路の上面レイアウト図である。 図9Dは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続され、オフチップ回路は4段カスケードオフチップドライバ42を含む、複数の内部回路の上面レイアウト図である。 図10Aは、一実施形態による、細線スキームを使用してオフチップ回路を通る内部回路から外部回路への信号の分配の断面図である。 図10Bは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続されている複数の内部回路の断面図である。 図10Cは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続されている複数の内部回路の断面図である。 図10Dは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続されている複数の内部回路の断面図である。 図10Eは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続されている複数の内部回路の断面図である。 図10Fは、一実施形態による、パッシベーション層の下の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続され、パッシベーション層上で再配置パッドにワイヤボンディングされたワイヤを備える、複数の内部回路の断面図である。 図10Gは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続されている複数の内部回路の断面図である。 図10Hは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続されている複数の内部回路の断面図である。 図10Iは、一実施形態による、パッシベーション層の上の厚く幅広の金属トレース、バス、またはプレーンを通じてオフチップ回路に接続されている複数の内部回路の断面図である。 図11Aは、一実施形態による、図8Bに示されているオフチップバッファ42に適用することができる、オフチップドライバ回路の一例の概略図である。 図11Bは、一実施形態による、図8Cに示されているオフチップバッファ42に適用することができる、オフチップレシーバ回路の一例の概略図である。 図11Cは、一実施形態による、図8Bに示されているオフチップバッファ42に適用することができる、オフチップトライステートバッファの一例の概略図である。 図11Dは、一実施形態による、図8Eに示されているオフチップバッファ42に適用することができる、オフチップドライバ回路の一例の概略図である。 図11Eは、一実施形態による、図8Cに示されているオフチップバッファ42に適用することができる、オフチップトライステートバッファの一例の概略図である。 図11Fは、一実施形態による、図8B、8C、8E、および8Fに示されているオフチップESD回路43に適用することができる、ESD接続の一例の概略図である。 図11Gは、一実施形態による、図8Fに示されているオフチップバッファ42に適用することができる、4段カスケードオフチップドライバ回路の一例の概略図である。 図11Hは、一実施形態による、図8Dに示されているオフチップESD回路43に適用することができる、2つのESD接続の一例の概略図である。 図12Aは、一実施形態による、内部回路への外部電源の分配の概略図である。 図12Bは、一実施形態による、オーバーパッシベーション金属を通る内部回路への外部電源の分配の概略図である。ESD保護回路がオーバーパッシベーション金属に接続されている。 図12Cは、一実施形態による、オーバーパッシベーション金属を通る内部回路への外部電源および外部グランドの分配の概略図である。内部回路の電源およびグランドノードの両方がオーバーパッシベーション金属に接続されている。ESD回路がオーバーパッシベーション金属に接続されている。 図12Dは、一実施形態による、オーバーパッシベーション金属を通る内部回路への外部電源および外部グランドの分配の概略図である。複数のESD回路がオーバーパッシベーション金属に接続されている。 図12Eは、一実施形態による、図12B〜12Dに示されているESD回路44または45に適用することができる、ESD回路の一例の概略図である。 図13Aは、一実施形態による、内部回路への外部電源の分配の上面レイアウト図である。 図13Bは、一実施形態による、オーバーパッシベーション金属を通る内部回路への外部電源の分配の上面レイアウト図である。ESD保護回路がオーバーパッシベーション金属に接続されている。 図13Cは、一実施形態による、オーバーパッシベーションを通る内部回路への外部電源および外部グランドの分配の上面レイアウト図である。内部回路の電源およびグランドノードの両方がオーバーパッシベーション金属に接続されている。ESD保護回路がオーバーパッシベーション電力およびグランドトレース、バス、またはプレーンに接続されている。 図14Aは、一実施形態による、内部回路への外部電源の分配の断面図である。 図14Bは、一実施形態による、オーバーパッシベーション金属を通る内部回路への外部電源の分配の断面図である。ESD保護回路がオーバーパッシベーション金属に接続されている。 図14Cは、一実施形態による、オーバーパッシベーション金属を通る内部回路への外部電源および外部グランドの分配の断面図である。内部回路の電源およびグランドノードの両方がオーバーパッシベーション金属に接続されている。電力線、トレース、またはプレーンは、第2のオーバーパッシベーション金属層内にあるが、グランド線、トレース、またはプレーンは第2のオーバーパッシベーション金属層の下の第1のオーバーパッシベーション金属層内にある。ESD保護回路がオーバーパッシベーション金属に接続されている。 図14Dは、一実施形態による、オーバーパッシベーション金属を通る内部回路への外部電源の分配の断面図である。ESD保護回路がオーバーパッシベーション金属に接続されている。この図は、一番下のオーバーパッシベーション金属層とパッシベーション層との間に追加のポリマー層が形成される点を除き、図14Bと類似である。 図15Aは、一実施形態による、出発材料を示す。 図15Bは、一実施形態による、出発材料を示す。出発材料はウェハである。本開示のオーバーパッシベーションスキームは、ウェハの上に構築される。図15Bは、パッシベーション層内の開口部によって露出される金属パッドまたはトレースの上にオプションの金属キャップを有するという点で図15Aと異なる。 図15Cは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Dは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Eは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Fは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Gは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Hは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Iは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Jは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Kは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Lは、一実施形態による、それぞれの金属層がエンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図15Mは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームを含む半導体ウェハを形成するプロセスを示す断面図である。 図16Aは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す図。 図16Bは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Cは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Dは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Eは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Fは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Gは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Hは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Iは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Jは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Kは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Lは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図16Mは、一実施形態による、第1のオーバーパッシベーション金属層がダブルエンボス加工プロセスによって形成され、第2のオーバーパッシベーション金属層がシングル(一重)エンボス加工プロセスによって形成される、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Aは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Bは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Cは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Dは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Eは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Fは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Gは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Hは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Iは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図17Jは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。第1および第2のオーバーパッシベーション金属層は、好適な1つまたは複数のプロセス、例えば、ダブルエンボス加工プロセスによって形成されるが、第3の(一番上の)オーバーパッシベーション金属層は、好適な1つまたは複数のプロセス、例えば、シングル(一重)エンボス加工プロセスによって形成される。 図18Aは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図18Bは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図18Cは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図18Dは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図18Eは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図18Fは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図18Gは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図18Hは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図18Iは、一実施形態による、3つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。第1および第3のオーバーパッシベーション金属層は、好適な1つまたは複数のプロセス、例えば、シングル(一重)エンボス加工プロセスによって形成されるが、第2のオーバーパッシベーション金属層は、好適な1つまたは複数のプロセス、例えば、ダブルエンボス加工プロセスによって形成される。 図19Aは、一実施形態による、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図19Bは、一実施形態による、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図19Cは、一実施形態による、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図19Dは、一実施形態による、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図19Eは、一実施形態による、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図19Fは、一実施形態による、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図19Gは、一実施形態による、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図19Hは、一実施形態による、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。 図19Iは、一実施形態による、2つの金属層でオーバーパッシベーションスキームを形成するプロセスステップを示す。第1のオーバーパッシベーション金属層は、好適な1つまたは複数のプロセス、例えば、ダブルエンボス加工プロセスによって形成されるが、第2の(一番上の)オーバーパッシベーション金属層は、好適な1つまたは複数のプロセス、例えば、シングル(一重)エンボス加工プロセスによって形成される。 図20は、一実施形態による、オーバーパッシベーションスキームおよび細線スキームにおける金属線またはトレースに対する単位長さ当たりの静電容量を計算するためのモデルを示す。 図21は、一実施形態による、PMOSトランジスタまたはNMOSトランジスタであるものとしてよいMOSトランジスタの上面図を示す。 図22は、一実施形態による、PMOSトランジスタまたはNMOSトランジスタであるものとしてよいMOSトランジスタの上面図を示す。 図23Aは、一実施形態による、DRAMチップのパッケージングの断面図を示す。 図23Bは、一実施形態による、DRAMチップのパッケージングの断面図を示す。 図23Cは、一実施形態による、DRAMチップのパッケージングの断面図を示す。 図23Dは、一実施形態による、DRAMチップのパッケージングの断面図を示す。 図23Eは、一実施形態による、DRAMチップのパッケージングの断面図を示す。 図23Fは、一実施形態による、DRAMチップのパッケージングの断面図を示す。 図23Gは、一実施形態による、DRAMチップのパッケージングの断面図を示す。 図23Hは、一実施形態による、DRAMチップのパッケージングの断面図を示す。 図23Iは、一実施形態による、DRAMチップのパッケージングの断面図である。 図23Jは、一実施形態による、DRAMチップのパッケージングの断面図である。 図23Kは、一実施形態による、DRAMチップのパッケージングの断面図である。 図23Lは、一実施形態による、DRAMチップのパッケージングの断面図である。 図23Mは、一実施形態による、DRAMチップのパッケージングの断面図である。 図23Nは、一実施形態による、DRAMチップのチップパッケージの上面図を示す。 図24Aは、一実施形態による、半導体ウェハの断面図を示す。 図24Bは、一実施形態による、図24Aに示されている半導体ウェハのシリコン基板を薄くするプロセスを示す断面図である。 図24Cは、一実施形態による、半導体ウェハのシリコン基板内のシリコン貫通ビアを含み、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を含む、図24Bに示されている、半導体ウェハの断面図である。 図24Dは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成するプロセスを示す断面図である。 図24Eは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成するプロセスを示す断面図である。 図24Fは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成するプロセスを示す断面図である。 図24Gは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成するプロセスを示す断面図である。 図24Hは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成するプロセスを示す断面図である。 図24Iは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成する他のプロセスを示す断面図である。 図24Jは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成する他のプロセスを示す断面図である。 図24Kは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成する他のプロセスを示す断面図である。 図24Lは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成する他のプロセスを示す断面図である。 図24Mは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成する他のプロセスを示す断面図である。 図24Nは、一実施形態による、図24Bに示されている半導体ウェハのシリコン基板内のシリコン貫通ビアを形成し、シリコン貫通ビアの側壁およびシリコン基板の裏面の絶縁層を形成する他のプロセスを示す断面図である。 図25Aは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Bは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Cは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Dは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Eは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Fは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Gは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Hは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Iは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Jは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Kは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Lは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図25Mは、一実施形態による、半導体ウェハの断面図である。 図25Nは、一実施形態による、半導体ウェハの断面図である。 図25Oは、一実施形態による、半導体ウェハの断面図である。 図25Pは、一実施形態による、半導体ウェハの断面図である。 図25Qは、一実施形態による、半導体ウェハの断面図である。 図25Rは、一実施形態による、半導体ウェハの断面図である。 図25Sは、一実施形態による、半導体ウェハの断面図である。 図25Tは、一実施形態による、半導体ウェハの断面図である。 図25Uは、一実施形態による、パッケージの断面図である。 図25Vは、一実施形態による、パッケージの断面図である。 図25Wは、一実施形態による、パッケージの断面図である。 図26Aは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図26Bは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図26Cは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図26Dは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図26Eは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図26Fは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図26Gは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図26Hは、一実施形態による、半導体ウェハの断面図である。 図26Iは、一実施形態による、半導体ウェハの断面図である。 図26Jは、一実施形態による、半導体ウェハの断面図である。 図26Kは、一実施形態による、半導体ウェハの断面図である。 図27Aは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Bは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Cは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Dは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Eは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Fは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Gは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Hは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Iは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Jは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Kは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Lは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Mは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Nは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Oは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Pは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Qは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図27Rは、一実施形態による、半導体ウェハの断面図である。 図27Sは、一実施形態による、半導体ウェハの断面図である。 図27Tは、一実施形態による、半導体ウェハの断面図である。 図28Aは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図28Bは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図28Cは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図28Dは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図28Eは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図28Fは、一実施形態による、図24Cに示されている半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図28Gは、一実施形態による、半導体ウェハの断面図である。 図28Hは、一実施形態による、半導体ウェハの断面図である。 図28Iは、一実施形態による、半導体ウェハの断面図である。 図28Jは、一実施形態による、チップパッケージの断面図である。 図29Aは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Bは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Cは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Dは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Eは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Fは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Gは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Hは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Iは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Jは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Kは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Lは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Mは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Nは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Oは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Pは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Qは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Rは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Sは、一実施形態による、半導体チップの簡略化した回路図を示す。 図29Tは、一実施形態による、半導体チップの簡略化した回路図を示す。 図30Aは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図30Bは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図30Cは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図30Dは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図30Eは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図30Fは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図30Gは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図30Hは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図30Iは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図30Jは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームおよび半導体ウェハの裏面における底部スキームを含む半導体ウェハを形成するためのプロセスを示す断面図である。 図30Kは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームおよび半導体ウェハの裏面における底部スキームを含む半導体ウェハを形成するためのプロセスを示す断面図である。 図30Lは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームおよび半導体ウェハの裏面における底部スキームを含む半導体ウェハを形成するためのプロセスを示す断面図である。 図30Mは、一実施形態による、半導体ウェハのシリコン基板のアクティブ面における金属パッド、バンプ、またはトレースを含み、シリコン側の裏面における底部スキームを含む半導体ウェハを形成するためのプロセスの断面図。 図30Nは、一実施形態による、半導体ウェハのシリコン基板のアクティブ面における金属パッド、バンプ、またはトレースを含み、シリコン側の裏面における底部スキームを含む半導体ウェハを形成するためのプロセスを示す断面図である。 図31Aは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図31Bは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図31Cは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図31Dは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図31Eは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図31Fは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図31Gは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図31Hは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームおよび半導体ウェハの裏面における底部スキームを含む半導体ウェハを形成するためのプロセスを示す断面図である。 図31Iは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームおよび半導体ウェハの裏面における底部スキームを含む半導体ウェハを形成するためのプロセスを示す断面図である。 図31Jは、一実施形態による、半導体ウェハのシリコン基板のアクティブ面における金属パッド、バンプ、またはトレースを含み、シリコン側の裏面における底部スキームを含む半導体ウェハを形成するためのプロセスを示す断面図である。 図32Aは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Bは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Cは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Dは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Eは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Fは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Gは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Hは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Iは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Jは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Kは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Lは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Mは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Nは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Oは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Pは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Qは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Rは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図32Sは、一実施形態による、半導体ウェハのシリコン基板のアクティブ面における金属パッド、バンプ、またはトレースを含み、シリコン側の裏面における底部スキームを含む半導体ウェハを形成するためのプロセスを示す断面図である。 図32Tは、一実施形態による、半導体ウェハのシリコン基板のアクティブ面における金属パッド、バンプ、またはトレースを含み、シリコン側の裏面における底部スキームを含む半導体ウェハを形成するためのプロセスを示す断面図である。 図32Uは、一実施形態による、半導体ウェハを形成するためのプロセスを示す断面図である。 図33Aは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Bは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Cは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Dは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Eは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Fは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Gは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Hは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Iは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Jは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Kは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Lは、一実施形態による、半導体ウェハのシリコン基板のアクティブ面におけるオーバーパッシベーションスキームを使用する図33Kに例示されている半導体ウェハを示す断面図である。 図33Mは、一実施形態による、半導体ウェハのシリコン基板のアクティブ面における金属パッド、バンプ、またはトレースを備えた図33Kに例示されている半導体ウェハを示す断面図である。 図33Nは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Oは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Pは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Qは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Rは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Sは、一実施形態による、半導体ウェハの裏面における底部構造技術の底部スキームを形成するためのプロセスを示す断面図である。 図33Tは、一実施形態による、半導体ウェハの断面図である。 図33Uは、一実施形態による、半導体ウェハの断面図である。 図33Vは、一実施形態による、半導体ウェハのキャパシタの上面図を示す。 図33Wは、一実施形態による、図33Vの点線A−Aにそって切り取った断面図を示す。 図33Yは、一実施形態による、半導体ウェハのキャパシタの上面図を示す。 図33Yは、一実施形態による、図33Xの点線A−Aにそって切り取った断面図を示す。 図34Aは、一実施形態による、半導体ウェハのパッシベーション層にスーパーキャパシタ、パターン回路層、金属バンプまたはピラー、および2つのポリマー層を形成するプロセスを示す断面図である。 図34Bは、一実施形態による、半導体ウェハのパッシベーション層にスーパーキャパシタ、パターン回路層、金属バンプまたはピラー、および2つのポリマー層を形成するプロセスを示す断面図である。 図34Cは、一実施形態による、半導体ウェハのパッシベーション層にスーパーキャパシタ、パターン回路層、金属バンプまたはピラー、および2つのポリマー層を形成するプロセスを示す断面図である。 図34Dは、一実施形態による、半導体ウェハのパッシベーション層にスーパーキャパシタ、パターン回路層、金属バンプまたはピラー、および2つのポリマー層を形成するプロセスを示す断面図である。 図34Eは、一実施形態による、半導体ウェハのパッシベーション層にスーパーキャパシタ、パターン回路層、金属バンプまたはピラー、および2つのポリマー層を形成するプロセスを示す断面図である。 図34Fは、一実施形態による、半導体ウェハのパッシベーション層にスーパーキャパシタ、パターン回路層、金属バンプまたはピラー、および2つのポリマー層を形成するプロセスを示す断面図である。 図34Gは、一実施形態による、半導体ウェハのパッシベーション層にスーパーキャパシタ、パターン回路層、金属バンプまたはピラー、および2つのポリマー層を形成するプロセスを示す断面図である。 図35Aは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームおよび半導体ウェハの裏面における底部スキーム、ディスクリート(離散)インダクタ、およびディスクリートキャパシタを含む半導体ウェハを形成するプロセスを示す断面図である。 図35Bは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームおよび半導体ウェハの裏面における底部スキーム、ディスクリートインダクタ、およびディスクリートキャパシタを含む半導体ウェハを形成するプロセスを示す断面図である。 図35Cは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームおよび半導体ウェハの裏面における底部スキーム、ディスクリートインダクタ、およびディスクリートキャパシタを含む半導体ウェハを形成するプロセスを示す断面図である。 図35Dは、一実施形態による、半導体ウェハのパッシベーション層におけるオーバーパッシベーションスキームおよび半導体ウェハの裏面における底部スキーム、ディスクリートインダクタ、およびディスクリートキャパシタを含む半導体ウェハを形成するプロセスを示す断面図である。 図36Aは、一実施形態による、チップパッケージモジュールの断面図である。 図36Bは、一実施形態による、チップパッケージモジュールの断面図である。 図36Cは、一実施形態による、チップパッケージモジュールの断面図である。 図36Dは、一実施形態による、チップパッケージモジュールの断面図である。 図37Aは、一実施形態による、モジュールの断面図である。 図37Bは、一実施形態による、モジュールの断面図である。 図37Cは、一実施形態による、モジュールの断面図である。 図37Dは、一実施形態による、モジュールの断面図である。 図38Aは、一実施形態による、モジュールの断面図である。 図38Bは、一実施形態による、モジュールの断面図である。 図38Cは、一実施形態による、モジュールの断面図である。 図38Dは、一実施形態による、モジュールの断面図である。 図39Aは、一実施形態による、キャッシュメモリチップおよびチップのインターフェイス回路をそれぞれ示す回路図である。 図39Bは、一実施形態による、キャッシュメモリチップ内のチップ間回路の回路図を示す。 図39Cは、一実施形態による、キャッシュメモリチップ内のチップ間回路の回路図を示す。 図39Dは、一実施形態による、チップ内のチップ間回路の回路図を示す。 図39Eは、一実施形態による、チップ内のチップ間回路の回路図を示す。 図39Fは、一実施形態による、チップ内のオフチップバッファの回路図を示す。 図39Gは、一実施形態による、チップ内のオフチップバッファの回路図を示す。 図39Hは、一実施形態による、キャッシュメモリチップおよびチップのインターフェイス回路をそれぞれ示す回路図である。 図39Iは、一実施形態による、キャッシュメモリチップおよびチップのインターフェイス回路をそれぞれ示す回路図である。 図39Jは、一実施形態による、キャッシュメモリチップおよびチップのインターフェイス回路をそれぞれ示す回路図である。 図40Aは、一実施形態による、チップのESDユニットのアクティブ領域を計算し、1つまたは複数のESDユニットからなるESD回路のサイズを定義する方法を示す。 図40Bは、一実施形態による、チップのESDユニットのアクティブ領域を計算し、1つまたは複数のESDユニットからなるESD回路のサイズを定義する方法を示す。 図40Cは、一実施形態による、チップのESDユニットのアクティブ領域を計算し、1つまたは複数のESDユニットからなるESD回路のサイズを定義する方法を示す。 図40Dは、一実施形態による、チップのESDユニットのアクティブ領域を計算し、1つまたは複数のESDユニットからなるESD回路のサイズを定義する方法を示す。 図40Eは、一実施形態による、チップのESDユニットのアクティブ領域を計算し、1つまたは複数のESDユニットからなるESD回路のサイズを定義する方法を示す。 図40Fは、一実施形態による、チップのESDユニットのアクティブ領域を計算し、1つまたは複数のESDユニットからなるESD回路のサイズを定義する方法を示す。 図41は、一実施形態による、基板、無線周波数(RF)モジュール、およびワイヤボンディングで接合された積層メモリBGAパッケージを備えるモジュールの断面図を示す。 図42Aは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Bは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Cは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Dは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Eは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Fは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Gは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Hは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Iは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Jは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Kは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Lは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Mは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Nは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図42Oは、一実施形態による、チップを形成するためのプロセスを示す断面図である。 図43Aは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図43Bは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図43Cは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図43Dは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図43Eは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図44Aは、一実施形態による、図42Nに示されているチップを図43Dに示されている半導体ウェハとボンディングで接合するためのプロセスを示す断面図である。 図44Bは、一実施形態による、図42Nに示されているチップを図43Dに示されている半導体ウェハとボンディングで接合するためのプロセスを示す断面図である。 図44Cは、一実施形態による、図42Nに示されているチップを図43Dに示されている半導体ウェハとボンディングで接合するためのプロセスを示す断面図である。 図45Aは、一実施形態による、キャッシュメモリチップおよびチップのインターフェイス回路をそれぞれ示す回路図である。 図45Bは、一実施形態による、キャッシュメモリチップおよびチップのインターフェイス回路をそれぞれ示す回路図である。 図46Aは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Bは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Cは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Dは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Eは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Fは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Gは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Hは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Iは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Jは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Kは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Lは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Mは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図46Nは、一実施形態による、最終的に複数のキャッシュメモリチップに切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。 図47Aは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Bは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Cは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Dは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Eは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Fは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Gは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Hは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Iは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Jは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Kは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図47Lは、一実施形態による、プロセッサユニットを形成するためのプロセスを示す断面図である。 図48Aは、一実施形態による、他の積層DRAM BGAパッケージ1390を示す。 図48Bは、一実施形態による、図48Aに示されている積層DRAM BGAチップ1390内に組み立てられたDRAMチップ1392の上面図を示す。 図48Cは、一実施形態による、再分配回路層1411がもっぱらスパッタリングされたアルミニウムからなる、図48Bの切断線F−F’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられた様々なタイプのDRAMチップ1392の断面図を示す。 図48Dは、一実施形態による、再分配回路層1411がもっぱらスパッタリングされたアルミニウムからなる、図48Bの切断線F−F’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられた様々なタイプのDRAMチップ1392の断面図を示す。 図48Eは、一実施形態による、再分配回路層1411がもっぱら電気メッキされた銅または金からなる、図48Bの切断線F−F’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられた様々なタイプのDRAMチップ1392の断面図を示す。 図48Fは、一実施形態による、再分配回路層1411がもっぱら電気メッキされた銅または金からなる、図48Bの切断線F−F’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられた様々なタイプのDRAMチップ1392の断面図を示す。 図48Gは、一実施形態による、図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられたDRAMチップ1392の上面図を示す。 図48Hは、一実施形態による、再分配回路層1411がもっぱらスパッタリングされたアルミニウムからなる、図48Gの切断線G−G’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に配置されるか、または組み立てられるか、または組み込まれた様々なタイプのDRAMチップ1392の断面図を示す。 図48Iは、一実施形態による、再分配回路層1411がもっぱらスパッタリングされたアルミニウムからなる、図48Gの切断線G−G’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に配置されるか、または組み立てられるか、または組み込まれた様々なタイプのDRAMチップ1392の断面図を示す。 図48Jは、一実施形態による、再分配回路層1411がもっぱら電気メッキされた銅または金からなる、図48Gの切断線G−G’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられた様々なタイプのDRAMチップ1392の断面図を示す。 図48Kは、一実施形態による、再分配回路層1411がもっぱら電気メッキされた銅または金からなる、図48Gの切断線G−G’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられた様々なタイプのDRAMチップ1392の断面図を示す。
いくつかの実施形態が図面内に示されているが、当業者であれば、示されている実施形態が例示的であり、示されている実施形態の変更形態、さらには本明細書で説明されている他の実施形態も、本開示の範囲内にあると想定され実施されうることを理解するであろう。
これから、例示的な実施形態について説明する。他の実施形態は、加えてまたは代わりに使用することができる。明らかであるか、または不要であると思われる詳細は、スペースを節約するために、またはより効果的に提示するために省略する場合がある。逆に、いくつかの実施形態は、開示されている詳細のすべてがなくても実施することが可能である。
本開示は、チップまたはチップパッケージの各主要面に対しオーバーパッシベーションおよび底部相互接続スキーム(または「技術」)を利用する集積回路チップおよびチップパッケージを提供する。
本開示の態様は、チップまたはチップパッケージの一方の面における一方の相互接続スキームおよび/またはチップまたはチップパッケージの他方の面の他方の相互接続スキーム、例えば、チップの上面におけるオーバーパッシベーションスキームおよび同じチップの底面における底部スキーム、を含むチップまたはチップパッケージを対象とする。
本開示の他の態様は、オンチップ電圧調整および/または変換デバイスおよび回路を対象とする。このようなオンチップ電圧レギュレータおよびコンバータは、オンチップ電力/グランドバスを通じてICチップ上のさまざまな場所に配置される半導体デバイスの定電圧源を提供することができる。電圧は、寄生損失を最小限に抑え/低減し、エネルギー消費量を最小限に抑え/低減し、および/またはリップル効果を最小限に抑え/低減して、供給することができる。
図1A、2A、および3Aは、本開示の実施形態を説明するために有用な、従来技術のチップ構造の、それぞれ、回路図、上面図、および断面図を示す。電圧レギュレータまたはコンバータ回路41は、外部電源電圧Vddを受け入れ、電源電圧Vccを出力し、電源電圧Vccを、パッシベーション層5の下のIC細線金属トレース(fine-line metal traces)6191および61を使用して、21、22、23、および24を含む、内部回路20に送る。IC細線金属トレース61は、618、6111、6121a、6121b、6121c、および6141のセグメントを含む。細線金属トレース6191および61は、パッシベーション層5の下にある。
本開示の例示的な実施形態について以下で説明する。
第1の実施形態:電圧レギュレータ/コンバータを有するオーバーパッシベーション電力/グランドバス
図1B、1C、2B、2C、3B、3C、および3Dは、本開示の第1の例示的な実施形態を示している。図1Bおよび1Cは、パッシベーション層5上の金属トレース81および/または82が電圧レギュレータまたはコンバータ回路41および内部回路21、22、23、および24を接続し、電源電圧またはグランド基準電圧を分配する簡素化された回路図を示しており、点線5はパッシベーション層を示し、粗いトレースはパッシベーション層5の上に形成されたオーバーパッシベーションスキーム102のトレースを示し、細いトレースはパッシベーション層5の下に形成されたトレースを示す。図2Bおよび2Cは、図1Bおよび1Cにそれぞれ示されている回路を実現する半導体チップの上面図を示し、粗いトレースは、パッシベーション層5の上に形成されたオーバーパッシベーションスキーム102のトレースを意味し、細いトレースは、パッシベーション層5の下に形成されたトレースを意味する。図3Bおよび3Cは、図1Bおよび1Cにそれぞれ示されている回路を実現する半導体チップの断面図を示す。図2Bおよび23Cは、図3Bおよび3Cにそれぞれ示されている半導体チップの上面図を示す。図3Bに示されているオーバーパッシベーションスキーム102は、ポリマー層99とパターン形成回路層811によって形成される2つの金属トレース81および81Pとを備え、ポリマー層99内の開口部9919は、金属トレース81Pの接点8110の上にあり、それを露出する。図3Cに示されているオーバーパッシベーションスキーム102は、パターン形成回路層812によって提供される金属トレース81、パターン形成回路層821によって提供される金属トレース82、ならびに2つのポリマー層98および99を備え、ポリマー層99内の開口部9929は、パターン形成回路層812の接点8120の上にあり、それを露出する。図3Dに示されているオーバーパッシベーションスキーム102は、2つのポリマー層95および99とパターン形成回路層811によって提供される2つの金属トレース81および81Pとを備え、複数の開口部9519、9519’、9511、9512、および9514がポリマー層95内にあり、ポリマー層99内の開口部9919は、金属トレース81Pの接点8110の上にあり、それを露出する。
本開示の実施形態に関して、オンチップ電圧レギュレータまたはコンバータ回路41は、電力を複数の内部デバイス21、22、23、および24(または回路)に送るように構成され、電圧レギュレータまたはコンバータ回路41および内部デバイス21、22、23、および24は、同じICチップ内のシリコン基板1内、および/または、上に、形成される。パッシベーション層5内の開口部511、512、および514を通して、および、パッシベーション層の上に蒸着された粗金属導体81を使って、電圧レギュレータまたはコンバータ回路41から出力される電力を、損失または寄生効果をほとんど生じることなく、複数のデバイスまたは回路ユニット21、22、23、および24に送る。この設計の利点は、安定化電源および粗金属導体に密接に関連付けられ、内部回路の負荷における次のレベルの電圧を、ある電圧レベルに高い精度で制御することができるという点である。41の参照番号が電圧レギュレータである場合、電圧レギュレータ41の出力電圧Vccは、所望の電圧レベルの+10%および−10%の範囲内にあり、典型的には、所望の電圧レベルの+5%および−5%の範囲内にあり、電源金属トレース81Pからの外部電源電圧Vdd入力と接続された入力ノードにおける電圧サージまたは大きな変動に反応しない。あるいは、電圧レギュレータ41は、電圧レギュレータ41からの出力されるVccの電圧レベルの出力ノード、および外部回路から供給されるVddの電圧レベルの入力ノードを有することができ、Vddの電圧レベルとVccの電圧レベルとの差とVddの電圧レベルとの比は、10%未満である。こうして、回路性能を改善することができる。電圧レギュレータ41は、1ボルトから10ボルトまでの間、例えば1ボルトから5ボルトまでの間の出力を有することができる。
いくつかのアプリケーションでは、チップが外部電源の電圧レベルVddと異なる電圧レベルVccを必要とする場合、電圧コンバータをチップ内に取り付けるとよい。41の参照番号は、電圧コンバータを示すとしてよい。この場合、外部電源の電圧レベルVddをチップ内で必要な電圧レベルVccに変換するために、オンチップ電圧コンバータ41が、電圧調整回路に加えて、あるのが望ましい。コンバータは、入力ノードにおける電圧Vddより高い電圧レベルVccを出力することができる。あるいは、コンバータは、入力ノードにおける電圧Vddより低い電圧レベルVccを出力することができる。電圧コンバータは、1ボルトと10ボルトとの間、典型的には1ボルトと5ボルトとの間の出力を有することができる。Vccの電圧レベルが0.6ボルトから3ボルトまでの範囲である場合、Vddの電圧レベルは3ボルトから5ボルトまでの範囲となる。Vccの電圧レベルが0.6ボルトから2ボルトまでの範囲である場合、Vddの電圧レベルは2ボルトから3ボルトまでの範囲となる。例えば、Vccの電圧レベルが2.5ボルトである場合、Vddの電圧レベルは3.3ボルトである。Vccの電圧レベルが1.8ボルトである場合、Vddの電圧レベルは3.3ボルトである。Vccの電圧レベルが1.8ボルトである場合、Vddの電圧レベルは2.5ボルトである。Vccの電圧レベルが3.3ボルトである場合、Vddの電圧レベルは5ボルトである。
図1Bは、本開示の例示的な実施形態の回路図を示している。図示されているように、電圧レギュレータまたはコンバータ回路41は、外部電源から電圧Vddを受け入れ、21、22、23、および24を含む、内部回路20に対する電圧Vccを出力する。ノードPにおける出力電圧Vccは、内部回路21、22、23、および24の電力ノードTp、Up、Vpに、それぞれ、分配されるが、その際に、最初にパッシベーション層5内のパッシベーション開口部519’を通って上へ進み、次いで、パッシベーション層5の上の厚い(太い:thick)金属トレース81を通り、次いで、パッシベーション層5内のパッシベーション開口部511、512、および514を通って下へ進み、次いで、細線金属トレース61’を通って内部回路20に到達し、特に細線金属トレース61’のセグメント611を通って内部回路611に到達し、特に細線金属トレース61’のセグメント612aおよび612bを通って内部回路22に到達し、特に細線金属トレース61’のセグメント612aおよび612cを通って内部回路23に到達し、特に細線金属トレース61’のセグメント614を通って内部回路24に到達する。
21、22、23、および24を含む、内部回路20のそれぞれは、例えば、細線金属トレース61’にソースが接続されている少なくとも1つのPMOSトランジスタを備える。21、22、23、および24を含む、内部回路20のそれぞれは、物理的チャネル幅と物理的チャネル長との比が例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲であるNMOSトランジスタを備えることができる。もしくは、21、22、23、および24を含む、内部回路20のそれぞれは、物理的チャネル幅と物理的チャネル長との比が例えば約0.2から40までの範囲、例えば約0.2から40までの範囲、または好ましくは例えば約0.4から4までの範囲であるPMOSトランジスタを備えることができる。
図1Bのチップ構造は、電力/グランド線、トレース、またはプレーン(面:plane)のキャリアとして粗金属導体81を使用することができる。この場合、電圧降下およびノイズは、粗金属導体81が細線金属トレースに比べて低い抵抗および静電容量を有するので、かなり低減される。
実施形態のすべてに示されている、内部回路、または内部回路ユニット20は、例えば、2つのNORゲート22および24、1つのNANDゲート23、および1つの内部回路21を備える。内部回路20、21、22、23、および24は、NORゲート、NANDゲート、ANDゲート、ORゲート、オペアンプ、加算器、マルチプレクサ、ダイプレクサ、乗算器、A/Dコンバータ、D/Aコンバータ、CMOSトランジスタ、バイポーラCMOSトランジスタ、またはバイポーラ回路などの任意の種類のIC回路とすることができる。NORゲート22または24もしくはNANDゲート23は、3つの入力ノードUi、Wi、Vi、1つの出力ノードUo、Wo、またはVo、1つのVccノードUp、Wp、またはVp、および1つのVssノードUs、Ws、またはVsを有する。内部回路21は、1つの入力ノードXi、1つの出力ノードXo、1つのVccノードTp、および1つのVssノードTsを有する。21、22、23、および24を含む、内部回路または内部回路ユニット20のそれぞれは、通常、信号ノード、電源ノード、およびグランドノードを有する。
図2Bおよび3Bは、それぞれ、図1Bに示されている回路図の上面図および断面図を提供する。図3Bでは、細線金属構造611、612、614、619、および619’は、積層細線金属パッド60とビア30’内に詰め込まれたビアプラグ60’から構成できることに留意されたい。上側ビア30’は、下側ビアに実質的に揃えられ、上側細線金属パッド60は、下側細線金属パッドに実質的に揃えられ、上側ビアプラグ60は、下側ビアプラグに実質的に揃えられる。図1B、2B、および3Bを参照すると、細線金属トレースまたはプレーン612は、複数の部分612a、612b、および612cを備え、局所的配電に使用されることがわかる。
パッシベーション層5の上の厚い金属トレースまたはプレーン81は、大域的な配電に使用され、細線金属トレースまたはプレーン619’、611、612、および614を接続する。図1Bおよび2Bに示されている、パッシベーション層5の上の厚い金属トレースまたはプレーン81は、図3Bに示されているように、ただ1つのパターン形成回路層811、または図示されていない、複数のパターン形成回路層からなるものとしてよい。電源電圧Vccを分配するための、電源プレーン、バス、トレース、または線などのパターン形成回路層811は、図1Bおよび2Bに示されている粗いトレース81の概念から実現される。図2に示されている、パッシベーション層5の上の厚い金属トレースまたはプレーン81が、複数のパターン形成回路層からなる場合、ポリイミド(PI)、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのポリマー層を、隣接するパターン形成回路層の間に入れ、パターン形成回路層を分離するようにすることができる。ポリイミド(PI)、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのポリマー層99は、図示されていない、パッシベーション層の上の、上述のポリマー層によって分離される、パターン形成回路層のうちの一番上の層上に、または図3Bおよび3Dに示されているように、ただ1つのパターン形成回路層811上に、あるものとしてよい。あるいは、ポリイミド(PI)、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのポリマー層95は、パッシベーション層と、図示されていない、上述のポリマー層によって分離されている、パターン形成回路層のうちの一番下の層との間にあるか、または図3Dに示されているように、パッシベーション層5とただ1つのパターン形成回路層811との間にあるものとしてよい。ポリマー層95は、2から30マイクロメートルなど、2マイクロメートルより大きい厚さを有するものとしてよい。ポリマー層95内の複数の開口部9519、9519’、9511、9512、および9514は、それぞれ、パッシベーション層5内の開口部519、519’、511、512、および514に実質的に揃えられる。ポリマー層95内の複数の開口部9519、9519’、9511、9512、および9514は、それぞれ、パッシベーション層5内の開口部519、519’、511、512、および514によって露出されるパッド(6190および6190’を含む)を露出する。
ポリマー層95内のいくつかの開口部9519および9519’は、それぞれ開口部9519および9519’に揃えられているパッシベーション層5内の開口部519および519’に比べて小さい幅または横方向寸法を有する下側部分を有する。ポリマー層95は、パッシベーション層5内の開口部519および519’によって露出されたパッド6190および6190’の一部を覆う。上面斜視図で見た開口部519および519’の形状は、丸形、正方形、矩形、または多角形であるものとしてよい。開口部519および519’が丸形である場合、開口部519および519’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.5から30ミクロンまでの範囲の直径を有することができる。開口部519および519’が正方形である場合、開口部519および519’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅を有することができる。開口部519および519’が矩形である場合、開口部519および519’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅、および1ミクロンから1センチメートルまでの範囲の長さを有することができる。開口部519および519’が5つより多い辺を有している多角形である場合、開口部519および519’は、0.1から200ミクロンまでの範囲、0.5から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大対角長を有する。あるいは、開口部519および519’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大の横方向寸法を有する。ある場合には、開口部519および519’は、30から100ミクロンまでの範囲の幅を有し、ポリマー層95内の開口部9519、9519’の下側部分は20から100ミクロンまでの範囲の幅を有する。
ポリマー層95内のいくつかの開口部9511、9512、および9514は、それぞれ開口部9511、9512、および9514に揃えられているパッシベーション層5内の開口部511、512、および514に比べて大きい幅または横方向寸法を有する下側部分を有する。ポリマー層95内の開口部9511、9512、および9514は、開口部511、512、および514に近いパッシベーション層5をさらに露出する。上面斜視図で見た開口部511、512、および514の形状は、丸形、正方形、矩形、または多角形であるものとしてよい。開口部511、512、および514が丸形である場合、開口部511、512、および514は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.5から30ミクロンまでの範囲の直径を有することができる。開口部511、512、および514が正方形である場合、開口部511、512、および514は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅を有することができる。開口部511、512、および514が矩形である場合、開口部511、512、および514は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅、および1ミクロンから1センチメートルまでの範囲の長さを有することができる。開口部511、512、および514が5つより多い辺を有している多角形である場合、開口部511、512、および514は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大対角長を有する。あるいは、開口部511、512、および514は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大の横方向寸法を有する。ある場合には、開口部511、512、および514は、5から30ミクロンまでの範囲の幅を有し、ポリマー層95内の開口部9511、9512、および9514の下側部分は20から100ミクロンまでの範囲の幅を有する。
パッシベーション層5内の開口部519、519'、511、512、および514およびポリマー層95内の開口部9519、9519'、9511、9512、および9514は、図15A〜15L、16A〜16M、17A〜17J、18A〜18Iおよび19A〜19Iに示されている実施形態に適用することができる。
パッシベーション層5の上に厚い金属トレースまたはプレーン81を形成する、図3Bおよび3Dに示されている、811などのパターン形成回路層の1つは、接着/バリア/シード層8111、およびバルク導電性金属層8112を含むものとしてよい。パターン形成回路層811を形成するための方法およびその詳細は、パターン形成回路層801、802、または803を形成するための方法、および図15A〜15M、16A〜16M、17A〜17J、18A〜18Iおよび19A〜19Iに示されているその詳細に従うものとしてよい。
図1B、2B、および3Bにおいて、外部電源は、パッシベーション層5内の開口部519を通じてパッシベーション層5の下の細線回路金属層619のうちの一番上の層の金属パッド6190に接続された金属パッド8110に電圧レベルVddを供給し、電圧レギュレータまたはコンバータ回路41に入力する。電圧レギュレータまたはコンバータ回路41は、細線回路金属層619’、厚いパターン形成トレースまたはプレーン811、および細線回路金属層611、612、および614を通じて内部回路21、22、23、および24に供給する電源電圧を出力する。細線回路層619、619’、611、612、および614は、酸化ケイ素などの、薄膜絶縁層30によって隔てられる。
図3Bは、Vccの調整または変換された電源電圧を分配するためのただ1つのパターン形成回路層81を示しているが、1つまたは複数のポリマー層が間に蒸着されている複数のパターン形成回路層が、パッシベーション層5の上に形成され、Vccの調整または変換された電源電圧を分配するために使用されうる。異なるパターン形成回路層内の金属トレースまたはプレーンは、その間にあるポリマー層内の開口部を通して接続される。
図1A、2A、および3Aは、パッシベーション層5内の開口部519によって露出されたパッド6190を通して、および細線回路層619(積層細線金属パッドおよびビアを含む)を通して、電圧レベルVdd入力の電圧レギュレータまたはコンバータ回路41における外部電源を示している。電圧レギュレータまたはコンバータ回路41からの電圧レベルVcc出力における出力電力は、Vccの電圧をセグメント6191’、618、6111、6121、および6141を含むIC細線相互接続部61のみを通じて内部回路21、22、23、および24に供給するように分配される。
図1B、2B、3B、および3Dでは、グランド電圧は、グランド電圧を分配するための回路図、レイアウト、および構造を詳細に示すことなくVssと表されている。図1C、2C、および3Cは、Vccの電源電圧とVssのグランド基準電圧の両方を分配するためのパッシベーション層5の上の厚い金属トレースまたはプレーン81および82をそれぞれ示す回路図、上面図、および断面図を表す。Vssのグランド基準電圧を分配する構造82は、共通グランド電圧Vssが厚い金属トレースまたはプレーン82を通して電圧レギュレータまたはコンバータ回路41および内部回路21、22、23、および24に供給される点を除いて、Vccの電源電圧を分配する上述の構造81に類似している。つまり、外部グランドノードEsが、電圧レギュレータまたはコンバータ回路41のグランドノードRsに接続され、また内部回路21、22、23、および24の内部グランドノードTs、Us、Vs、Wsに接続されうるということである。図1C、2C、および3Cでは、電圧レベルVssで外部回路のグランドソースに接続されている点Esは、(1)パッシベーション層5内の開口部529を通して電圧レギュレータまたはコンバータ回路41のグランドノードRsに、および(2)パッシベーション層5の上の厚い金属線、バス、またはトレース82、パッシベーション層5内の開口部521、522、および524、ならびに細線金属構造621、622(622a、622b、および622cを含む)および624を通して内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに接続される。
図3Cは、電源電圧Vccおよびグランド基準電圧Vssをそれぞれ分配するために使用される、パッシベーション層5の上の2つのパターン形成回路層812および821を示す。グランド基準電圧Vssを分配するための、グランドプレーン、バス、トレース、または線などのパターン形成回路層812および821の底層821は、図1Cおよび2Cに示されている粗いトレース82の概念から実現される。電源電圧Vccを分配するための、電源プレーン、バス、トレース、または線などのパターン形成回路層812および821の上層812は、図1Cおよび2Cに示されている粗いトレース81の概念から実現される。2から30ミクロンまでの範囲の厚さを有する、ポリイミド、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのポリマー層98が、パターン形成回路層821および812を分離する。2から30ミクロンまでの範囲の厚さを有する、ポリイミド、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどの他のポリマー層99は、上部パターン形成回路層812を覆う。あるいは、2から30ミクロンまでの範囲の厚さを有する、ベンゾシクロブテン(BCB)、ポリイミド、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどの別のポリマー層は、図3Dに示されているポリマー層95として説明されている、一番下のパターン形成回路層821とパッシベーション層5との間に備えることができる。図1C、2C、および3Cでは、Vssのグランド基準電圧を分配するために使用される、パッシベーション層5の上のグランドプレーン、トレース、または線82は、内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに、およびパッシベーション層5内の開口部521、522、524、および529ならびに細線金属構造621、622、624、および629を通して電圧レギュレータまたはコンバータ回路41のグランドノードRsに接続される。Vccの電源電圧を分配するために使用される、電源プレーン、トレース、または線81もしくは812は、それぞれ図3Bに例示されているように、内部回路21、22、23、および24の電源ノードTp、Up、Vp、およびWp(図示せず)に、またポリマー層98およびパッシベーション層5内の開口部(図示せず)ならびに細線金属構造611、612、614、および619’を通して電圧レギュレータまたはコンバータ回路41の出力ノードPに接続される。
図3Bでは、パッシベーション層5の上の、外部回路から電源電圧入力を送出する前記の厚く幅広の金属トレース81P、電源バス、またはプレーンとして働く部分、およびパッシベーション層5の上の、電圧レギュレータまたはコンバータ回路41から出力される電源電圧を供給する前記の厚く幅広の金属トレース81、電源バス、プレーンとして働く他の部分を備える、ただ1つのパターン形成回路層811がある。パターン形成回路層811は、接着/バリア層、接着/バリア層上のシード層、ならびにシード層、接着/バリア層、および底層8111を構成するシード層上の電気メッキ金属層8112を含むものとしてよい。
図3Bを参照すると、パターン形成回路層811を形成するためのプロセスに関して、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、パッシベーション層5の窒化ケイ素層上に、またパッシベーション層5内の複数の開口部549、511、512、および514によって露出される、もっぱらアルミニウムまたは銅から作られているコンタクトパッド6490上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、いずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって、またはいずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属層8112は、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を電気メッキすることによって、または、フォトレジスト層内の開口部によって露出される、シード層として働く金層上に2から30マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって、形成されうる。その後、フォトレジストを取り除くことができる。その後、金属層8112の下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属層8112の下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。
パターン形成回路層811が形成された後、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層811上に、およびパッシベーション層5の窒化物層上に、スピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって形成されうる。そこで、開口部9949がポリマー層99内に形成され、これによりパターン形成回路層811のコンタクトパッド8110を露出させることができる。
図3Bを参照すると、コンタクトパッド8110の上に金属バンプを形成するために、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層99上に、および開口部9919によって露出されたコンタクトパッド8110上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層またはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって、形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、いずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属バンプは、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に0.5から10マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に60から200マイクロメートルまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキすることによって、形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属バンプの下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプの下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプをリフローして、フリップチップ組み立て用にボール形状にすることができる。この金属バンプは、プリント基板、セラミック基板、または他の半導体チップに接続することができる。
図3Bを参照すると、コンタクトパッド8110の上に他の種類の金属バンプを形成するために、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層99上に、および開口部9919によって露出されたコンタクトパッド8110上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層またはチタンタングステン合金層などのチタン含有層をスパッタリングするか、または、1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって、形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、いずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属バンプは、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く金層上に6から25マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属バンプの下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプの下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。金属バンプは、テープ自動ボンディング(TAB)プロセスによってフレキシブル基板に接続されるか、または異方性導電膜またはペースト(ACFまたはACP)を介してガラス基板に接続されうる。
あるいは、図3Bを参照すると、0.05から2マイクロメートルまでの範囲の厚さを有するニッケル層を、開口部9919によって露出されているコンタクトパッド8110上に無電解メッキすることができ、0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を、ポリマー層99内の開口部9919内の無電解メッキされたニッケル層上に無電解メッキすることができることがわかる。その後、ワイヤボンディングプロセスを使用して、金線をポリマー層99における開口部9919内の無電解メッキされた金層上に接合することができる。
あるいは、図3Bを参照すると、金線は、ワイヤボンディングプロセスを使用してポリマー層99内の開口部9919によって露出される、パターン形成回路層811の金層、白金層、パラジウム層、またはルテニウム層上に接合されうることがわかる。
図3Dを参照すると、パターン形成回路層811が形成される前に、ポリマー層95は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パッシベーション層5の窒化物層上に、およびコンタクトパッド6490上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素または無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって、適宜形成されうることがわかる。したがって、複数の開口部9519、9519’、9511、9512、および9514は、ポリマー層95内に形成され、これによりパッシベーション層5内の開口部519、519’、511、512、および514によって露出される複数のコンタクトパッド6190を露出することができる。ポリマー層95が形成された後、パターン形成回路層811をポリマー層95上に、および開口部519、519’、511、512、および514によって露出されるコンタクトパッド6190上に形成することができる。いずれかの前記の材料の接着/バリア層を、ポリマー層95上に、およびポリマー層95内の開口部9519、9511、9512、および9514によって露出されるコンタクトパッド6190上にスパッタリングすることができる。
パッシベーション層5の上に厚い金属トレースまたはプレーン81および82を形成する、図3Cに示されているパターン形成回路層812および821の1つは、接着/バリア/シード層8111、およびバルク導電性金属層8112を含むものとしてよい。パターン形成回路層812および821を形成するための方法およびその詳細は、パターン形成回路層801、802、または803を形成するための方法、および図15A〜15L、16A〜16M、17A〜17J、18A〜18Iおよび19A〜19Iに示されているその詳細に基づくものとしてよい。
図3Cでは、グランド電圧を供給するために使用される、厚く幅広の金属トレース、バス、またはプレーン82は、電圧レギュレータまたはコンバータ回路41から出力される電源電圧Vccを供給するために使用される、厚く幅広の金属トレース、バス、またはプレーン81の上側パターン形成回路層の下に下側パターン形成回路層を有することができる。あるいは、グランド電圧を供給するために使用される、厚く幅広の金属トレース、バス、またはプレーン82は、電圧レギュレータまたはコンバータ回路41から出力される電源電圧Vccを供給するために使用される、厚く幅広の金属トレース、バス、またはプレーン81の下側パターン形成回路層の上に上側パターン形成回路層を有することができる。2から30マイクロメートルまでの範囲の厚さを有するポリマー層は、上側パターン形成回路層と下側パターン形成回路層との間にあるものとしてよい。下側パターン形成回路層と上側パターン形成回路層のそれぞれは、2から30マイクロメートルまでの範囲の厚さを有する電気メッキされた銅層を有することができる。
図3Cを参照すると、パッシベーション層5の上の、グランドバスまたはプレーン82の上に、電圧レギュレータまたはコンバータ回路41から出力される電源電圧を供給するために使用される、前記のグランドバスまたはプレーン82および前記の電源バスまたはプレーン81を含む、複数のパターン形成回路層821および812がありうることがわかる。パッシベーション層5上に、および開口部529、521、522、および524によって露出されるコンタクトパッド6290上にパターン形成回路層821を形成するためのプロセスは、パッシベーション層5上に、および開口部519、511、512、および514によって露出されるコンタクトパッド6190上に図3Bに示されているパターン形成回路層811を形成するためのプロセスとして参照されうる。パターン形成回路層821は、接着/バリア層、接着/バリア層上のシード層、ならびにシード層、接着/バリア層、および底層8211を構成するシード層上の電気メッキ金属層8212、を含むものとしてよい。パターン形成回路層812は、接着/バリア層、接着/バリア層上のシード層、ならびにシード層、接着/バリア層、および底層8121を構成するシード層上の電気メッキ金属層8122、を含むものとしてよい。
図3Cを参照すると、パターン形成回路層821が形成された後に、ポリマー層98は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層821上に、およびパッシベーション層5の窒化物層上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素または無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって、形成されうることがわかる。そこで、開口部9829がポリマー層98内に形成され、これによりパターン形成回路層821のコンタクトパッドを露出させることができる。
図3Cを参照すると、パターン形成回路層812を形成するためのプロセスに関して、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層98上に、およびポリマー層98内の開口部9829によって露出されるパターン形成回路層821のコンタクトパッド上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層またはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または、1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって、形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、いずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって、または、いずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって、形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属層8122は、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を電気メッキすることによって、または、フォトレジスト層内の開口部によって露出される、シード層として働く金層上に2から30マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって、形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属層8122の下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属層8122の下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。
パターン形成回路層812が形成された後、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層812上に、およびポリマー層98上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素または無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって、形成されうる。そこで、開口部9929がポリマー層99内に形成され、これによりパターン形成回路層812のコンタクトパッド8120を露出させることができる。
図3Cを参照すると、コンタクトパッド8120の上に金属バンプを形成するために、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層99上に、および開口部9929によって露出されたコンタクトパッド8120上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層またはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または、1000から6000オングストロームまでの範囲の厚さを有するタンタル層または窒化タンタル層などのタンタル含有層をスパッタリングすることによって、形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、いずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属バンプは、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に0.5から10マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に60から200マイクロメートルまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキすることによって、形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属バンプの下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプの下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプをリフローして、ボール形状にすることができる。この金属バンプは、プリント回路基板、セラミック基板、または他の半導体チップに接続することができる。
図3Cを参照すると、コンタクトパッド8120の上に他の種類の金属バンプを形成するために、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層99上に、および開口部9929によって露出されたコンタクトパッド8120上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層またはチタンタングステン合金層などのチタン含有層をスパッタリングするか、または、1000から6000オングストロームまでの範囲の厚さを有するタンタル層または窒化タンタル層などのタンタル含有層をスパッタリングすることによって、形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、いずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属バンプは、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く金層上に6から25マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。その後、フォトレジストを取り除くことができる。その後、金属バンプの下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプの下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。金属バンプは、テープ自動ボンディング(TAB)プロセスによってフレキシブル基板に接続されるか、または異方性導電膜またはペースト(ACFまたはACP)を介してガラス基板に接続されうる。
あるいは、図3Cを参照すると、0.05から2マイクロメートルまでの範囲の厚さを有するニッケル層を、ポリマー層99内の開口部9929によって露出されているコンタクトパッド8120上に無電解メッキすることができ、0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を、ポリマー層99内の開口部9929内の無電解メッキされたニッケル層上に無電解メッキすることができる。その後、ワイヤボンディングプロセスを使用して、金線をポリマー層99における開口部9929内の無電解メッキされた金層上に接合することができる。
あるいは、図3Cを参照すると、金線は、ワイヤボンディングプロセスを使用してポリマー層99内の開口部9929によって露出される、パターン形成回路層812の金層、白金層、パラジウム層、またはルテニウム層上に接合されうる。
あるいは、パターン形成回路層821が形成される前に、ポリマー層は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パッシベーション層5の窒化物層上に、およびコンタクトパッド6290上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素または無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって、適宜形成されうる。したがって、複数の開口部が、ポリマー層内に形成され、これによりパッシベーション層5内の開口部529、521、522、および524によって露出される複数のコンタクトパッド6290を露出することができる。ポリマー層が形成された後、パターン形成回路層821をポリマー層上に、および開口部529、521、522、および524によって露出されるコンタクトパッド6290上に形成することができる。いずれかの前記の材料の接着/バリア層を、ポリマー層上に、およびポリマー層内の開口部によって露出されるコンタクトパッド6290上にスパッタリングすることができる。
いくつかのアプリケーションでは、デジタル信号またはアナログ信号を伝送するために使用されるいくつかの金属線、トレース、またはプレーンは、ポリマー層98上に、電源トレース、バス、またはプレーン812と同じレベルで設けることができる。あるいは、デジタル信号またはアナログ信号を伝送するために使用されるいくつかの金属線、トレース、またはプレーンは、パッシベーション層5上に、グランドトレース、バス、またはプレーン82と同じレベルで設けることができる。以下で説明するように、パッシベーション層5の上にさらに多くの他の構造を形成することができ、(1)高性能回路または高精度アナログ回路の第1のアプリケーションにおいて、デジタル信号またはアナログ信号(図示せず)を伝送するために使用される、信号プレーン、バス、トレース、または線などの他のパターン形成回路層を電源線、バス、またはプレーン812とグランド線、バス、またはプレーン821との間に追加することができる。信号プレーン、バス、トレース、または線の上および下の,ポリイミド、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーン(図示せず)などのポリマー層は、それぞれ、信号プレーン、バス、トレース、または線を電源トレース、バス、またはプレーン812から分離し、信号プレーン、バス、トレース、または線をグランドトレース、バス、またはプレーン821から分離するために形成され、(2)大電流もしくは高精度回路の第2のアプリケーションにおいて、グランド基準電圧を分配するために使用されるグランドプレーン、バス、トレース、または線(図示せず)などの他のパターン形成回路層は、電源トレース、バス、またはプレーン812の上に追加することができる。電源トレース、バス、またはプレーン812は、電源トレース、バス、またはプレーン812の下のグランドトレース、バス、またはプレーン821と、電源トレース、バス、またはプレーン812の上の新しく追加されたグランドトレース、バス、またはプレーンとによってサンドイッチ状に挟まれ、したがって、パッシベーション層5の上にVss/Vcc/Vss構造(積層は下から上へ)を形成する。2から30ミクロンまでの範囲の厚さを有する、ポリイミド、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのポリマー層は、新しく追加されたグランドプレーン、バス、トレース、もしくは線と、電源トレース、バス、またはプレーン812との間に備えられる。2から30ミクロンまでの範囲の厚さを有する、ポリイミド、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのキャップポリマー層は、新しく追加された電源プレーン、バス、トレース、または線を覆い、(3)必要ならばVss/Vcc/Vss構造の第2のアプリケーションに基づく大電流または高精度回路の第3のアプリケーションにおいて、電源電圧を分配するために使用される電源プレーン、バス、トレース、または線(図示せず)などの他のパターン形成回路層を、電源トレース、バス、またはプレーン812の上のグランドプレーン、バス、トレース、または線(図示せず)の上にさらに形成し、パッシベーション層5の上にVss/Vcc/Vss/Vcc構造(積層は下から上へ)を形成することができる。2から30ミクロンまでの範囲の厚さを有する、ポリイミド、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのポリマー層は、新しく追加された電源プレーン、バス、トレース、または線と、上のグランドトレース、バス、またはプレーン81との間に構成される。2から30ミクロンまでの範囲の厚さを有する、ポリイミド、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのキャップポリマー層は、新しく追加された電源プレーン、バス、トレース、または線を覆う。前記の構造は、大電流回路、高精度アナログ回路、高速回路、低電力回路、パワーマネージメント回路、および高性能回路用のロバストな電源を提供する。
図4は、図1B、1C、2B、2C、3B、3C、および3Dの電圧レギュレータまたはコンバータ回路41の回路設計を示す。この回路設計は、「Semiconductor Memories: A handbook of Design, Manufacture and Application」、Second Edition、By B. Prince、published by John Wiley & Sons、1991年、において説明されているような現代的なDRAM設計において通常使用される電圧レギュレータまたはコンバータ回路41のための設計である。図4に示されている電圧レギュレータまたはコンバータ回路41は、電圧調整機能と電圧変換機能の両方を備える。外部電圧Vddは、所望の電圧レベルVcc0で変化する出力電圧Vccに変換することができ、VccとVcc0との差とVcc0との比は、10%未満であり、好ましくは5%未満である。「関連技術の説明」の節で説明されているように、より現代的なICチップは、外部(システム、ボード、モジュール、またはカードレベル)電源電圧をチップによって必要とされる電圧レベルに変換するためにオンチップ電圧コンバータを必要とする。さらに、DRAMチップなどのチップによっては、同じチップ上でデュアル電圧レベルを、さらにはトリプル電圧レベルをも必要とすることすらあり、例えば、周辺機器制御回路には3.3Vを、セルアレイ領域内のメモリセルには1.5Vの電圧を必要とする。
図4の電圧レギュレータまたはコンバータ回路41は、2つの回路ブロック:基準電圧発生器410とカレントミラー回路410’を備える。基準電圧発生器410は、ノード4199での外部電源電圧Vddの電圧変動に反応しない、基準電圧VRをノードRで発生する。Vddは、基準電圧発生器410の入力電源電圧でもある。基準電圧発生器410は、分圧器の2つの経路を含む。一方の経路には、直列接続された3つのp型MOSトランジスタ4101、4103、および4105を備え、他方の経路には、直列接続された2つのp型MOSトランジスタ4102および4104を備える。MOSトランジスタ4103のドレインがMOSトランジスタ4104のゲートに結合された状態で、出力基準電圧VRが調整される。Vddが上昇とともに変動する場合、ノードGの電圧レベルが上昇し、その結果、MOSトランジスタ4104のターンオンが弱くなる。MOSトランジスタ4104のターンオンが弱いと、VRはよりわずかな程度だけ低下または上昇する。同様に、VRは、Vddが低下とともに変動する場合に、よりわずかな程度だけ上昇または低下する。このことは、基準電圧発生器410の電圧調整挙動を説明している。基準電圧発生器410の出力は、カレントミラー回路410’の基準電圧として使用される。カレントミラー回路410’は、ICチップに対して所望の一定のレベルの電圧と大電流可能出力を持つ電源を供給することができる。また、カレントミラー回路410’は、分圧器の経路内でVddからVssへの直接的な大電流経路を回避することにより、非常に大きな電力を消費したり、電力を無駄にする可能性がなくなる。p型MOSトランジスタ4109のドレインが出力p型MOSトランジスタ4106のゲートに結合され、出力電圧ノードPが基準電圧ミラーp型MOSトランジスタ4110のゲートに結合された状態で、出力電圧Vccを調整し、それに応じて、出力電圧レベルVccを所望のレベルで設計することができる。コンダクタンストランジスタ4112は、ゲートをVssに接続した小さなp型MOSトランジスタであり、したがって、トランジスタ4112は、常にオンになっている。コンダクタンストランジスタ4111は、大きなp型MOSトランジスタであり、そのゲートは、信号Φによって制御される。トランジスタ4111は、内部回路または内部回路ユニットがアクティブサイクルに入っているときにオンになり、その結果、p型MOSトランジスタ4109とn型MOSトランジスタ4107とによって形成される電流路と、およびp型MOSトランジスタ4110とn型MOSトランジスタ4108とによって形成される電流路と、の応答が高速になる。トランジスタ4111のターンオンによって、図1B、1C、2B、2C、3B、3C、および3Dに示されている、21、22、23、および24などの内部回路が必要とする大きな過渡電流によって引き起こされる出力電源電圧Vccのバウンスが最小になる。内部回路または内部回路ユニットが、アイドルサイクルに入ると、トランジスタ4111はオフにされ、節電を行う。
第2の実施形態:内部回路に対するオーバーパッシベーション相互接続
第1の実施形態で説明されているパッシベーション層5の上の粗いトレースは、代替的に、一方の内部回路から他方の1つまたは複数の内部回路に信号を伝送するためにIC内部回路の相互接続部として使用されうる。このアプリケーションでは、パッシベーション層の上の粗金属導体は、図5Bに示されているように、内部回路21の出力ノードXoから他の内部回路22、23、および24の入力ノードUi、Vi、およびWiに信号またはデータを伝送するために使用される。例えば、同じチップ上のプロセッサユニットとメモリユニットとの間の8、16、32、64、128、256、512、または1024ビットのデータ(またはアドレス)接続など、より長い距離(例えば、1mmまたは500ミクロンより上の距離)で隔てられた2つの内部機能回路の間で、データ信号、ビット信号、またはアドレス信号を入力または出力するための一組の類似のノードを接続する金属線または金属トレースの束として設計された場合、線またはトレースは、たびたび、メモリにおいて使用されるワードバスまたはビットバスなど、バスとして称される。これらのアプリケーションに関して、本開示では、図5Bに示されているように、複数の内部回路21、22、23、および24を接続するために、基礎となるMOSデバイスから遠く離して、パッシベーション層5の上に厚い金属トレース、バス、またはプレーン83を提供し、したがって、基礎となるMOSデバイスに摂動を与えることなく、および信号品位の著しい低下をもたらすことなく、MOSデバイス上に電気信号を通すことができる。パッシベーション層5の上の厚い金属トレース、バス、またはプレーン83は、外部回路と接続されているオフチップ入力/出力回路を通さずに内部回路21、22、23、および24のノードを接続し、これは外部回路に接続されないことに留意されたい。パッシベーション層5の上の前記の厚い金属トレース、バス、またはプレーン83は、非常に低い寄生容量を誘発するのみであり、この厚い金属トレース、バス、またはプレーン83を通過する信号を劇的に低下させることはない。これにより、本開示は、高速、低電力、大電流、または低電圧のアプリケーションに非常に適したものとなる。本開示のほとんどの場合において、厚い金属トレース、バス、またはプレーン83を通過する信号の品位の持続を助けるために、追加の増幅器、ドライバ/レシーバまたはリピータは不要である。本開示のいくつかの場合において、500ミクロンより大きい、または1000ミクロンより大きい長さを有する厚い金属トレース、バス、またはプレーン83などの、長い経路を通過する信号を伝送するために、外部回路と接続されたオフチップ回路と比較してサイズが小さいMOSトランジスタを備える、内部ドライバ、内部レシーバ、内部トライステートバッファ、またはリピータが必要である。
図5B、6B、および7Bは、本開示の第2の例示的な実施形態を示している。図5Bは、パッシベーション層5の上の金属トレース、バス、またはプレーン83が複数の内部回路21、22、23、および24を接続し、内部回路21の出力ノードXoから内部回路22、23、および24の入力ノードUi、Vi、およびWiに信号を伝送する場合の簡略化された回路図を示す。図6Bは、図5Bに示されている回路を実現する半導体チップの上面図を示す。図7Bは、図5Bに示されている回路を実現する半導体チップの断面図を示す。図6Bは、図7Bに示されている半導体チップの上面図を示しており、内部回路21から内部回路22、23、および24に信号を伝送するための、信号プレーン、バス、トレース、または線などのパターン形成回路層831は、図5Bおよび6Bに示されている粗いトレース83の概念から実現される。図5Bおよび6Bに示されている粗いトレース83は、パッシベーション層5の上に形成されたオーバーパッシベーションスキーム102のトレースを示しており、図5Bに示されている細いトレース631、632(632a、632b、および632cを含む)および634、ならびに図6Bに示されている細いトレース632a、632b、および632cは、パッシベーション層5の下に形成されたトレースを示している。
図5B、6B、および7Bに示されているように、内部回路21は、信号を受信するための入力ノードXiおよび電気信号を内部回路22、23、および24に出力するための出力ノードXoを備える。内部回路21は、インバータ、NORゲート、NANDゲート、ORゲート、ANDゲート、また内部バッファなどの論理ゲート(それぞれ、図5C、5D、および5Eに示されている、インバータ、内部ドライバ、または内部トライステートバッファ)とすることができる。パッシベーション層5の上の粗金属スキーム83を通じて、内部論理回路22、23、および24(2つのNORゲート22および24、ならびに1つのNANDゲート23)の入力ノードUi、Vi、およびWiは、内部回路21から送信されたデータまたは信号を受信することができる。入力ノードUi、Vi、およびWiにおける電圧レベルは、パッシベーション層5の上の相互接続する金属トレースまたはバス83が低い抵抗を有し、低い静電容量をもたらすという点で、劣化およびノイズをごくわずかに抑えて、VddとVSSとの間にある。この設計では、厚い金属トレースまたはバス83は、ESD回路、オフチップドライバ、オフチップレシーバ、またはオフチップトライステートバッファ回路などの外部回路に接続されたオフチップ回路には接続されず、その結果、速度が向上し、電力消費も低減することに留意されたい。
図5A、6A、および7Aは、内部回路21、22、23、および24の間の接続を示している。図5A、6A、および7Aに例示されている技術は、パッシベーション層5の下の細線金属トレース6311、6321、6341、および638に依存したものであり、パッシベーション層5の上のパターン形成回路層に依存することなく、内部回路21から内部回路22、23、および24に出力されるデータを渡す。
図5Bおよび6Bは、粗金属スキーム83が、ICチップのパッシベーション層5の上に構成され、内部回路21、22、23、および24に接続されていることを明らかにしている。図5A、6A、および7Aは、内部回路21が、パッシベーション層5の下の細線金属構造のセグメント6311、638、6321a、および6321bを通してNORゲート22に、パッシベーション層5の下の細線金属構造のセグメント6311、638、6321a、および6321cを通してNANDゲート23に、パッシベーション層5の下の細線金属構造のセグメント6311、638、および6341を通して別のNORゲート24に、接続されることを示している。本開示において、細線金属構造の第2のセグメント638は、図5Bおよび6Bに示されているように、パッシベーション層5の上の粗金属導体83で置き換えられる。内部回路21の出力ノード(通常は内部回路21内のMOSトランジスタのドレイン)から出力される信号は、パッシベーション層5の下の細線金属構造のセグメント631を通り、次いでパッシベーション層5内の開口部531を通り、次いでパッシベーション層5の上の相互接続スキーム83を通り、次いでパッシベーション層5内の開口部534を通り、次いでパッシベーション層5の下の細線金属構造のセグメント634を通り、次いでNORゲート24の入力ノード(通常はNORゲート24内のMOSトランジスタのゲート)に到達するものとしてよい。内部回路21の出力ノード(通常は内部回路21内のMOSトランジスタのドレイン)から出力される信号は、パッシベーション層5の下の細線金属構造のセグメント631を通り、次いでパッシベーション層5内の開口部531を通り、次いでパッシベーション層5の上の相互接続スキーム83を通り、次いでパッシベーション層5内の開口部532を通り、次いでセグメント632aおよびパッシベーション層5の下の細線金属相互接続スキームのセグメント632bまたは632cを通り、次いでNORゲート22およびNANDゲート23の入力ノード(通常は、それぞれ、NORゲート22およびNANDゲート23内のMOSトランジスタのゲート)に到達するものとしてよい。
あるいは、内部回路21がNORゲートである場合、内部回路22、23、および24はNORゲート、ORゲート、NANDゲート、またはANDゲートであるものとしてよい。内部回路21がORゲートである場合、内部回路22、23、および24は、NORゲート、ORゲート、NANDゲート、またはANDゲートであるものとしてよい。内部回路21がNANDゲートである場合、内部回路22、23、および24は、NORゲート、ORゲート、NANDゲート、またはANDゲートであるものとしてよい。内部回路21がANDゲートである場合、内部回路22、23、および24は、NORゲート、ORゲート、NANDゲート、またはANDゲートであるものとしてよい。内部回路21の出力ノードXoとしてドレインを有する内部回路21内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比が例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲である場合、内部回路22、23、または24の入力ノードUi、Vi、およびWiとしてゲートを有する内部回路22、23、または24内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲である。内部回路21の出力ノードXoとしてドレインを有する内部回路21内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比が例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲である場合、内部回路22、23、または24の入力ノードUi、Vi、およびWiとしてゲートを有する内部回路22、23、または24内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲である。内部回路21の出力ノードXoとしてドレインを有する内部回路21内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比が例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲である場合、内部回路22、23、または24の入力ノードUi、Vi、およびWiとしてゲートを有する内部回路22、23、または24内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲である。内部回路21の出力ノードXoとしてドレインを有する内部回路21内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比が例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲である場合、内部回路22、23、または24の入力ノードUi、Vi、およびWiとしてゲートを有する内部回路22、23、または24内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲である。前記の場合において、内部回路21の出力ノードXoから出力される信号は、厚い金属プレーン、バス、トレース、または線83を通って内部回路22、23、および24に到達することができ、厚い金属プレーン、バス、トレース、または線83を通過する電流は例えば約50マイクロアンペアから2ミリアンペアまでの範囲、好ましくは例えば約100マイクロアンペアから1ミリアンペアまでの範囲である。図7B、7C、および7Dに示されている細線金属構造634、632、および631は、複数の回路層60および複数の積層プラグ60’で形成することができ、上側のプラグ60’は下側のプラグ60’に揃えられる。回路層60が、電気メッキされた銅で形成される場合、積層プラグ60’は、電気メッキされた銅で形成されうる。回路層60が、スパッタリングされたアルミニウムで形成される場合、積層プラグ60’は、化学蒸着されたタングステンで形成されうる。パッシベーション層5の下に複数の絶縁層30があり、それぞれの絶縁層は、複数の回路層60のうちの隣接する2つの回路層の間に位置する。1つまたは複数の無機材料から作られる絶縁層30は、0.01から2マイクロメートルまでの範囲の厚さを有する酸化ケイ素の層を含むか、0.01から2マイクロメートルまでの範囲の厚さを有するフッ素ドープケイ酸塩ガラス(FSG)の層を含むか、または、ブラックダイヤモンド薄膜または水素、炭素、酸素、およびケイ素を含む材料などの、0.01から2マイクロメートルまでの範囲の厚さを有する、1.5から3.5までの範囲などの、低い誘電率を持つ層を含むことができる。
図5Bおよび6Bに示されている、パッシベーション層5の上の厚い金属トレースまたはプレーン83は、図7Bに示されているように、ただ1つのパターン形成回路層831からなるか、または図7Cに示されているように、複数のパターン形成回路層831からなるものとしてよい。図7Bでは、信号を伝送するための、信号プレーン、バス、トレース、または線などのパターン形成回路層831は、図5Bおよび6Bに示されている粗いトレース83の概念から実現される。図7Cでは、信号を伝送するための、信号プレーン、バス、トレース、または線などのパターン形成回路層831および832は、図5Bおよび6Bに示されている粗いトレース83の概念から実現される。図5Bおよび6Bに示されている、パッシベーション層5の上の厚い金属トレースまたはプレーン83が、図7Cに示されているように、複数のパターン形成回路層831および832からなる場合、ポリイミド(PI)、ベンゾシクロブテン(BCB)、パリレン、フォトエポキシSU−8、エポキシ系材料、エラストマー、またはシリコーンなどのポリマー層98を、隣接するパターン形成回路層831と832との間に入れ、パターン形成回路層831および832を隔てるようにすることができる。ポリマー層98は、2から30マイクロメートルまでの範囲の厚さを有するものとしてよい。ポリイミド(PI)、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのポリマー層99は、図7Cに示されているように、パッシベーション層5の上の、上述のポリマー層98によって隔てられる、パターン形成回路層831および832のうちの一番上の層832上に、または、図7Bおよび7Dに示されているように、ただ1つのパターン形成回路層831上に、あるものとしてよい。ポリマー層99は、2から30マイクロメートルまでの範囲の厚さを有するものとしてよい。ポリマー層99内のどの開口部もパターン形成回路層831または832を露出せず、パターン形成回路層831または832は、図7B、7C、および7Dに示されているように、外部回路に接続されたパッドを有しないことに留意されたい。あるいは、ポリイミド(PI)、ベンゾシクロブテン(BCB)、パリレン、エポキシ系材料、フォトエポキシSU−8、エラストマー、またはシリコーンなどのポリマー層95は、図7Cに示されている構造についてパッシベーション層5と、上述のポリマー層98によって隔てられているパターン形成回路層831および832のうちの一番上の層831との間にあるか、または、図7Dに示されているように、パッシベーション層5とただ1つのパターン形成回路層831との間にあるものとしてよい。ポリマー層95は、2から30マイクロメートルまでの範囲の厚さを有するものとしてよい。ポリマー層95内の複数の開口部9519、9519’、9511、9512、および9514は、それぞれ、パッシベーション層5内の開口部519、519’、511、512、および514に実質的に揃えられる。ポリマー層95内の開口部9531、9532、および9534は、それぞれパッシベーション層5内の開口部531、532、および534によって露出されているパッドを露出する。
ポリマー層95内の開口部9531、9532、および9534は、それぞれ開口部9531、9532、および9534に揃えられているパッシベーション層5内の開口部531、532、および534に比べて大きい幅または横方向寸法を有する下側部分を有する。ポリマー層95内の開口部9531、9532、および9534は、開口部531、532、および534に近いパッシベーション層5をさらに露出する。上面斜視図で見た開口部531、532、および534の形状は、丸形、正方形、矩形、または多角形であるものとしてよい。開口部531、532、および534が丸形である場合、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の直径を有することができる。開口部531、532、および534が正方形である場合、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅を有することができる。開口部531、532、および534が矩形である場合、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅、および1ミクロンから1センチメートルまでの範囲の長さを有することができる。開口部531、532、および534が5つより多い辺を有している多角形である場合、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大対角長を有する。あるいは、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大の横方向寸法を有する。ある場合には、開口部531、532、および534は、0.1から30ミクロンまでの範囲の幅を有し、ポリマー層95内の開口部9531、9532、および9514の下側部分は20から100ミクロンまでの範囲の幅を有する。
図7B、7C、および7Dに示されている、パッシベーション層5の上に厚い金属トレースまたはプレーン83を形成するパターン形成回路層831および832のそれぞれは、接着/バリア/シード層8311、8311a、8311b、または8321、およびバルク導電性金属層8112、8312a、8312b、または8322、を含むものとしてよい。パターン形成回路層831または832を形成するための方法およびその詳細は、パターン形成回路層801、802、または803を形成するための方法、および図15A〜15L、16A〜16M、17A〜17J、18A〜18Iおよび19A〜19Iに示されているその詳細に従うものとしてよい。
図7Bおよび7Dでは、パッシベーション層5の上の前記の厚く幅広の金属トレース83として使用される部分を含むただ1つのパターン形成回路層831、がある。パターン形成回路層831は、接着/バリア層、接着/バリア層上のシード層、および、シード層、接着/バリア層および底層8311を構成するシード層上の電気メッキ金属層8312、を含むものとしてよい。
図7Bを参照すると、パターン形成回路層831を形成するためのプロセスに関して、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、パッシベーション層5の窒化ケイ素層上に、およびパッシベーション層5内の複数の開口部531、532、および534によって露出される、もっぱらアルミニウムまたは銅から作られているコンタクトパッド6390上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層またはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または、1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって、形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、いずれかの前記の材料の接着/バリア層上に、200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって、または、いずれかの前記の材料の接着/バリア層上に、200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって、形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属層8312は、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に、2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に、2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされた銅層上に、0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に、2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に、0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に、0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を電気メッキすることによって、または、フォトレジスト層内の開口部によって露出される、シード層として働く金層上に、2から30マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって、形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属層8312の下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属層8312の下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。
パターン形成回路層831が形成された後、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層831上におよびパッシベーション層5の窒化物層上に、スピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層を窒素または無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって、形成されうる。厚く幅広の金属トレース83を露出する開口部はポリマー層99内に形成されない。
図7Dを参照すると、パターン形成回路層831が形成される前に、ポリマー層95は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パッシベーション層5の窒化物層上に、およびパッシベーション層5内の開口部531、532、および534によって露出されているコンタクトパッド上に、スピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素または無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって、適宜形成されうることがわかる。したがって、複数の開口部9531、9532、および9534は、ポリマー層95内に形成され、これによりパッシベーション層5内の開口部531、532、および533によって露出される複数のコンタクトパッドを露出することができる。ポリマー層95が形成された後、パターン形成回路層831をポリマー層95上に、および開口部531、532、および533によって露出されるコンタクトパッド上に、形成することができる。いずれかの前記の材料の接着/バリア層を、ポリマー層95上に、およびポリマー層95内の開口部9531、9532、および9534によって露出されるコンタクトパッド上にスパッタリングすることができる。
あるいは、図7Cを参照すると、パッシベーション層5の上の前記の厚く幅広の金属トレース83として使用される部分を含む、複数のパターン形成回路層831および832があるものとしてよいことがわかる。図7Cに示されているパターン形成回路層831を形成するためのプロセスは、図10Bに示されているパターン形成回路層831を形成するためのプロセスとして参照できる。パターン形成回路層832は、接着/バリア層、接着/バリア層上のシード層、および、シード層、接着/バリア層、および底層8321を構成するシード層上の電気メッキ金属層8322、を含むものとしてよい。
図7Cを参照すると、パターン形成回路層831が形成された後に、ポリマー層98は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層831上に、およびパッシベーション層5の窒化物層上に、スピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素または無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって、適宜形成されうることがわかる。したがって、複数の開口部9831および9834がポリマー層98内に形成され、これによりパターン形成回路層831の複数のコンタクトパッドを露出させることができる。
図7Cを参照すると、パターン形成回路層832を形成するためのプロセスに関して、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層98上に、およびポリマー層98内の複数の開口部9831および9834によって露出されるパターン形成回路層831のコンタクトパッド上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または、1000から6000オングストロームまでの範囲の厚さを有するタンタル層または窒化タンタル層などのタンタル含有層をスパッタリングすることによって、形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、いずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって、または、いずれかの前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって、形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属層8322は、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を電気メッキすることによって、または、フォトレジスト層内の開口部によって露出される、シード層として働く金層上に2から30マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって、形成されうる。その後、フォトレジストを取り除くことができる。その後、金属層8322の下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属層8322の下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。
パターン形成回路層832が形成された後、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層832上に、およびポリマー層98上に、スピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層を窒素または無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって、形成されうる。
あるいは、図7Cを参照すると、パターン形成回路層831が形成される前に、図7Dで言及されているようなポリマー層95は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パッシベーション層5の窒化物層上に、およびパッシベーション層5内の開口部531、532、および534によって露出されているコンタクトパッド上に、スピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素または無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって、適宜形成されうることがわかる。したがって、複数の開口部9531、9532、および9534は、図7Dで言及されているようなポリマー層95内に形成され、これによりパッシベーション層5内の開口部531、532、および533によって露出される複数のコンタクトパッドを露出することができる。ポリマー層95が形成された後、パターン形成回路層831をポリマー層95上に、および開口部531、532、および533によって露出されるコンタクトパッド上に形成することができる。いずれかの前記の材料の接着/バリア層を、ポリマー層95上に、およびポリマー層95内の開口部9531、9532、および9534によって露出されるコンタクトパッド上に、スパッタリングすることができる。
図7Cは、厚い金属プレーン、バス、またはトレース83が、2つのパターン形成回路層831および832からなり、その下の層はセグメント831aおよび831bからなることを除き、図7Bに類似する。ポリマー層98は、パターン形成回路層831をパターン形成回路層832から分離する。図7Cでは、図7Bの厚い金属プレーン、トレース、またはバス831が、厚い金属プレーン、トレース、またはバス831a、831b、および832で置き換えられている。図7Cを参照すると、内部回路21の出力ノード(通常は内部回路21内のMOSトランジスタのドレイン)から出力される信号は、パッシベーション層5の下の細線金属バスまたはトレース631を通り、次いでパッシベーション層5内の開口部531を通り、次いでパッシベーション層5の上の金属トレースまたはバス831bを通り、(1)第1の経路において、次いでポリマー層98内の開口部9831を通って上り、次いでポリマー層98の上の金属バスまたはトレース832を通り、次いでポリマー層98内の開口部9834を通って下り、次いでパッシベーション層831aの上の金属トレースまたはバス831aを通り、次いでパッシベーション層5内の開口部534を通り、次いでパッシベーション層5の下の細線金属構造634を通り、NORゲート24の入力ノード(通常はNORゲート24内のMOSトランジスタのゲート)に到達し、(2)第2の経路において、次いでパッシベーション層5内の開口部532を通って下り、次いでパッシベーション層5の下の細線金属相互接続スキーム632を通り、次いでNORゲート22およびNANDゲート23の入力ノード(通常は、それぞれ、NORゲート24およびNANDゲート23内のMOSトランジスタのゲート)に到達することがわかる。
図5B、6B、7B、7C、および7Dを参照すると、パッシベーション層5の上の金属トレースまたはバス83は、外部回路に接続されているオフチップI/O回路に接続することができ、したがって、厚い金属トレースまたはバス83に重大な電圧降下または信号劣化は生じないことがわかる。図7Bに示されているオーバーパッシベーションスキーム102は、ポリマー層99、およびパターン形成回路層831によって形成される金属トレース83を備える。図7Cに示されているオーバーパッシベーションスキーム102は、2つのポリマー層98および99とパターン形成回路層831および832によって形成される金属トレース83とを備え、ポリマー層98内の開口部9831および9834は、パターン形成回路層831の上にある。図7Dに示されているオーバーパッシベーションスキーム102は、2つのポリマー層95および99とパターン形成回路層831によって形成される金属トレース83とを備え、開口部9531、9532、および9534がポリマー層95内にある。
次に、内部回路21に適用される内部バッファ回路を示す図5C〜5Eを参照する。図5B、6B、7B、7C、および7Dに示されている内部回路21は、図5Cに示されている内部インバータとすることができる。第1のアプリケーションでは、n型MOS 2101およびp型MOS 2102のサイズは、内部回路22、23、および24内でたびたび使用されるサイズとして設計できる。MOSトランジスタのサイズは、その物理的チャネル幅とその物理的チャネル長との比として定義される。n型MOSトランジスタ2101が有する物理的チャネル幅と物理的チャネル長との比は、例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲であるものとしてよい。p型MOSトランジスタ2102が有する物理的チャネル幅と物理的チャネル長との比は、例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲であるものとしてよい。第1のアプリケーションでは、パッシベーション層5の上の厚い金属トレース83を通り、内部回路21のノードXoから出力する電流は、50μAから2mAまでの範囲、好ましくは100μAから1mAまでの範囲内とすることができる。第2のアプリケーションでは、大きな駆動電流がインバータ211の出力のために必要とされるのは、例えば、負荷内部回路22、23、および24によって重い負荷が要求された場合、または内部回路22、23、および24が内部回路21から離れた場所に配置され、例えば、1mmより長い、または3mmより長い距離にわたって内部回路21と内部回路22、23、および24を接続する相互接続金属線またはトレースが必要になる場合である。第2のアプリケーションでは、インバータ211から出力される電流は、通常の内部回路から出力される電流より大きく、例えば、1mAもしくは5mAであるか、または500μAから10mAまでの範囲であり、好ましくは700μAから2mAまでの範囲内である。したがって、第2のアプリケーションでは、n型MOSトランジスタ2101が有する物理的チャネル幅と物理的チャネル長との比は、例えば約1.5から30までの範囲、好ましくは例えば約2.5から10までの範囲であるものとしてよい。p型MOSトランジスタ2102が有する物理的チャネル幅と物理的チャネル長との比は、例えば約3から60までの範囲、好ましくは例えば約5から20までの範囲であるものとしてよい。
図5Cに示されているインバータ211が、図5B、6B、7B、7C、および7Dに示されているように内部回路21に適用される場合、内部回路21の出力ノードXoとして使用される、n型MOSトランジスタ2101およびp型MOSトランジスタ2102のドレインは、図5B、6B、7B、7C、および7Dに示されているようにパッシベーション層5の上の厚い金属トレースまたはバス83、831、または832に接続される。n型MOSトランジスタ2101およびp型MOSトランジスタ2102のゲートは、内部回路21の入力ノードXiとして使用される。
図5Cを参照すると、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、トレース81、811、または812は、電圧レギュレータまたはコンバータ回路41のノードPとp型MOSデバイス2102のソースとを接続することができることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、トレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRsとn型MOSデバイス2101のソースとを接続することができる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
図5Dおよび5Eは、内部ドライバ212および内部トライステート出力バッファ213をそれぞれ示している。図5Dに示されている内部ドライバ212が、図5B、6B、7B、7C、および7Dに示されているように内部回路21に適用される場合、内部回路21の出力ノードXoとして使用される、n型MOSトランジスタ2103およびp型MOSトランジスタ2104のドレインは、パッシベーション層5の上の厚い金属トレースまたはバス83、831、または832に接続される。n型MOSトランジスタ2103’およびp型MOSトランジスタ2104’のゲートは、内部回路21の入力ノードXiとして使用される。n型MOSトランジスタ2103’およびp型MOSトランジスタ2104’のドレインは、n型MOSトランジスタ2103およびp型MOSトランジスタ2104のゲートに接続される。
図5Eに示されている内部トライステート出力バッファ213が、図5B、6B、7B、7C、および7Dに示されているように内部回路21に適用される場合、内部回路21の出力ノードXoとして使用される、n型MOSトランジスタ2107’のゲートに伝送されるイネーブル信号およびp型MOSトランジスタ2108’のゲートに伝送されるイネーブル(バー)信号によって制御されるスイッチ機能を備えるn型MOSトランジスタ2107‘およびp型MOSトランジスタ2108’のドレインは、図5B、6B、7B、7C、および7Dに示されているようにパッシベーション層5の上の厚い金属トレースまたはバス83、831、または832に接続される。n型MOSトランジスタ2107およびp型MOSトランジスタ2108のゲートは、内部回路21の入力ノードXiとして使用される。n型MOSトランジスタ2107およびp型MOSトランジスタ2108のドレインは、n型MOSトランジスタ2107’およびp型MOSトランジスタ2108’のソースにそれぞれ接続される。
図5Dまたは5Eに示されているように、ポストパッシベーション金属トレース83を通じて内部回路22、23、および24に信号をドライブするために使用される、内部ドライバ212または内部トライステート出力バッファ213は、(1)内部ドライバ212または内部トライステート出力バッファ213の出力ノードXoが外部回路に接続されず、(2)内部ドライバ212または内部トライステート出力バッファ213内の複数のp型MOSトランジスタのうちの最大の1つのp型MOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比が外部回路に接続されているオフチップドライバまたはオフチップトライステート出力バッファ内の複数のp型MOSトランジスタのうちの最大の1つのp型MOSトランジスタの物理的チャネル幅と物理的チャネル長との比よりも小さいことを除き、それぞれ以下の図11Aまたは11Dで説明される、外部回路を駆動するために使用されるオフチップドライバまたはオフチップトライステート出力バッファに類似する。内部トライステート出力バッファ213は、駆動機能およびスイッチ機能を備え、データまたはアドレスバスとして動作するパッシベーション層5の上の厚い金属線またはトレース83を通じてメモリチップ内のデータ信号またはアドレス信号を伝送するために特に有用である。
図5Bでは、内部回路22、23、および24によって重い負荷が要求された場合、または内部回路22、23、および24が内部回路21から、1mmより長い、または3mmより長い距離だけ離れている場合に、内部回路21の出力ノードXoでは比較的大きな駆動電流が必要になることがある。比較的大きな駆動電流を供給するために、内部回路21は、図5Dに示されている内部ドライバ212または図5Eに示されている内部トライステート出力バッファ213として設計することができる。
図5Dおよび5Eでは、n型MOSトランジスタ2103、2107、および2107’が有する物理的チャネル幅と物理的チャネル長との比は、例えば約1.5から30までの範囲、好ましくは例えば約2.5から10までの範囲であるものとしてよい。p型MOSトランジスタ2104、2108、および2108’が有する物理的チャネル幅と物理的チャネル長との比は、例えば約3から60までの範囲、好ましくは例えば約5から20までの範囲であるものとしてよい。図5Dにおいて、n型MOSトランジスタ2103’が有する物理的チャネル幅と物理的チャネル長との比は、例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲であるものとしてよく、p型MOSトランジスタ2104’が有する物理的チャネル幅と物理的チャネル長との比は、例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲であるものとしてよい。図5B、5D、および5Eを参照すると、内部ドライバ212または内部トライステートバッファ213は、出力ノードXoから出力される信号を、パッシベーション層5の上の厚い金属トレースまたはバス83に通し、内部回路22、23、および24の入力ノードUi、Vi、およびWiにドライブすることができるが、外部回路にはドライブすることはできないことがわかる。内部ドライバ212または内部トライステートバッファ213によって供給される、パッシベーション層5の上の厚い金属トレースまたは線83を通り、内部回路21のノードXoから出力する電流は、500μAから10mAまでの範囲、好ましくは700μAから2mAまでの範囲内とすることができる。
図5Dを参照すると、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、トレース81、811、または812は、電圧レギュレータまたはコンバータ回路41のノードPとp型MOSデバイス2104および2104’のソースとを接続することができることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、トレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRsとn型MOSデバイス2103および2103’のソースとを接続することができる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
図5Eを参照すると、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、トレース81、811、または812は、電圧レギュレータまたはコンバータ回路41のノードPとp型MOSデバイス2108のソースとを接続することができることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、トレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRsとn型MOSデバイス2107のソースとを接続することができる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
あるいは、内部回路21の出力ノードXoとしてドレインを有する内部回路21内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比が例えば約1.5から30までの範囲、好ましくは例えば約2.5から10までの範囲である場合、内部回路22、23、または24の入力ノードUi、Vi、およびWiとしてゲートを有する内部回路22、23、または24内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲である。内部回路21の出力ノードXoとしてドレインを有する内部回路21内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比が例えば約1.5から30までの範囲、好ましくは例えば約2.5から10までの範囲である場合、内部回路22、23、または24の入力ノードUi、Vi、およびWiとしてゲートを有する内部回路22、23、または24内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲である。内部回路21の出力ノードXoとしてドレインを有する内部回路21内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比が例えば約3から60までの範囲、好ましくは例えば約5から20までの範囲である場合、内部回路22、23、または24の入力ノードUi、Vi、およびWiとしてゲートを有する内部回路22、23、または24内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲である。内部回路21の出力ノードXoとしてドレインを有する内部回路21内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比が例えば約3から60までの範囲、好ましくは例えば約5から20までの範囲である場合、内部回路22、23、または24の入力ノードUi、Vi、およびWiとしてゲートを有する内部回路22、23、または24内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲である。前記の場合において、内部回路21の出力ノードXoから出力される信号は、厚い金属プレーン、バス、トレース、または線83を通って内部回路22、23、および24に到達することができ、厚い金属プレーン、バス、トレース、または線83を通過する電流は例えば約500マイクロアンペアから10ミリアンペアまでの範囲、好ましくは例えば約700マイクロアンペアから2ミリアンペアまでの範囲である。
図5Bに示されている概念は、図5F〜5Jに例示されているように、メモリチップに適用することができる。
図5Fを参照すると、前記のトライステート出力バッファ213は、図5B、6B、7B、7C、および7Dに示されている内部回路21となるように使用され、増幅器214の出力ノードに接続された入力ノードXi、および、図5B、6B、7B、7C、および7Dに示されているような、パッシベーション層5の上の上述の厚い金属プレーン、バス、またはトレース83、831、もしくは832を通じて、論理ゲートなどの、内部回路22、23、および24に接続された出力ノードXoを有し、内部回路22、23、および24は、代替的に、NORゲート、NANDゲート、ANDゲート、ORゲート、オペアンプ、加算器、マルチプレクサ、ダイプレクサ、乗算器、A/Dコンバータ、D/Aコンバータ、CMOSトランジスタ、バイポーラCMOSトランジスタ、またはバイポーラ回路とすることができることがわかる。半導体チップは、ワード線、ビット線、およびビット(バー)線に接続された複数のメモリセルを備えるメモリアレイを具備することができる。2171などのビット線と2172などのビット(バー)線のそれぞれの対は、CS1ノードによって制御されるn型MOSトランジスタ2123および2122のチャネルを通じて、214などの複数の増幅器のうちの1つの増幅器に接続される。n型MOSトランジスタ2122および2123が、非アクティブサイクルにおいてオフにされた場合、ビット線2171またはビット(バー)線2172上のノイズは、センス増幅器214に伝わることも、またセンス増幅器214に悪影響を及ぼすこともない。
この場合、メモリセル215は、スタティックランダムアクセスメモリ(SRAM)セルである。あるいは、メモリセル215は、ダイナミックランダムアクセスメモリ(DRAM)セル、消去可能プログラム可能読み取り専用メモリ(EPROM)セル、電子的消去可能プログラム可能読み取り専用メモリ(EEPROM)セル、フラッシュメモリセル、読み取り専用メモリ(ROM)セル、または磁気ランダムアクセスメモリ(MRAM)セルとすることができ、これは、図5B、6B、7B、7C、および7Dに示されているように、パッシベーション層5の上の厚い金属トレース83、831、または832を通じて1つまたは複数の論理ゲート22、23、および24に接続される。図5F〜5Jに示されているような、センス増幅器214、トライステートバッファ213、パスゲート216、ラッチメモリ217、または内部ドライバ212は、適宜、任意の種類のメモリセル215とパッシベーション層5の上の厚い金属トレース83、831、または832との間の経路上に設定することができる。
メモリセル215として動作するSDRAMセルの場合、複数のメモリセル215をアレイ状に配列することができる。平行に配列された複数のビット線2171およびビット(バー)線2172は、それぞれ一列(a column)に配列されたメモリセル215のNMOSトランジスタ2120および2119のソースまたはドレインに接続される。平行に配列され、ビット線2171およびビット(バー)線2172に垂直に配列された複数のワード線は、一行(a row)に配列されたメモリセル215のNMOSトランジスタ2120および2119のゲートに接続される。メモリセル215は、2つのPMOSトランジスタ2116および2118ならびに2つのNMOSトランジスタ2115および2117をさらに含み、PMOSトランジスタ2116およびNMOSトランジスタ2115のゲートならびにPMOSトランジスタ2118およびNMOSトランジスタ2117のドレインは、NMOSトランジスタ2120のチャネルを通じてビット線2171に接続され、PMOSトランジスタ2118およびNMOSトランジスタ2117のゲートならびにPMOSトランジスタ2116およびNMOSトランジスタ2115のドレインは、NMOSトランジスタ2119のチャネルを通じてビット(バー)線2172に接続される。
差動増幅器などのセンス増幅器214は、ビット線2171およびビット(バー)線2172を通じて一列に配列されている複数のメモリセル215に結合されうる。センス増幅器214は、2つのPMOSトランジスタ2112および2114ならびに2つのNMOSトランジスタ2111および2113をさらに含み、PMOSトランジスタ2112および2114のゲートは、NMOSトランジスタ2111およびPMOSトランジスタ2112のドレインに接続され、センス増幅器214の出力ノードとして使用されるPMOSトランジスタ2114およびNMOSトランジスタ2113のドレインは、前記のトライステートバッファ213内のPMOSトランジスタ2108およびNMOSトランジスタ2107のゲートに接続される。NMOSトランジスタ2113のゲートは、ビット線2171に接続される。NMOSトランジスタ2111のゲートは、ビット(バー)線2172に接続される。トライステートバッファ213の説明および仕様については、図5Eに示されている上記の例示を参照するとよい。
図5Fを参照すると、電圧レギュレータまたはコンバータ回路41のノードPは、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812を通じて、メモリセル215のPMOSトランジスタ2116および2118のソース、センス増幅器214のPMOSトランジスタ2112および2114のソース、およびトライステート出力バッファ213のPMOSトランジスタ2108のソースに接続されうることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。電圧レギュレータまたはコンバータ回路41のノードRsは、パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821を通じて、メモリセル215のNMOSトランジスタ2115および2117のソース、センス増幅器214のNMOSトランジスタ2111および2113のソース、およびトライステート出力バッファ213のNMOSトランジスタ2107のソースに接続されうる。差動センス増幅器214は、電力消費量を節減するために、トランジスタ2121によってVssから絶縁され、列選択信号(CS2)によって制御される。トランジスタ2121は、メモリセル215の読み出しが行われるときにオフにされる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
NMOSトランジスタ2120および2119がオンにされた状態で、メモリセル215が「READ」オペレーションに入っている場合、ビットデータおよびビット(バー)データなどのメモリセル215内にラッチされた状態は、NMOSトランジスタ2120および2119のチャネルを通じてビット線2171およびビット(バー)線2172にそれぞれ出力することができる。ビットデータおよびビット(バー)データを、それぞれ、ビット線2171およびビット(バー)線2172を通じてセンス増幅器214に伝送して、最初にビットデータおよびビット(バー)データを増幅し、その後、ビットデータおよびビット(バー)データを所望の波形または電圧レベルを持つようにすることができる。増幅器214から出力された最初に増幅されたビットデータまたはビット(バー)データは、トライステート出力バッファ213に伝送され、最初に増幅されたビットデータまたはビット(バー)データがさらに増幅されうるが、図5Fは、増幅器214から出力された最初に増幅されたビット(バー)データがトライステート出力バッファ213の入力ノードXiに伝送されることのみを示している。トライステートバッファから出力されるさらに増幅されたビット(バー)データまたはビットデータは、図5B、6B、7B、7C、および7Dに示されているように、厚い金属プレーンまたはバス83、831、または832を通じて内部回路22、23、および24に伝送されうるが、図5Fは、さらに増幅されたビットデータがトライステート出力バッファ213から出力されることのみを示している。
ビット線2171およびビット(バー)線2172は、パッシベーション層5の下にだけある、スパッタリングされたアルミニウムまたはダマシン銅で作られた、細線金属層によって形成することができる。あるいは、ビット線2171およびビット(バー)線2172は、パッシベーション層5の上、およびパッシベーション層5の下の相互接続構造によって形成することができ、パッシベーション層5の下の部分は、0.01から2ミクロンまでの範囲の厚さを有するスパッタリングされたアルミニウムの層またはダマシン銅層を含むものとしてよく、パッシベーション層5の上の部分は、2から20ミクロンまでの範囲の厚さを有する電気メッキされた銅または電気メッキされた金を含むものとしてよい。
この場合、図5B、6B、7B、7C、および7Dに示されている厚い金属バスまたはトレース83、831、または832は、ビットバスと称され、トライステートバッファ213から出力される、4ビット幅、8ビット幅、16ビット幅、32ビット幅、64ビット幅、128ビット幅、256ビット幅、512ビット幅、1024ビット幅、2048ビット幅、または4096ビット幅を持つさらに増幅されたビットデータまたはビット(バー)データを伝送することができる。したがって、パッシベーション層5の上の、平行に配列された4、8、16、32、64、128、256、512、1024、2048、または4096ビットバスは、複数の内部回路21、この場合はトライステートバッファ213の出力ノードXoを、NORゲート、NANDゲート、ANDゲート、ORゲート、オペアンプ、加算器、マルチプレクサ、ダイプレクサ、乗算器、A/Dコンバータ、D/Aコンバータ、CMOSトランジスタ、バイポーラCMOSトランジスタ、またはバイポーラ回路などの複数の内部回路22、23、および24に接続することができる。
あるいは、アドレスデコーダ205と複数の内部回路25および26の出力とを接続する複数のアドレスバス85を、図5Uに示されているように、パッシベーション層5の上に形成し、これにより、「READ」オペレーション時に内部回路25および26のうちの一方からアドレスデータをアドレスデコーダ205に伝送することができ、内部回路25および26は、NORゲート、NANDゲート、ANDゲート、ORゲート、オペアンプ、加算器、マルチプレクサ、ダイプレクサ、乗算器、A/Dコンバータ、D/Aコンバータ、CMOSトランジスタ、バイポーラCMOSトランジスタ、またはバイポーラ回路であるものとしてよい。アドレスデコーダ205は、メモリアレイ内の複数のメモリセルと結合された複数のワード線に接続される。図5Fおよび5Uを参照すると、ワード線2175の1つが、メモリセル115のNMOSトランジスタ2120および2119のゲートに接続されており、これにより、PMOSトランジスタ2118およびNMOSトランジスタ2117のドレインとPMOSトランジスタ2116およびNMOSトランジスタ2115のゲートとを接続するトレースに保存されるビットデータの論理レベルならびにPMOSトランジスタ2116およびNMOSトランジスタ2115のドレインとPMOSトランジスタ2118およびNMOSトランジスタ2117のゲートとを接続するトレースに保存されるビット(バー)データの論理レベルをそれぞれNMOSトランジスタ2120および2119のチャネルを通じてビット線2171およびビット(バー)線2172に伝送するかどうかを制御する信号をアドレスデコーダ205からメモリセルに伝送することがわかる。センス増幅器214は、ビットデータおよびビット(バー)データを受信し、最初に、ビット(バー)データを増幅する。センス増幅器214から出力される最初に増幅されたビット(バー)データは、パッシベーション層5の下のトレース2179を通じてトライステートバッファ213のPMOSトランジスタ2108およびNMOSトランジスタ2107のゲートに伝送されうる。2つのトレース2177および2178は、アドレスデコーダ205とトライステートバッファ213とを接続し、これにより、前記のさらに増幅されたビット信号をトライステートバッファ213からパッシベーション層5の上のデータバス83に出力するかどうかを制御するENABLE信号およびENABLE(バー)信号をアドレスデコーダ205からトライステートバッファ213に伝送する。
後述の他の実施形態も、代替的に実現することが可能である。本特許出願中の同じ参照番号は、同じか、または類似の要素を指し示す。
図5B、6B、7B、7C、および7Dを参照すると、内部回路21は図5Gに示されているようなパスゲート216であってもよいことがわかる。パスゲート216は、図5Vに示されているように、パッシベーション層5の下のトレース2180を通じてアドレスデコーダ205に接続されたゲートを有するNMOSトランジスタ2124を備えることができる。「READ」オペレーション時に、アドレスデコーダ205は、パッシベーション層5の上の複数のアドレスバス85を通じてアドレスデータを受信する。アドレスデコーダ205は、READ ENABLEデータをトレース2180を通じてNMOSトランジスタ2124のゲートに出力し、NMOSトランジスタ2124をオンにするか、またはオフにするかを制御する。パスゲート216のNMOSトランジスタ2124がオンにされたときに、センス増幅器214から出力される最初に増幅されたビット(バー)データを、NMOSトランジスタ2124のチャネルを通じてパッシベーション層5の上のデータバス83、831、または832に伝送することができる。
図5B、6B、7B、7C、および7Dを参照すると、内部回路21は図5Hに示されているようなラッチ回路217であってもよいことがわかる。ラッチ回路217は、センス増幅器214から出力されるデータを一時的に格納しておくことができる。ラッチ回路217は、2つのPMOSトランジスタ2901および2902ならびに2つのNMOSトランジスタ2903および2904を備える、トレース2905は、PMOSトランジスタ2902およびNMOSトランジスタ2904のゲートとPMOSトランジスタ2901およびNMOSトランジスタ2903のドレインとを接続する。トレース2906は、PMOSトランジスタ2901およびNMOSトランジスタ2903のゲートとPMOSトランジスタ2902およびNMOSトランジスタ2904のドレインとを接続する。ラッチ回路217は、図5Wに示されているように、パッシベーション層5の下の金属トレース2181および2182を通じてアドレスデコーダ205に接続されたゲートを有する2つのNMOSトランジスタ2129および2130をさらに備えることができる。「READ」オペレーション時に、アドレスデコーダ205は、パッシベーション層5の上の複数のアドレスバス85を通じてアドレスデータを受信する。アドレスデコーダ205は、READ ENABLEデータ(RE1およびRE2)をトレース2181および2182を通じてNMOSトランジスタ2129および2130のゲートに出力し、NMOSトランジスタ2129および2130をそれぞれオンにするか、またはオフにするかを制御する。NMOSトランジスタ2129がオンにされたときに、センス増幅器214から出力される最初に増幅されたビット(バー)データを、NMOSトランジスタ2129のチャネルを通じてトレース2905に伝送することができる。トレース2905はビット(バー)データをラッチし、トレース2906はビットデータをラッチする。NMOSトランジスタ2130がオンにされたときに、ラッチ回路217のトレース2906から出力されるビットデータを、NMOSトランジスタ2130のチャネルを通じてデータバス83、831、または832に伝送することができる。
図5Hを参照すると、電圧レギュレータまたはコンバータ回路41のノードPは、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812を通じて、メモリセル215のPMOSトランジスタ2116および2118のソース、センス増幅器214のPMOSトランジスタ2112および2114のソース、およびラッチ回路217のPMOSトランジスタ2901および2902のソースに接続されうることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。電圧レギュレータまたはコンバータ回路41のノードRsは、パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821を通じて、メモリセル215のNMOSトランジスタ2115および2117のソース、センス増幅器214のNMOSトランジスタ2111および2113のソース、およびラッチ回路217のNMOSトランジスタ2903および2904のソースに接続されうる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
しかし、図5Gのパスゲート216または図5Hのラッチ回路217は、大きな駆動能力を有していない。論路回路22、23、および24の重い負荷を駆動するために、またはパス回路216から出力されるビット(バー)データまたはラッチ回路217から出力されるビットデータを長い距離を経て論理回路22、23、および24に伝送するために、内部回路21は、図5Iに示されているようにパスゲート216の出力ノードに接続された、または図5Jに示されているようにラッチ回路217の出力ノードに接続された、前記の内部ドライバ212を備え、これにより、パスゲート216から出力されるビット(バー)データまたはラッチ回路217から出力されるビットデータを増幅することができる。図5Iを参照すると、内部ドライバ212から出力される増幅されたビット(バー)データは、図5B、6B、7B、7C、および7Dに示されているように、パッシベーション層5の上のデータバス83、831、または832を通じて内部回路22、23、および24に伝送されうることがわかる。図5Jを参照すると、内部ドライバ212から出力される増幅されたビットデータは、図5B、6B、7B、7C、および7Dに示されているように、パッシベーション層5の上のデータバス83、831、または832を通じて内部回路22、23、および24に伝送されうることがわかる。
図5Iを参照すると、電圧レギュレータまたはコンバータ回路41のノードPは、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812を通じて、メモリセル215のPMOSトランジスタ2116および2118のソース、センス増幅器214のPMOSトランジスタ2112および2114のソース、および内部ドライバ212のPMOSトランジスタ2104’および2104のソースに接続されうることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚い幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。電圧レギュレータまたはコンバータ回路41のノードRsは、パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821を通じて、メモリセル215のNMOSトランジスタ2115および2117のソース、センス増幅器214のNMOSトランジスタ2111および2113のソース、およびドライバ回路212のNMOSトランジスタ2103’および2103のソースに接続されうる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
図5Jを参照すると、電圧レギュレータまたはコンバータ回路41のノードPは、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812を通じて、メモリセル215のPMOSトランジスタ2116および2118のソース、センス増幅器214のPMOSトランジスタ2112および2114のソース、ラッチ回路217のPMOSトランジスタ2901および2902のソース、および内部ドライバ212のPMOSトランジスタ2104’および2104のソースに接続されうることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。電圧レギュレータまたはコンバータ回路41のノードRsは、パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821を通じて、メモリセル215のNMOSトランジスタ2115および2117のソース、センス増幅器214のNMOSトランジスタ2111および2113のソース、ラッチ回路217のNMOSトランジスタ2903および2904のソース、および内部ドライバ212のNMOSトランジスタ2103’および2103のソースに接続されうる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
あるいは、図5Kを参照すると、内部回路24の出力ノードWoは、パッシベーション層5の上の厚い金属プレーン、バス、トレース、または線83’を通して内部回路21、22、および23の入力ノードXi、Ui、およびViに接続されていることがわかる。NORゲートなどの内部回路24は、その出力ノードWoから信号またはデータを、図5Lに示されているレシーバ212’、図5Mに示されているトライステート入力バッファ213’、または他の内部回路などの内部回路21の入力ノードXi’に、パッシベーション層5の下の細線金属構造634’を通り、次いでパッシベーション層5内の開口部534’を通り、次いでパッシベーション層5の上の厚い金属プレーン、線、またはトレース83’を通り、次いでパッシベーション層5内の別の開口部531’を通り、次いでパッシベーション層5の下の細線金属構造631’を通るようにして、送信することができる。それに加えて、内部回路24の出力ノードWoから出力される信号もしくはデータも、NORゲートなどの内部回路22の入力ノードUiに、パッシベーション層5の下の細線金属構造634’を通り、次いでパッシベーション層5内の開口部534’を通り、次いでパッシベーション層5の上の厚い金属プレーン、線、またはトレース83’を通り、次いでパッシベーション層5内の別の開口部532’を通り、次いでパッシベーション層5の下の細線金属構造632a’および632b’を通って伝送されうる。それに加えて、NORゲート24の出力ノードWoから出力される信号もしくはデータも、NANDゲートなどの内部回路23の入力ノードViに、パッシベーション層5の下の細線金属構造634’を通り、次いでパッシベーション層5内の開口部534’を通り、次いでパッシベーション層5の上の厚い金属プレーン、線、またはトレース83’を通り、次いでパッシベーション層5内の別の開口部532’を通り、次いでパッシベーション層5の下の細線金属構造632a’および632c’を通って伝送されうる。
細線金属構造634’、632’、および631’は、積層金属プラグで形成することができ、図7B、7C、および7Dに示されているように、それぞれ、細線金属構造634、632、および631の類似の構造を有する。内部回路21、22、および23は、内部回路24の出力ノードWoから出力される信号をその入力ノードXi’、Ui、およびViで受信し、その出力ノードXo’、Uo、およびVoから信号を、パッシベーション層5の下の金属トレースを通して他の内部回路に出力することができる。
図7B〜7Dに示されているパッシベーション層5の上の構造は、前記の厚い金属トレース、線、またはプレーン83を構成するものであり、図5Kに例示されている厚い金属トレース、線、またはプレーン83’を形成する段階に対して適用することもできる。ポリマー層99、98、および95と図7B〜7Dに例示されている回路金属層831および832に対するすべての組み合わせを1つまたは複数のポリマー層および図5Kに例示されているパッシベーション層5の上の1つまたは複数の回路金属層に対する組み合わせに適用されうる。
ある場合において、内部回路21は、図5Lに示されているような内部レシーバ212’、または図5Mに示されているような内部入力トライステートバッファ213’とすることができる。図5Kおよび5Lを参照すると、内部レシーバ212’は、パッシベーション層5の上の厚い金属トレースまたはバス83を通過する信号を受信し、次いで、その出力ノードXo’から増幅された信号を他の内部回路に出力することができ、パッシベーション層5の下の金属トレースを通して外部回路には出力できないことがわかる。図5Kおよび5Mを参照すると、内部入力トライステートバッファ213’は、パッシベーション層5の上の厚い金属トレースまたはバス83を通過する信号を受信し、次いで、その出力ノードXo’から増幅された信号を他の内部回路に出力することができ、パッシベーション層5の下の金属トレースを通して外部回路には出力できないことがわかる。
図5Lの内部レシーバ212’は、図5Dの内部ドライバ212と似た回路設計を有する。図5Dおよび5Lでは、同じ参照番号は、同じ特性を持つ同じ要素を示す。図5Mの内部入力トライステートバッファ213’は、図5Eの内部出力トライステートバッファ213と似た回路設計を有する。図5Eおよび5Mでは、同じ参照番号は、同じ特性を持つ同じ要素を示す。
内部レシーバ212’または内部トライステート入力バッファ213’の出力ノードXo’は、外部回路には接続されず、パッシベーション層5の下の内部回路に接続される。内部トライステート入力バッファ213’は、増幅機能およびスイッチ機能を備え、データもしくはアドレスバスとして動作するパッシベーション層5の上の厚い金属線またはトレース83’を通過したデータ信号またはアドレス信号を増幅するために特に有用である。
図5Kでは、内部回路21の出力ノードXo’に接続されている内部回路によって重い負荷が要求された場合、または内部回路24が内部回路21から、1mmより長い、または3mmより長い距離だけ離れている場合に、内部回路21の出力ノードXo’では比較的大きな駆動電流が必要である。比較的大きな出力電流を供給するために、内部回路21は、図5Lに示されている内部レシーバ212’または図5Mに示されている内部トライステート入力バッファ213’として設計することができる。
図5Kを参照すると、内部回路24から出力される信号は、内部回路21のn型MOSトランジスタに伝送され、n型MOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲であるものとしてよいことがわかる。あるいは、内部回路24から出力される信号は、内部回路21のp型MOSトランジスタに伝送され、p型MOSトランジスタ2102が有する物理的チャネル幅と物理的チャネル長との比は、例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲であるものとしてよい。このアプリケーションでは、内部回路24から出力され、パッシベーション層5の上の厚い金属トレース83’を通して伝送される電流レベルは、例えば、50μAから2mAまでの範囲、好ましくは100μAから1mAまでの範囲内である。
図5Lおよび5Mでは、n型MOSトランジスタ2103、2107、および2107’が有する物理的チャネル幅と物理的チャネル長との比は、例えば約1.5から30までの範囲、好ましくは例えば約2.5から10までの範囲であるものとしてよい。p型MOSトランジスタ2104、2108、および2108’が有する物理的チャネル幅と物理的チャネル長との比は、例えば約3から60までの範囲、好ましくは例えば約5から20までの範囲であるものとしてよい。図5Lにおいて、n型MOSトランジスタ2103’が有する物理的チャネル幅と物理的チャネル長との比は、例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または好ましくは例えば約0.2から2までの範囲であるものとしてよく、p型MOSトランジスタ2104’が有する物理的チャネル幅と物理的チャネル長との比は、例えば約0.2から40までの範囲、例えば約0.2から20までの範囲、または好ましくは例えば約0.4から4までの範囲であるものとしてよい。図5K、5L、および5Mを参照すると、内部レシーバ212または内部トライステート入力バッファ213は、内部回路24の出力ノードWoから出力され、パッシベーション層5の上の厚い金属トレースまたはバス83’を通して伝送されるが、外部回路には伝送されない信号を受信することができることがわかる。内部ドライバ212または内部トライステートバッファ213によって供給される、パッシベーション層5の上の厚い金属トレースまたは線83’を通り、内部回路21のノードXi’に入力する電流は、500μAから10mAまでの範囲、好ましくは700μAから2mAまでの範囲内とすることができる。
図5Kに示されている概念は、図5N〜5Rに例示されているように、メモリチップに適用することができる。メモリチップは、メモリセル215、および図5Fに例示されているものとして参照されうるセンス増幅器214を備える。図5Fおよび5N〜Rでは、同じ参照番号は同じ要素を示す。
図5Nを参照すると、前記のトライステート入力バッファ213’は、図5Kに示されている内部回路21となるように使用され、ビット(バー)線2172に接続された出力ノードXo’、およびパッシベーション層5の上の上述の厚い金属プレーン、バス、またはトレース83’を通じて、論理ゲートなどの、内部回路22、23、および24に接続された入力ノードXi’を有し、内部回路24は、代替的に、NORゲート、NANDゲート、ANDゲート、ORゲート、オペアンプ、加算器、マルチプレクサ、ダイプレクサ、乗算器、A/Dコンバータ、D/Aコンバータ、CMOSトランジスタ、バイポーラCMOSトランジスタ、またはバイポーラ回路であるものとしてよいことがわかる。
この場合、メモリセル215は、スタティックランダムアクセスメモリ(SRAM)セルである。あるいは、メモリセル215は、ダイナミックランダムアクセスメモリ(DRAM)セル、消去可能プログラム可能読み取り専用メモリ(EPROM)セル、電子的消去可能プログラム可能読み取り専用メモリ(EEPROM)セル、フラッシュメモリセル、読み取り専用メモリ(ROM)セル、または磁気ランダムアクセスメモリ(MRAM)セルとすることができ、これは、パッシベーション層5の上の厚い金属トレース83’を通じて論理ゲート24の出力ノードWoに接続される。図5N〜5Rに示されているような、トライステート入力バッファ213’、パスゲート216’、ラッチメモリ217’、または内部レシーバ212’は、適宜、任意の種類の例示されているメモリセル215とパッシベーション層5の上の厚い金属トレース83’との間の経路上に設定することができる。
図5Nを参照すると、電圧レギュレータまたはコンバータ回路41のノードPは、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812を通じて、メモリセル215のPMOSトランジスタ2116および2118のソース、センス増幅器214のPMOSトランジスタ2112および2114のソース、およびトライステート入力バッファ213’のPMOSトランジスタ2108のソースに接続されうることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。電圧レギュレータまたはコンバータ回路41のノードRsは、パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821を通じて、メモリセル215のNMOSトランジスタ2115および2117のソース、センス増幅器214のNMOSトランジスタ2111および2113のソース、およびトライステート入力バッファ213’のNMOSトランジスタ2107のソースに接続されうる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
図5Nを参照すると、メモリセル215が「WRITE」オペレーションに入っている場合、ビット信号は、内部回路24の出力ノードWoから、パッシベーション層5の上の厚い金属線、トレース、またはプレーン83’を通じて、トライステート入力バッファ213’の入力ノードXi’、つまり、PMOSトランジスタ2108とNMOSトランジスタ2107のゲートに伝送されうることがわかる。所望の波形または電圧レベルを有する増幅されたビット(バー)信号は、トライステート入力バッファ213’の出力ノードXo’、つまり、PMOSトランジスタ2108’のソース、またはNMOSトランジスタ2107’のソースから、ビット(バー)線2172に出力することができる。NMOSトランジスタ2122および2119がオンにされた状態で、ビット(バー)線上のビット(バー)信号は、PMOSトランジスタ2118およびNMOSトランジスタ2117のゲートとPMOSトランジスタ2116およびNMOSトランジスタ2115のソースとを接続するトレース上に保存することができ、ビット信号は、PMOSトランジスタ2116およびNMOSトランジスタ2115のゲートとPMOSトランジスタ2118およびNMOSトランジスタ2117のソースとを接続するトレース上に保存することができることがわかる。
この場合、厚い金属バスまたはトレース83’は、ビットバスと称され、トライステートバッファ213から出力される、4ビット幅、8ビット幅、16ビット幅、32ビット幅、64ビット幅、128ビット幅、256ビット幅、512ビット幅、1024ビット幅、2048ビット幅、または4096ビット幅を持つ書き込まれるべきビットデータまたはビット(バー)データを伝送することができる。したがって、パッシベーション層5の上の、平行に配列された4、8、16、32、64、128、256、512、1024、2048、または4096ビットバスは、複数の内部回路21、この場合はトライステート入力バッファ213’の入力ノードXi’を、NORゲート、NANDゲート、ANDゲート、ORゲート、オペアンプ、加算器、マルチプレクサ、ダイプレクサ、乗算器、A/Dコンバータ、D/Aコンバータ、CMOSトランジスタ、バイポーラCMOSトランジスタ、またはバイポーラ回路などの複数の内部回路24の複数の出力ノードに接続することができる。
あるいは、アドレスデコーダ205と複数の内部回路25および26の出力とを接続する複数のアドレスバス85を、図5Xに示されているように、パッシベーション層5の上に形成し、これにより、「WRITE」オペレーション時に内部回路25および26のうちの一方からアドレスデータをアドレスデコーダ205に伝送することができ、内部回路25および26は、NORゲート、NANDゲート、ANDゲート、ORゲート、オペアンプ、加算器、マルチプレクサ、ダイプレクサ、乗算器、A/Dコンバータ、D/Aコンバータ、CMOSトランジスタ、バイポーラCMOSトランジスタ、またはバイポーラ回路であるものとしてよい。アドレスデコーダ205は、メモリアレイ内の複数のメモリセルと結合された複数のワード線に接続される。図5Nおよび5Xを参照すると、ワード線2175の1つが、メモリセル115のNMOSトランジスタ2120および2119のゲートに接続されており、これにより、ビット線2171上のビットデータの論理レベルがNMOSトランジスタ2120のチャネルを通じてPMOSトランジスタ2118およびNMOSトランジスタ2117のドレインとPMOSトランジスタ2116およびNMOSトランジスタ2115のゲートとを接続するトレースに保存されるかどうか、またビット(バー)線2172上のビット(バー)データの論理レベルがPMOSトランジスタ2116およびNMOSトランジスタ2115のドレインとPMOSトランジスタ2118およびNMOSトランジスタ2117のゲートとを接続するトレースに保存され、NMOSトランジスタ2119のチャネルを通じてビット線2171およびビット(バー)線2172に伝送されるかどうかを制御する信号をアドレスデコーダ205からメモリセルに伝送することがわかる。2つのトレース2177’および2178’は、アドレスデコーダ205とトライステート入力バッファ213’とを接続し、これにより、増幅されたビット(バー)信号をトライステート入力バッファ213’からビット(バー)線2172に出力するかどうかを制御するENABLE信号およびENABLE(バー)信号をアドレスデコーダ205からトライステート入力バッファ213’に伝送する。
後述の他の実施形態も、代替的に実現することが可能である。本特許出願中の同じ参照番号は、同じか、または類似の要素を指し示す。
図5Kを参照すると、内部回路21は図5Oに示されているようなパスゲート216’であってもよいことがわかる。パスゲート216’は、図5Yに示されているように、パッシベーション層5の下のトレース2180’を通じてアドレスデコーダ205に接続されたゲートを有するNMOSトランジスタ2124’を備えることができる。「WRITE」オペレーション時に、アドレスデコーダ205は、パッシベーション層5の上の複数のアドレスバス85を通じてアドレスデータを受信する。アドレスデコーダ205は、WRITE ENABLEデータをトレース2180’を通じてNMOSトランジスタ2124’のゲートに出力し、NMOSトランジスタ2124’をオンにするか、またはオフにするかを制御する。パスゲート216’のNMOSトランジスタ2124’がオンにされたときに、厚い金属線、トレース、またはプレーン83’を通して伝送されるビットデータを、NMOSトランジスタ2124’のチャネルを通じてパスゲート216’からビット線2171に出力することができる。
図5Kを参照すると、内部回路21は図5Pに示されているようなラッチ回路217’であってもよいことがわかる。ラッチ回路217’は、厚い金属線、トレース、またはプレーン83’を通じて伝送されるデータを一時的に格納しておくことができる。ラッチ回路217’は、2つのPMOSトランジスタ2901’および2902’ならびに2つのNMOSトランジスタ2903’および2904’を備える、トレース2905’は、PMOSトランジスタ2902’およびNMOSトランジスタ2904’のゲートとPMOSトランジスタ2901’およびNMOSトランジスタ2903’のドレインとを接続する。トレース2906’は、PMOSトランジスタ2901’およびNMOSトランジスタ2903’のゲートとPMOSトランジスタ2902’およびNMOSトランジスタ2904’のドレインとを接続する。ラッチ回路217’は、図5Zに示されているように、パッシベーション層5の下の金属トレース2181’および2182’を通じてアドレスデコーダ205に接続されたゲートを有する2つのNMOSトランジスタ2129’および2130’をさらに備えることができる。「WRITE」オペレーション時に、アドレスデコーダ205は、パッシベーション層5の上の複数のアドレスバス85を通じて内部回路25または26の出力ノードAoまたはBoから出力されるアドレスデータを受信する。アドレスデコーダ205は、WRITE ENABLEデータ(WE1およびWE2)をトレース2181’および2182’を通じてNMOSトランジスタ2129’および2130’のゲートに出力し、NMOSトランジスタ2129’および2130’をそれぞれオンにするか、またはオフにするかを制御する。NMOSトランジスタ2130’がオンにされたときに、パッシベーション層5の上の、厚い金属線、トレース、またはプレーン83’、データバスを通じて内部回路24から出力されるビット(バー)データは、NMOSトランジスタ2130’のチャネルを通じてトレース2906’内にラッチすることができ、ビットデータは、トレース2905’内にラッチされる。NMOSトランジスタ2129’がオンにされたときに、トレース2905’内にラッチされているビットデータは、NMOSトランジスタ2129’のチャネルを通じてビット線2171に出力することができる。
図5Pを参照すると、電圧レギュレータまたはコンバータ回路41のノードPは、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812を通じて、メモリセル215のPMOSトランジスタ2116および2118のソース、センス増幅器214のPMOSトランジスタ2112および2114のソース、およびラッチ回路217のPMOSトランジスタ2901’および2902’のソースに接続されうることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。電圧レギュレータまたはコンバータ回路41のノードRsは、パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821を通じて、メモリセル215のNMOSトランジスタ2115および2117のソース、センス増幅器214のNMOSトランジスタ2111および2113のソース、およびラッチ回路217のNMOSトランジスタ2903’および2904’のソースに接続されうる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
しかし、図5Oのパスゲート216’または図5Pのラッチ回路217’は、「WRITE」オペレーションにおいてパスゲート216’またはラッチ回路217’の入力ノードにおいて弱い電圧変動を検出する十分な感度を持たないことがある。長い距離にわたり厚い金属線、トレース、またはプレーン83’を通して伝送され、論理回路24から出力される信号の電圧レベルを増幅するために、内部回路21は、図5Qに示されているようなパスゲート216’の入力ノードに接続されるか、または図5Rに示されているようなラッチ回路217’の入力ノードに接続される前記の内部レシーバ212’を備え、これにより、パスゲート216’またはラッチ回路217’に入力するビットデータを増幅することができる。図5Qおよび5Rを参照すると、内部レシーバ212’の入力ノードは、図5Kに示されているような厚い金属線、トレース、またはプレーン83’を通して内部回路24の出力ノードWoに接続されることがわかる。
図5Qを参照すると、電圧レギュレータまたはコンバータ回路41のノードPは、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812を通じて、メモリセル215のPMOSトランジスタ2116および2118のソース、センス増幅器214のPMOSトランジスタ2112および2114のソース、および内部レシーバ212’のPMOSトランジスタ2104’および2104のソースに接続されうることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。電圧レギュレータまたはコンバータ回路41のノードRsは、パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821を通じて、メモリセル215のNMOSトランジスタ2115および2117のソース、センス増幅器214のNMOSトランジスタ2111および2113のソース、およびレシーバ回路212’のNMOSトランジスタ2103’および2103のソースに接続されうる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
図5Rを参照すると、電圧レギュレータまたはコンバータ回路41のノードPは、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812を通じて、メモリセル215のPMOSトランジスタ2116および2118のソース、センス増幅器214のPMOSトランジスタ2112および2114のソース、ラッチ回路217’のPMOSトランジスタ2901’および2902’のソース、および内部レシーバ212’のPMOSトランジスタ2104’および2104のソースに接続されうることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。電圧レギュレータまたはコンバータ回路41のノードRsは、パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821を通じて、メモリセル215のNMOSトランジスタ2115および2117のソース、センス増幅器214のNMOSトランジスタ2111および2113のソース、ラッチ回路217’のNMOSトランジスタ2903’および2904’のソース、および内部レシーバ212’のNMOSトランジスタ2103’および2103のソースに接続されうる。前記のグランドプレーン、バス、またはトレース82または821は、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図7B〜7Dに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
図5Sを参照すると、パッシベーション層5の上の厚い金属線、トレース、またはプレーン83の別の重要なアプリケーションを使用して、正確なアナログ信号をトランスポートすることができることがわかる。厚い金属線、トレース、またはプレーン83は、単位長さ当たりの抵抗および静電容量が低いという特性を有し、したがって、アナログ信号の信号ひずみも低くなる。図5Sは、複数のアナログ回路21、22、23、および24を接続するオーバーパッシベーション金属バス、トレース、または線83を備える回路設計を示している。設計は、内部回路21、22、23、および24がアナログ回路であるか、またはアナログ回路とデジタル回路とを含む混合モード回路であるという点を除いて図5Bと類似している。パッシベーション層5の上の厚い金属バス、トレース、または線83は、アナログ回路21、22、23、および24を接続する。アナログ回路21の出力ノードYoから出力されるアナログ信号は、内部回路22の入力ノードUi’に、まずパッシベーション層5の下の細線金属構造631を通り、次いでパッシベーション層5の上の厚い金属バス、トレース、またはプレーン83を通り、次いでパッシベーション層5の下の細線金属構造632aおよび632bを通るようにして伝送されうる。アナログ回路21の出力ノードYoから出力されるアナログ信号は、内部回路23の入力ノードVi’に、まずパッシベーション層5の下の細線金属構造631を通り、次いでパッシベーション層5の上の厚い金属バス、トレース、またはプレーン83を通り、次いでパッシベーション層5の下の細線金属構造632aおよび632cを通るようにして伝送されうる。アナログ回路21の出力ノードYoから出力されるアナログ信号は、内部回路24の入力ノードWi’に、まずパッシベーション層5の下の細線金属構造631を通り、次いでパッシベーション層5の上の厚い金属バス、トレース、またはプレーン83を通り、次いでパッシベーション層5の下の細線金属構造634を通るようにして伝送されうる。
アナログ回路21、22、23、および24としては、オペアンプ、増幅器、プリアンプ、電力増幅器、アナログ/デジタル(A/D)コンバータ、デジタル/アナログ(D/A)コンバータ、パルス再整形回路、スイッチドキャパシタフィルタ、RCフィルタ、または他の種類のアナログ回路とすることができる。図5Tは、図5Sの内部回路21が、出力ノードYoがパッシベーション層5の上の金属相互接続線またはトレース83に接続されているオペアンプ218である場合を示している。オペアンプ218は、CMOS技術をベースとするものであり、これについてはM. Shoji「CMOS Digital Circuit Technology」、Prentice−Hall, Inc, New Jersey(1987年)を参照のこと。差分アナログ信号は、オペアンプ218内に設けられ、2つのn型MOSトランジスタ2125および2127ならびに2つのp型MOSトランジスタ2126および2128を備える差分回路219の2つの入力ノードYi+およびYi−に入力することができ、これらの入力ノードYi+およびYi−は、それぞれ、p型MOSトランジスタ2128および2126のゲートに接続される。p型MOSトランジスタ2126および2128のソースは、抵抗器2134の抵抗によって決定されるノード2138における電圧によって制御されるp型MOSトランジスタ2132のドレインに接続される。n型MOSトランジスタ2127およびp型MOSトランジスタ2128のドレインにおける差分回路219の出力は、n型MOSトランジスタ2135のゲートおよびキャパシタ2133の上部電極21331に接続される。出力ノードYoは、キャパシタ2133の底部電極21332、n型MOSトランジスタ2135のドレイン、およびp型MOSトランジスタ2136のドレインのところにある。p型MOSトランジスタ2136は、抵抗器2134の抵抗によって決定されるノード2138における電圧によって制御される。したがって、出力ノードYoにおける電圧は、n型MOSトランジスタ2135がオンにされる程度と差分回路219の出力によって制御される。キャパシタ2133は、アナログ回路に使用されることが多く、通常は、好適な1つまたは複数のプロセスによって、例えば、MOSキャパシタ(ポリゲートおよびシリコン基板をキャパシタ2133の2つの電極として使用する)、またはポリ−ポリキャパシタ(poly-to-poly capacitor)(第1のポリシリコンおよび第2のポリシリコンをキャパシタ2133の2つの電極として使用する)によって形成される。キャパシタ2133は、入力ノードYi+およびYi−から入力されるノイズを低減する機能を持つことができる。抵抗器2134も、アナログ回路に使用されることが多く、通常は、シリコン基板中のドーピング密度がnウェルもしくはpウェルなどの1015〜1017/cm3、またはN+拡散もしくはP+拡散などの1019〜1021/cm3である不純物添加拡散領域、および/または不純物添加ポリシリコンによって形成される。図5Tに示されている回路は、入力電圧Yi+とYi−の差分値を比例増幅する電圧Yoを出力することができる。
図5B〜5Zに例示されている厚い金属バス、トレース、またはプレーン83および83’は、回路金属層831および/または832ならびに図7B〜7Dに示されているポリマー層95、98、および/または99を形成することによって、または回路金属層801および/または802ならびに図15A〜21Kに示されているポリマー層95、97、98、および/または99を形成することによって実現できる。
図5B、5K、5S、7B、7C、および7Dにおいて、パッシベーション層5の上の厚い金属トレース、バス、またはプレーン83は、内部回路21、22、23、または24に入力されるか、または内部回路21、22、23、または24から出力される信号をトランスポートするために使用されうる。図5K、5S、5U、および5V〜5Zでは、点線5は、パッシベーション層を示し、粗いトレースは、パッシベーション層5の上に形成されたオーバーパッシベーションスキーム102のトレースを示し、細いトレースは、パッシベーション層5の下に形成されたトレースを示す。
第3の実施形態:完全なアーキテクチャ
本開示により、粗金属導体を形成するスキームまたは技術は、ICチップに関して別の利点をもたらしうる。例えば、パッシベーション層5の上の金属トレース、バス、またはプレーン83もしくは83’は、金、銅、銀、パラジウム、ロジウム、白金、ルテニウム、ニッケル、アルミニウム、またはハンダを含むことができ、ハンダバンプ、ハンダパッド、ハンダボール、金バンプ、金パッド、Pdパッド、アルミニウムパッド、またはワイヤボンディングパッドなどのさまざまな種類の接触構造を金属トレース、バス、またはプレーン83上に形成することで、ICチップを外部回路に容易に接続することができる。ICチップは、外部回路に接続され、外部回路と通信することができる。信号が外部回路もしくはコンポーネントに伝送される場合、(1)外部回路、寄生要素、またはコンポーネントの大電流負荷を駆動し、(2)外部回路もしくはコンポーネントからノイズを含む信号を検出し、(3)内部回路が外部回路もしくはコンポーネントからのサージ電気刺激によって損傷するのを防止するために何らかのオフチップ回路が必要である。
図8B、9B、および10Bは、本開示の第3の例示的な実施形態によるアーキテクチャの概略を示している。図8Bは、本開示の第3の例示的な実施形態による回路図を示している。図9Bは、図8Bの回路図を明確にする上面図である。図10Bは、図8Bの回路図を明確にする断面図である。
図8B、9B、および10Bを参照すると、オフチップバッファ42が、金属トレース、バス、またはプレーン83を通して内部回路21の出力ノードXoおよび内部回路22、23、および24の入力ノードUi、Vi、およびWiに接続されていることがわかる。プリント回路基板、ボールグリッドアレイ(BGA)基板、フレキシブル基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路に接続するための金属バンプ89は、再分配された金属トレース83rの接点8310上に形成されうる。接点8310は、再分配金属トレース83rを通してパッシベーション層5内の開口部539によって露出されている元のパッド6390に接続することができ、上面斜視図における接点8310の位置は、元のパッド6390の位置と異なる。元のパッド6390は、オフチップバッファ42およびオフチップESD回路43に接続される。信号は、内部回路21から外部回路へ、厚い金属バス、トレース、またはプレーン83を通り、次いでオフチップバッファ42を通り、次いで厚い金属バス、トレース、またはプレーン83rを通るようにして伝送され、信号は、外部回路から内部回路22、23、および/または24へ、厚い金属トレース、バス、またはプレーン83rを通り、オフチップバッファ42を通り、次いで厚い金属バス、トレース、またはプレーン83を通るようにして伝送され、信号は、内部回路21から内部回路22、23、および/または24へ、厚い金属バス、トレース、またはプレーン83を通るようにして伝送されうる。オフチップバッファ42を内部回路24に接続する、パッシベーション層5の上の金属トレース83の全長は、250マイクロメートルから2.5ミリメートルまでの範囲、好ましくは500から1ミリメートルまでの範囲、好ましくは1000から8000マイクロメートルまでの範囲とすることができる。
上面斜視図で見た開口部531、532、534、および539’の形状は、丸形、正方形、矩形、または多角形であるものとしてよい。開口部531、532、534、および539’が丸形である場合、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の寸法を有することができる。開口部531、532、および534が正方形である場合、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅を有することができる。開口部531、532、および534が矩形である場合、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅、および1ミクロンから1センチメートルまでの範囲の長さを有することができる。開口部531、532、および534が5つより多い辺を有している多角形である場合、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大対角長を有する。あるいは、開口部531、532、および534は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大の横方向寸法を有する。ある場合には、開口部531、532、および534は、0.1から30ミクロンまでの範囲の幅を有し、ポリマー層95内の開口部9531、9532、および9514の下側部分は20から100ミクロンまでの範囲の幅を有する。
あるいは、図8Cを参照すると、要素42は、オフチップレシーバであってもよいことがわかる。オフチップレシーバ42は、厚い金属バス、トレース、またはプレーン83を通して内部回路21、22、23、および24の入力ノードに接続される。
あるいは、図8Gを参照すると、要素42は、オフチップドライバであってもよいことがわかる。オフチップドライバ42は、厚い金属バス、トレース、またはプレーン83を通して内部回路21、22、23、および24の出力ノードにのみ接続される。
図8Bおよび8Cは、パッシベーション層5の上の厚い金属トレース83が外部ドライバまたは外部レシーバなどのオフチップバッファ42と内部回路21、22、23、および24とを接続する簡略化された回路図を示している。図9Bは、図8Bおよび8Cに示されている回路を実現する半導体チップの上面図であり、図9Bに示されている粗いトレース83および83rは、パッシベーション層5の上に形成されたトレースを意味し、図9Bに示されている細いトレース69、632a、632b、および632cは、パッシベーション層5の下に形成されたトレースを意味する。図10Bは、図8Bおよび8Cに示されている回路を実現する半導体チップの断面図である。図9Bは、図10Bに示されている半導体チップの上面図である。図8B、9B、10B、10C、10D、および10Eは、内部回路および外部回路の接続のチップ全体の設計を考慮して、パッシベーション層5の下の細線IC金属構造639、639’、631、632、634、および69ならびにパッシベーション層5の上の粗い金属トレース83、831、832、および83rの2つの階層を使用する開示の回路アーキテクチャを示している。
図8B、9B、および10Bを参照すると、内部回路21は、図5B〜5Jおよび5S〜5Tで説明されているように、パッシベーション層5の上の厚い金属バス、トレース、またはプレーン83を通じて信号を他の内部回路22、23、および24に出力することができ、それに加えて、内部回路21は、信号を外部回路に、パッシベーション層5の下の細線金属トレース631、次いでパッシベーション層5の上の厚い金属トレース83、パッシベーション層5の下の細線金属トレース639’、外部ドライバなどのオフチップバッファ42、パッシベーション層5の下の細線金属トレース69、パッシベーション層5の上の再分配されたトレース83r、および再分配されたトレース83r上の金属バンプ89と順に通して、出力することができることがわかる。
図8C、9B、および10Bを参照すると、内部回路24から出力される信号は、図5K〜5Rで説明されているように、パッシベーション層5の上の厚い金属バス、トレース、またはプレーン83’を通じて内部回路21に伝送され、それに加えて、外部回路から出力される信号は、内部回路21に、金属バンプ89、再分配されたトレース83r、パッシベーション層5の下の細線金属トレース69、外部レシーバなどのオフチップバッファ42、パッシベーション層5の下の細線金属トレース639’、パッシベーション層5の上の厚い金属バス、トレース、またはプレーン83’、およびパッシベーション層5の下の細線金属トレース631’を通して伝送されうることがわかる。内部回路24から出力される信号は、図5K〜5Rで説明されているように、パッシベーション層5の上の厚い金属バス、トレース、またはプレーン83’を通じて内部回路22に伝送され、それに加えて、外部回路から出力される信号は、内部回路22に、金属バンプ89、再分配されたトレース83r、パッシベーション層5の下の細線金属トレース69、外部レシーバなどのオフチップバッファ42、パッシベーション層5の下の細線金属トレース639’、パッシベーション層5の上の厚い金属バス、トレース、またはプレーン83’、パッシベーション層5の下の細線金属トレース632a’および632b’を通して伝送されうる。内部回路24から出力される信号は、図5K〜5Rで説明されているように、パッシベーション層5の上の厚い金属バス、トレース、またはプレーン83’を通じて内部回路23に伝送され、それに加えて、外部回路から出力される信号は、内部回路23に、金属バンプ89、再分配されたトレース83r、パッシベーション層5の下の細線金属トレース69、外部レシーバなどのオフチップバッファ42、パッシベーション層5の下の細線金属トレース639’、パッシベーション層5の上の厚い金属バス、トレース、またはプレーン83’、パッシベーション層5の下の細線金属トレース632a’および632c’を通して伝送されうる。
本実施形態において、図8Bおよび8Cを参照すると、内部スキーム200におけるパッシベーション層5の上の厚い金属バス、トレース、またはプレーン83もしくは83’を通じて伝送される信号は、外部ドライバまたはレシーバなどの、オフチップバッファ42を含むオフチップ回路40、およびオフチップESD(静電放電)回路43を通して、外部回路(図示せず)に、または外部回路(図示せず)から伝送することができることがわかる。オフチップESD回路43は、パッシベーション層5の下のトレース69を通じてオフチップバッファ42と並列に接続される。再分配された金属トレース83rは、異なる場所に再配置された、図10BのIC細線金属(I/O)パッド6390、例えば図10Bのオーバーパッシベーション金属パッド8310の再分配に使用することができ、その結果、金属バンプ89を通して、またはワイヤボンディングでパッド8310に接合されたワイヤを通して、別の半導体チップ、ボールグリッドアレイ(BGA)基板、またはセラミック基板に、金−金接合技術を使用して、または金−スズ接合技術を使用して、7から25マイクロメートルまでの範囲の厚さを有する金層を好ましくは含む金属バンプ89を通じて、フレキシブル基板に、または異方性導電膜(ACF)もしくは異方性導電ペースト(ACP)を介して、7から25マイクロメートルまでの範囲の厚さを有する金層を好ましくは含む金属バンプ89を通じて、ガラス基板に接続される。再分配された金属線、トレース、またはプレーン83rは、オーバーパッシベーション相互接続スキーム83を形成する際に形成されうる。
図11Fを参照すると、図8Bおよび8Cのオフチップ回路40は、外部回路に接続するために、2つのダイオード4331および4332からなるオフチップESD回路43、およびオフチップバッファ42を備えることができることがわかる。
第1の態様では、オフチップバッファ42は、厚く幅広の回路トレース83を通じて内部回路20に接続される入力ノードF、およびオフチップESD回路43と並列に、金属バンプ89に接続される出力ノードEを有する、図8Bに示されている回路アーキテクチャへの応用における、図11Aに示されているような、オフチップドライバ421とすることができる。図11Aは、2段カスケードオフチップドライバ421の一例、CMOSカスケードドライバを示している。カスケードドライバは、インバータの複数の段を備えることができる。オフチップドライバ421は、2つのインバータ421’および421”を備え、インバータ421’は、NMOSデバイス4201およびPMOSデバイス4202からなり、インバータ421”は、NMOSデバイス4203およびPMOSデバイス4204からなる。PMOSデバイス4202およびNMOSデバイス4201のゲートは、入力ノードFとして使用され、PMOSデバイス4204およびNMOSデバイス4203のドレインは、出力ノードEとして使用される。PMOSデバイス4202およびNMOSデバイス4201のドレインは、PMOSデバイス4204およびNMOSデバイス4203のゲートに接続される。
図11Aを参照すると、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、トレース81、811、または812は、電圧レギュレータまたはコンバータ回路41のノードPとPMOSデバイス4202および4204のソースとを接続することができることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図10B〜10Dおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図10B〜10Dおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、トレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRsとNMOSデバイス4201および4203のソースとを接続することができる。前記のグランドプレーン、バス、またはトレース82または821は、図10B〜10Eおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図10B〜10Eおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
図11Aのオフチップドライバの第1の段421’は、オフチップドライバ421の入力ノードFに接続されている内部回路20内のすべてのNMOSデバイスに比べて大きな物理的チャネル幅と物理的チャネル長との比を有するNMOSデバイス4201とオフチップドライバ421の入力ノードFに接続されている内部回路20内のすべてのPMOSデバイスに比べて大きな物理的チャネル幅と物理的チャネル長との比を有するPMOSデバイス4202とを備えるインバータである。NMOSトランジスタ4203が有する物理的チャネル幅と物理的チャネル長との比は、例えば約20から20,000までの範囲、好ましくは例えば約30から300までの範囲であるものとしてよい。PMOSトランジスタ4204が有する物理的チャネル幅と物理的チャネル長との比は、例えば約40から40,000までの範囲、好ましくは例えば約60から600までの範囲であるものとしてよい。オフチップドライバ421の出力電流は、段の数およびオフチップドライバのそれぞれの段で使用されるトランジスタのサイズ(W/L、MOSトランジスタのチャネル幅とチャネル長との比、より正確には、MOS実効チャネル幅と実効チャネル長との比)に比例する。オフチップドライバ421は、5mAから5Aまでの範囲、好ましくは10mAから100mAまでの範囲の駆動電流を出力することができる。
図11Aに示されているオフチップドライバ421が、パワーマネージメントチップ用の図8Bに示されている回路アーキテクチャに適用されるとすると、オフチップドライバ421のNMOSトランジスタ4203が有する物理的チャネル幅と物理的チャネル長との比は、例えば約2,000から200,000までの範囲、好ましくは例えば約2,000から20,000までの範囲であるものとしてよい。PMOSトランジスタ4204が有する物理的チャネル幅と物理的チャネル長との比は、例えば約4,000から400,000までの範囲、好ましくは例えば約4,000から40,000までの範囲であるものとしてよい。オフチップドライバ421は、500mAから50Aまでの範囲、好ましくは500mAから5Aまでの範囲の駆動電流を出力することができる。
第2の態様では、オフチップバッファ42は、厚く幅広の回路トレース83を通じて内部回路21、22、および23に接続される出力ノードF、およびオフチップESD回路43と並列に、金属バンプ89に接続される入力ノードEを有する、図8Cに示されている回路アーキテクチャへの応用における、図11Bに示されているような、オフチップレシーバ422とすることができる。図11Bは、2段カスケードオフチップレシーバ422の一例、CMOSカスケードレシーバを示している。オフチップレシーバ422は、金属バンプ89を通じて外部回路から信号を受信し、増幅された信号を、厚く幅広のトレースまたはバス83’を通して内部回路21、22、および23に出力することができる。オフチップレシーバ422の、外部回路の近くにある第1の段422’は、NMOSデバイス4205およびPMOSデバイス4206を有し、ノイズを含む外部信号を検出するように設計されているサイズを持つインバータである。第1の段は、別のチップからの信号などの、ノイズを含む信号を、外部回路もしくはコンポーネントから点Eで受信する。オフチップレシーバ422の第2の段422”も、好適な1つまたは複数のプロセスによって、例えば、より大きなサイズのNMOSデバイス4207およびPMOSデバイス4208によって形成されることを除いてインバータである。インバータの第2の段は、内部回路のノイズを含む外部信号の信号品位を復元するために使用される。PMOSデバイス4205およびNMOSデバイス4206のゲートは、入力ノードEとして使用され、PMOSデバイス4208およびNMOSデバイス4207のドレインは、出力ノードFとして使用される。PMOSデバイス4206およびNMOSデバイス4205のドレインは、PMOSデバイス4208およびNMOSデバイス4207のゲートに接続される。
図11Bを参照すると、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、トレース81、811、または812は、電圧レギュレータまたはコンバータ回路41のノードPとPMOSデバイス4206および4208のソースとを接続することができることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図10B〜10Dおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図10B〜10Dおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、トレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRsとNMOSデバイス4205および4207のソースとを接続することができる。前記のグランドプレーン、バス、またはトレース82または821は、図10B〜10Eおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図10B〜10Eおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
図11Bのオフチップレシーバの第1の段422’は、オフチップレシーバ422の出力ノードFに接続されている内部回路20内のすべてのNMOSデバイスに比べて大きな物理的チャネル幅と物理的チャネル長との比を有するNMOSデバイス4205とオフチップレシーバ422の出力ノードFに接続されている内部回路20内のすべてのPMOSデバイスに比べて大きな物理的チャネル幅と物理的チャネル長との比を有するPMOSデバイス4206とを備えるインバータである。NMOSトランジスタ4207が有する物理的チャネル幅と物理的チャネル長との比は、例えば約10から20,000までの範囲、好ましくは例えば約10から300までの範囲であるものとしてよい。PMOSトランジスタ4208が有する物理的チャネル幅と物理的チャネル長との比は、例えば約20から40,000までの範囲、好ましくは例えば約20から600までの範囲であるものとしてよい。オフチップレシーバ422は、2mAから5Aまでの範囲、好ましくは3mAから100mAまでの範囲の駆動電流を出力することができる。
図11Bに示されているオフチップレシーバ422が、パワーマネージメントチップ用の図8Cに示されている回路アーキテクチャに適用されるとすると、オフチップレシーバ422のNMOSトランジスタ4207が有する物理的チャネル幅と物理的チャネル長との比は、例えば約10から20,000までの範囲、好ましくは例えば約10から300までの範囲であるものとしてよい。PMOSトランジスタ4208が有する物理的チャネル幅と物理的チャネル長との比は、例えば約20から40,000までの範囲、好ましくは例えば約20から600までの範囲であるものとしてよい。オフチップレシーバ422は、150mAから50Aまでの範囲、好ましくは150mAから5Aまでの範囲の駆動電流を出力することができる。
第3の態様では、オフチップバッファ42は、厚く幅広の回路トレース83を通じて内部回路20に接続される入力ノードF、およびオフチップESD回路43と並列に、金属バンプ89に接続される出力ノードEを有する、図8Bに示されている回路アーキテクチャへの応用における、図11Cに示されているような、トライステートバッファ423とすることができる。図11Cは、オフチップトライステートバッファ423の一例を示しており、オフチップドライバとして、複数の論理ゲートがバスなどの同じ出力を駆動することを可能にするICチップにおける共通設計である。オフチップドライバとして使用されるトライステートバッファ423は、2つのPMOSデバイス4210および4212ならびに2つのNMOSデバイス4209および4211を備えることができる。PMOSデバイス4210およびNMOSデバイス4209のゲートは、入力ノードFとして使用され、PMOSデバイス4212およびNMOSデバイス4211のドレインは、出力ノードEとして使用される。PMOSデバイス4210のドレインは、PMOSデバイス4212のソースに接続される。NMOSデバイス4209のドレインは、NMOSデバイス4211のソースに接続される。トライステートバッファ423は、NMOSデバイス4211のゲートに伝送されるイネーブル信号およびPMOSデバイス4212のゲートに伝送されるイネーブル(バー)信号によって制御されるスイッチ機能を有するものとしてよい。図11Cのオフチップトライステートバッファは、ゲート付きインバータとみなすことができる。イネーブル信号EnがHighレベルである(En ̄はLowレベル)場合、オフチップトライステートバッファは信号を外部回路に出力する。信号EnがLowレベルに設定された(En ̄はHighレベル)場合、信号は外部回路に出力されない。オフチップトライステートバッファ423は、外部データバスを駆動するように設定される。
図11Cを参照すると、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812は、電圧レギュレータまたはコンバータ回路41のノードPとPMOSデバイス4210のソースとを接続することができることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図10B〜10Dおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図10B〜10Dおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRsとNMOSデバイス4209のソースとを接続することができる。前記のグランドプレーン、バス、またはトレース82または821は、図10B〜10Eおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図10B〜10Eおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
NMOSトランジスタ4209および4211が有する物理的チャネル幅と物理的チャネル長との比は、例えば約20から20,000までの範囲、好ましくは例えば約30から300までの範囲であるものとしてよい。PMOSトランジスタ4210および4212が有する物理的チャネル幅と物理的チャネル長との比は、例えば約40から40,000までの範囲、好ましくは例えば約60から600までの範囲であるものとしてよい。トライステートバッファ423は、5mAから5Aまでの範囲、好ましくは10mAから100mAまでの範囲の駆動電流を出力することができる。
図11Aに示されているトライステートバッファ423が、パワーマネージメントチップ用の図8Bに示されている回路アーキテクチャに適用されるとすると、トライステートバッファ423のNMOSトランジスタ4209および4211が有する物理的チャネル幅と物理的チャネル長との比は、例えば約2,000から200,000までの範囲、好ましくは例えば約2,000から20,000までの範囲であるものとしてよい。PMOSトランジスタ4210および4212が有する物理的チャネル幅と物理的チャネル長との比は、例えば約4,000から400,000までの範囲、好ましくは例えば約4,000から40,000までの範囲であるものとしてよい。トライステートバッファ423は、500mAから50Aまでの範囲、好ましくは500mAから5Aまでの範囲の駆動電流を出力することができる。
第4の態様では、オフチップバッファ42は、厚く幅広の回路トレース83’を通じて内部回路21、22、および23に接続される出力ノードF、およびオフチップESD回路43と並列に、金属バンプ89に接続される入力ノードEを有する、図8Cに示されている回路アーキテクチャへの応用における、図11Eに示されているような、トライステートバッファ423とすることができる。図11Eは、オフチップレシーバとしての、オフチップトライステートバッファ423の一例を示している。オフチップレシーバとして使用されるトライステートバッファ423は、2つのPMOSデバイス4210および4212ならびに2つのNMOSデバイス4209および4211を備えることができる。PMOSデバイス4210およびNMOSデバイス4209のゲートは、入力ノードEとして使用され、PMOSデバイス4212およびNMOSデバイス4211のドレインは、出力ノードFとして使用される。PMOSデバイス4210のドレインは、PMOSデバイス4212のソースに接続される。NMOSデバイス4209のドレインは、NMOSデバイス4211のソースに接続される。トライステートバッファ423は、NMOSデバイス4211のゲートに伝送されるイネーブル信号およびPMOSデバイス4212のゲートに伝送されるイネーブル(バー)信号によって制御されるスイッチ機能を有するものとしてよい。イネーブル信号EnがHighレベルである(En ̄はLowレベル)場合、オフチップトライステートバッファは信号を内部回路20に出力する。信号EnがLowレベルに設定された(En ̄はHighレベル)場合、信号は内部回路20に出力されない。
図11Eを参照すると、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812は、電圧レギュレータまたはコンバータ回路41のノードPとPMOSデバイス4210のソースとを接続することができることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図10B〜10Dおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図10B〜10Dおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRsとNMOSデバイス4209のソースとを接続することができる。前記のグランドプレーン、バス、またはトレース82または821は、図10B〜10Eおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831および/または832の上にパターン形成回路層を含むことができる。あるいは、図10B〜10Eおよび10Gに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
NMOSトランジスタ4209および4211が有する物理的チャネル幅と物理的チャネル長との比は、例えば約20から20,000までの範囲、好ましくは例えば約30から300までの範囲であるものとしてよい。PMOSトランジスタ4210および4212が有する物理的チャネル幅と物理的チャネル長との比は、例えば約40から40,000までの範囲、好ましくは例えば約60から600までの範囲であるものとしてよい。トライステートバッファ423は、5mAから5Aまでの範囲、好ましくは10mAから100mAまでの範囲の駆動電流を出力することができる。
図11Eに示されているトライステートバッファ423が、パワーマネージメントチップ用の図8Cに示されている回路アーキテクチャに適用されるとすると、トライステートバッファ423のNMOSトランジスタ4209および4211が有する物理的チャネル幅と物理的チャネル長との比は、例えば約2,000から200,000までの範囲、好ましくは例えば約2,000から20,000までの範囲であるものとしてよい。PMOSトランジスタ4210および4212が有する物理的チャネル幅と物理的チャネル長との比は、例えば約4,000から400,000までの範囲、好ましくは例えば約4,000から40,000までの範囲であるものとしてよい。トライステートバッファ423は、500mAから50Aまでの範囲、好ましくは500mAから5Aまでの範囲の駆動電流を出力することができる。
さまざまなオフチップ入力および出力バッファがありうる。上記の例は、CMOSレベルの信号用である。外部信号がトランジスタ−トランジスタ論理(TTL)レベルである場合、CMOS/TTLバッファが必要になる。外部信号がエミッタ結合型論理(ECL)レベルである場合、CMOS/ECLインターフェイスバッファが必要になる。インバータの1つまたは複数の段を、外部回路20と図11Cに示されているようなオフチップドライバとして使用されるか、または図11Eに示されているようなオフチップレシーバとして使用されるオフチップトライステートバッファ423との間に追加することができる。
第5の態様では、オフチップバッファ42は、図8Bに示されている回路アーキテクチャへの応用において、図11Dに示されていうような、第1のレベルのインバータ421’および第2のレベルのインバータ421”からなるオフチップドライバ421とすることができ、第1のレベルのインバータ421’は、第2のレベルのインバータ421”に直列接続され、第2のレベルのインバータ421”は、第1のレベルのインバータ421’に互いに並列に接続される。図8Eは、図8Cに示されている回路アーキテクチャに適用される図11Dのオフチップドライバ421を伴う回路図を示している。図9Cは、図8Eの回路図を明確にする上面斜視図である。図10Hは、図8Eの回路図を明確にするチップ構造を示す図である。オフチップドライバ421は、厚く幅広の回路トレース83を通して内部回路20に接続された入力ノードF、およびオフチップESD回路43と並列に、金属バンプ89に接続された出力ノードEを有する。第1のレベルのインバータ421’内のPMOSデバイスおよびNMOSデバイスのゲートは、入力ノードFとして使用され、第2のレベルのインバータ421”内のPMOSデバイスおよびNMOSデバイスのドレインは、出力ノードEとして使用される。第1のレベルのインバータ421’内のPMOSデバイスおよびNMOSデバイスのドレインは、パッシベーション層5の上の厚く幅広の金属トレースもしくはバス83sを通して第2のレベルのインバータ421”内のPMOSデバイスおよびNMOSデバイスのゲートに接続される。第2のレベルのインバータ421”内のPMOSデバイスおよびNMOSデバイスのドレインは、パッシベーション層5の上の厚く幅広の金属トレースもしくはバス83rを通して金属バンプ89に接続される。2から30マイクロメートルまでの範囲の厚さを有する、ポリイミドなどのポリマー層95上に形成されたパターン形成回路層831は、厚く幅広の金属トレースもしくはバス83r、83s、および83からなるものとしてよい、つまり、厚く幅広の金属トレースもしくはバス83r、83s、および83は、図10Hに示されているように、同時に形成できるということである。
あるいは、複数のパターン形成回路層および複数のポリマー層を、パッシベーション層5の上に形成することができ、ポリマー層のうちの1つは、パターン形成回路層のうちの隣接する2つの層の間にある。厚く幅広の金属トレースまたはバス83sは、パターン形成回路層のうちの下側の層内に形成され、厚く幅広の金属トレースまたはバス83sは、パターン形成回路層のうちの上側の層内に、また厚く幅広の金属トレースまたはバス83sの上に、形成されうる。厚く幅広の金属トレースまたはバス83は、パターン形成回路層のうちの下側の層内に一部を、パターン形成回路層のうちの上側の槽内に別の一部を有することができる。
図11Dを参照すると、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812は、電圧レギュレータまたはコンバータ回路41のノードPを第1のレベルのインバータ421’内のPMOSデバイスのソースおよび第2のレベルのインバータ421”内のPMOSデバイスのソースに接続することができることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図10Hに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831の上にパターン形成回路層を含むことができる。あるいは、図10Hに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRs、第1のレベルのインバータ421’内のNMOSデバイスのソース、および第2のレベルのインバータ421”内のNMOSデバイスのソースを接続することができる。前記のグランドプレーン、バス、またはトレース82または821は、図10Hに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831の上にパターン形成回路層を含むことができる。あるいは、図10Hに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
第2のレベルのインバータ421”内のNMOSトランジスタのそれぞれが有する物理的チャネル幅と物理的チャネル長との比は、例えば約20から20,000までの範囲、好ましくは例えば約30から300までの範囲であるものとしてよく、これは第1のレベルのインバータ421’内のNMOSトランジスタと比べて、1.5倍から5倍、好ましくは自然指数関数的倍数だけ大きい。第2のレベルのインバータ421”内のPMOSトランジスタのそれぞれが有する物理的チャネル幅と物理的チャネル長との比は、例えば約40から40,000までの範囲、好ましくは例えば約60から600までの範囲であるものとしてよく、これは第1のレベルのインバータ421’内のPMOSトランジスタと比べて、1.5倍から5倍、好ましくは自然指数関数的倍数だけ大きい。オフチップドライバ421は、5mAから5Aまでの範囲、好ましくは10mAから100mAまでの範囲の駆動電流を、金属バンプ89を通して外部回路に出力することができる。
図11Dに示されているオフチップドライバ421が、パワーマネージメントチップ用の図8Bに示されている回路アーキテクチャに適用されるとすると、第2のレベルのインバータ421”内のNMOSトランジスタのそれぞれが有する物理的チャネル幅と物理的チャネル長との比は、例えば約2,000から200,000までの範囲、好ましくは例えば約2,000から20,000までの範囲であるものとしてよい。第2のレベルのインバータ421”内のPMOSトランジスタのそれぞれが有する物理的チャネル幅と物理的チャネル長との比は、例えば約4,000から400,000までの範囲、好ましくは例えば約4,000から40,000までの範囲であるものとしてよい。オフチップドライバ421は、500mAから50Aまでの範囲、好ましくは500mAから5Aまでの範囲の駆動電流を、金属バンプ89を通して外部回路に出力することができる。
第6の態様では、オフチップバッファ42は、図8Bに示されている回路アーキテクチャへの応用において、図11Gに示されていうような、第1のレベルのインバータ421’、第2のレベルのインバータ421”、第3のレベルのインバータ421”’、および第4のレベルのインバータ421”’からなるオフチップドライバ421とすることができ、第1のレベルのインバータ421’は、第2のレベルのインバータ421”に直列接続され、第2のレベルのインバータ421”は、第3のレベルのインバータ421”’に直列接続され、第3のレベルのインバータ421’は、第4のレベルのインバータ421””に直列接続される。図8Fは、図8Cに示されている回路アーキテクチャに適用される図11Gのオフチップドライバ421を伴う回路図を示している。図9Dは、図8Fの回路図を明確にする上面斜視図である。図10Iは、図8Fの回路図を明確にするチップ構造を示す図である。オフチップドライバ421は、厚く幅広の回路トレース83を通して内部回路20に接続された入力ノードF、およびオフチップESD回路43と並列に、金属バンプ89に接続された出力ノードEを有する。第1のレベルのインバータ421’内のPMOSデバイスおよびNMOSデバイスのゲートは、入力ノードFとして使用され、第4のレベルのインバータ421””内のPMOSデバイスおよびNMOSデバイスのドレインは、出力ノードEとして使用される。第1のレベルのインバータ421’内のPMOSデバイスおよびNMOSデバイスのドレインは、パッシベーション層5の下の細線金属トレースもしくはバスを通じて第2のレベルのインバータ421”内のPMOSデバイスおよびNMOSデバイスのゲートに接続される。第2のレベルのインバータ421”内のPMOSデバイスおよびNMOSデバイスのドレインは、パッシベーション層5の下の細線金属トレースもしくはバスを通じて第3のレベルのインバータ421”’内のPMOSデバイスおよびNMOSデバイスのゲートに接続される。第3のレベルのインバータ421”’内のPMOSデバイスおよびNMOSデバイスのドレインは、パッシベーション層5の下の細線金属トレースもしくはバスを通じて第4のレベルのインバータ421””内のPMOSデバイスおよびNMOSデバイスのゲートに接続される。第4のレベルのインバータ421””内のPMOSデバイスおよびNMOSデバイスのドレインは、パッシベーション層5の上の厚く幅広の金属トレースもしくはバス83rを通して金属バンプ89に接続される。2から30マイクロメートルまでの範囲の厚さを有する、ポリイミドなどのポリマー層95上に形成されたパターン形成回路層831は、厚く幅広の金属トレースもしくはバス83rおよび83からなるものとしてよい、つまり、厚く幅広の金属トレースもしくはバス83rおよび83は、図10Iに示されているように、同時に形成できるということである。
図11Gを参照すると、パッシベーション層5の上の、図1B、1C、2B、2C、3B、3C、および3Dに示されているような、前記の電源プレーン、バス、またはトレース81、811、または812は、電圧レギュレータまたはコンバータ回路41のノードPを第1のレベルのインバータ421’内のPMOSデバイスのソース、第2のレベルのインバータ421”内のPMOSデバイスのソース、第3のレベルのインバータ421”’内のPMOSデバイスのソース、および第4のレベルのインバータ421””内のPMOSデバイスのソースに接続することができることがわかる。前記の電源プレーン、バス、またはトレース81、811、または812は、図10Iに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831の上にパターン形成回路層を含むことができる。あるいは、図10Iに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記の電源プレーン、バス、またはトレース81の回路層の上にパターン形成回路層を含むことができる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRs、第1のレベルのインバータ421’内のNMOSデバイスのソース、第2のレベルのインバータ421”内のNMOSデバイスのソース、第3のレベルのインバータ421”’内のNMOSデバイスのソース、および第4のレベルのインバータ421””内のNMOSデバイスのソースを接続することができる。前記のグランドプレーン、バス、またはトレース82または821は、図10Iに示されているような厚く幅広の信号トレース、バス、またはプレーン83のパターン形成回路層831の上にパターン形成回路層を含むことができる。あるいは、図10Iに示されているような厚く幅広の信号トレース、バス、またはプレーン83は、前記のグランドプレーン、バス、またはトレース82の回路層の上にパターン形成回路層を含むことができる。
第4のレベルのインバータ421””内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、第3のレベルのインバータ421”’内のNMOSトランジスタと比べて、1.5倍から5倍、好ましくは自然指数関数的倍数だけ大きく、これは、第2のレベルのインバータ421”内のNMOSトランジスタと比べて、1.5倍から5倍、好ましくは自然指数関数的倍数だけ大きく、これは、第1のレベルのインバータ421’内のNMOSトランジスタと比べて、1.5倍から5倍、好ましくは自然指数関数的倍数だけ大きい。第4のレベルのインバータ421””内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、第3のレベルのインバータ421”’内のPMOSトランジスタと比べて、1.5倍から5倍、好ましくは自然指数関数的倍数だけ大きく、これは、第2のレベルのインバータ421”内のPMOSトランジスタと比べて、1.5倍から5倍、好ましくは自然指数関数的倍数だけ大きく、これは、第1のレベルのインバータ421’内のPMOSトランジスタと比べて、1.5倍から5倍、好ましくは自然指数関数的倍数だけ大きい。オフチップドライバ421は、5mAから5Aまでの範囲、好ましくは10mAから100mAまでの範囲の駆動電流を、金属バンプ89を通して外部回路に出力することができる。
第4のレベルのインバータ421””内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約20から20,000までの範囲、好ましくは例えば約30から300までの範囲であるものとしてよい。第4のレベルのインバータ421””内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約40から40,000までの範囲、好ましくは例えば約60から600までの範囲であるものとしてよい。第3のレベルのインバータ421”’内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約7から7,000までの範囲、好ましくは例えば約10から100までの範囲であるものとしてよい。第3のレベルのインバータ421”’内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約13から13,000までの範囲、好ましくは例えば約20から200までの範囲であるものとしてよい。第2のレベルのインバータ421”内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約2から2,000までの範囲、好ましくは例えば約3から30までの範囲であるものとしてよい。第2のレベルのインバータ421”内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約4から4,000までの範囲、好ましくは例えば約6から70までの範囲であるものとしてよい。
図11Dに示されているオフチップドライバ421が、パワーマネージメントチップ用の図8Bに示されている回路アーキテクチャに適用されるとすると、第4のレベルのインバータ421””内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約2,000から200,000までの範囲、好ましくは例えば約2,000から20,000までの範囲であるものとしてよい。第4のレベルのインバータ421””内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約4,000から400,000までの範囲、好ましくは例えば約4,000から40,000までの範囲であるものとしてよい。第3のレベルのインバータ421”’内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約700から70,000までの範囲、好ましくは例えば約700から7,000までの範囲であるものとしてよい。第3のレベルのインバータ421”’内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約1,300から130,000までの範囲、好ましくは例えば約1,300から13,000までの範囲であるものとしてよい。第2のレベルのインバータ421”内のNMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約230から23,000までの範囲、好ましくは例えば約230から2,300までの範囲であるものとしてよい。第2のレベルのインバータ421”内のPMOSトランジスタが有する物理的チャネル幅と物理的チャネル長との比は、例えば約400から40,000までの範囲、好ましくは例えば約400から4,000までの範囲であるものとしてよい。オフチップドライバ421は、500mAから50Aまでの範囲、好ましくは500mAから5Aまでの範囲の駆動電流を、金属バンプ89を通して外部回路に出力することができる。
図8B、8C,8E、および8Fを参照すると、オフチップバッファ42は、オフチップESD回路43と並列に、金属バンプ89に接続されることがわかる。オフチップESD回路43の詳細は、図1Fとして参照されうる。オフチップESD回路43は、2つの逆バイアスダイオード4331および4332からなり、ノードEは、ダイオード4332のアノードに、ダイオード4331のカソードに、図11A、11D、または11Gのチップドライバ421、図11Bのオフチップレシーバ422、または図11Cもしくは11Eのトライステートバッファ423などのオフチップバッファ42に、および金属バンプ89に接続される。ダイオード4331は、外部電圧とグランド電圧Vssとの間で逆バイアスをかけられ、ダイオード4332は、外部電圧と電源電圧VddまたはVccとの間で逆バイアスをかけられる。
図11Fを参照すると、パッシベーション層5の上の電源バスまたはプレーンを通じて外部電源電圧Vddをダイオード4332のカソードに供給することができることがわかる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、トレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRsとダイオード4331のカソードとを接続することができる。
したがって、ノードEにおける電圧は、外部回路から入力される電源電圧Vddとグランド電圧Vssとの間で、または電源電圧Vddとグランド電圧Vssとの間でクランプされうる。ノードEにおける電圧が電源電圧Vddを急に超えた場合、電流がノードEからダイオード4332を通って外部回路に放電される。ノードEにおける電圧が急激に低下してグランド電圧Vss以下になった場合、電流が外部回路からダイオード4331を通ってノードEに流れる。
あるいは、図8B、8C、8E、および8Fの回路図内のノードEは、複数のオフチップESD回路43によって保護することができ、これは図11Hとして参照されうる。例えば、図8Dを参照すると、オフチップドライバ42を金属バンプ89に接続するノードEは、複数のオフチップESD回路43によって保護することができることがわかる。オフチップESD回路43のそれぞれは、2つの逆バイアスダイオード4331および4332からなり、ノードEは、ダイオード4332のアノードに、ダイオード4331のカソードに、図11A、11D、または11Gのチップドライバ421、図11Bのオフチップレシーバ422、または図11Cもしくは11Eのトライステートバッファ423などのオフチップバッファ42に、および金属バンプ89に接続される。ダイオード4331は、外部電圧とグランド電圧Vssとの間で逆バイアスをかけられ、ダイオード4332は、外部電圧と電源電圧VddまたはVccとの間で逆バイアスをかけられる。
図11Hを参照すると、パッシベーション層5の上の電源バスまたはプレーンを通じて外部電源電圧Vddをダイオード4332のカソードに供給することができることがわかる。パッシベーション層5の上の、図1C、2C、および3Cに示されているような、前記のグランドプレーン、バス、またはトレース82または821は、電圧レギュレータまたはコンバータ回路41のノードRsとダイオード4331のカソードとを接続することができる。
したがって、ノードEにおける電圧は、外部回路から入力される電源電圧Vddとグランド電圧Vssとの間でクランプされうる。ノードEにおける電圧が電源電圧Vddを急に超えた場合、電流がノードEからダイオード4332を通って外部回路に放電される。ノードEにおける電圧が急激に低下してグランド電圧Vss以下になった場合、電流が外部回路からダイオード4331を通ってノードEに流れる。
図10B、10D、10G、10H、および10Iには、パッシベーション層5の上の、前記の厚く幅広の金属トレース83として使用される部分、および前記の厚く幅広の金属トレース83rとして使用される別の部分を含む、ただ1つのパターン形成回路層831がある。パターン形成回路層831は、接着/バリア層、接着/バリア層上のシード層、ならびにシード層、接着/バリア層、および底層8311を構成するシード層上の電気メッキ金属層8312を含むものとしてよい。
図10Bを参照すると、パターン形成回路層831を形成するためのプロセスに関して、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、パッシベーション層5の窒化ケイ素層上に、またパッシベーション層5内の複数の開口部539、539’、531、532、および534によって露出される、もっぱらアルミニウムまたは銅から作られているコンタクトパッド6390上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって、または前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属層8312は、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を電気メッキすることによって、またはフォトレジスト層内の開口部によって露出される、シード層として働く金層上に2から30マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属層8312の下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属層8312の下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。
パターン形成回路層831が形成された後、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層831上に、またパッシベーション層5の窒化物層上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって形成されうる。そこで、開口部9939がポリマー層99内に形成され、これによりパターン形成回路層831の接点8310を露出させることができる。
金属バンプ89をポリマー層99上に、また図10Bおよび10Dに示されているような開口部9939によって露出される接点8310上に形成するプロセスは、ポリマー層98上に、また図15Mに例示されているような開口部980によって露出される領域801a上に形成するプロセスとして参照することができる。図10Bおよび10Dに示されているような金属バンプ89の明細は、図15Mに例示されているような金属バンプ89の明細として参照することができる。
金属バンプ89は、テープ自動ボンディング(TAB)プロセスによってプリント回路基板、セラミック基板、他の半導体チップ、フレキシブル基板に、または異方性導電膜またはペースト(ACFまたはACP)を介してガラス基板に接続するために使用できる。
あるいは、図10Bを参照すると、0.05から2マイクロメートルまでの範囲の厚さを有するニッケル層を、開口部9939によって露出されている接点8310上に無電解メッキすることができ、0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を、ポリマー層99内の開口部9939内の無電解メッキされたニッケル層上に無電解メッキすることができることがわかる。その後、ワイヤボンディングプロセスを使用して、金線または銅線をポリマー層99における開口部9939内の無電解メッキされた金層上に接合することができる。
あるいは、図10Bを参照すると、金線または銅線は、ワイヤボンディングプロセスを使用してポリマー層99内の開口部9939によって露出される、パターン形成回路層831の金層、白金層、パラジウム層、またはルテニウム層上に接合されうることがわかる。
あるいは、図10Cを参照すると、パッシベーション層5の上の、前記の厚く幅広の金属トレース83として使用される部分、および前記の厚く幅広の金属トレース83rとして使用される別の部分を含む、複数のパターン形成回路層831および832があるものとしてよいことがわかる。図10Cに示されているパターン形成回路層831を形成するためのプロセスは、図10Bに示されているパターン形成回路層831を形成するためのプロセスとして参照できる。パターン形成回路層832は、接着/バリア層、接着/バリア層上のシード層、ならびにシード層、接着/バリア層、および底層8321を構成するシード層上の電気メッキ金属層8322を含むものとしてよい。
図10Cを参照すると、パターン形成回路層831が形成された後に、ポリマー層98は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層831上に、またパッシベーション層5の窒化物層上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって形成されうることがわかる。したがって、複数の開口部9831、9834、および9839がポリマー層98内に形成され、これによりパターン形成回路層831の複数のコンタクトパッドを露出させることができる。
図10Cを参照すると、パターン形成回路層832を形成するためのプロセスに関して、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層98上に、またポリマー層98内の複数の開口部9839、9831、9834によって露出されるパターン形成回路層831のコンタクトパッド上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって、または前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属層8322は、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を電気メッキすることによって、またはフォトレジスト層内の開口部によって露出される、シード層として働く金層上に2から30マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属層8322の下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属層8322の下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。
パターン形成回路層832が形成された後、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層832上に、またポリマー層98上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって形成されうることがわかる。そこで、開口部9939’がポリマー層99内に形成され、これによりパターン形成回路層832の接点8320を露出させることができる。
金属バンプ89をポリマー層99上に、また図10Cおよび10Eに示されているような開口部9939’によって露出される接点8320上に形成するプロセスは、ポリマー層98上に、また図15Mに例示されているような開口部980によって露出される領域801a上に形成するプロセスとして参照することができる。図10Cおよび10Eに示されているような金属バンプ89の明細は、図15Mに例示されているような金属バンプ89の明細として参照することができる。
図10Cおよび10Eに示されている金属バンプ89は、テープ自動ボンディング(TAB)プロセスによってプリント回路基板、セラミック基板、他の半導体チップ、フレキシブル基板に、または異方性導電膜またはペースト(ACFまたはACP)を介してガラス基板に接続するために使用できる。
あるいは、図10Cを参照すると、0.05から2マイクロメートルまでの範囲の厚さを有するニッケル層を、ポリマー層99内の開口部9939’によって露出されている接点8320上に無電解メッキすることができ、0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を、ポリマー層99内の開口部9939’内の無電解メッキされたニッケル層上に無電解メッキすることができることがわかる。その後、ワイヤボンディングプロセスを使用して、金線または銅線をポリマー層99における開口部9939’内の無電解メッキされた金層上に接合することができる。
あるいは、図10Cを参照すると、金線または銅線は、ワイヤボンディングプロセスを使用してポリマー層99内の開口部9939’によって露出される、パターン形成回路層832の金層、白金層、パラジウム層、またはルテニウム層上に接合されうることがわかる。
図10Dおよび10Eを参照すると、パターン形成回路層831が形成される前に、ポリマー層95は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パッシベーション層5の窒化物層上に、またコンタクトパッド6390上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって適宜形成されうることがわかる。したがって、複数の開口部9539、9539’、9531、9532、および9534は、ポリマー層95内に形成され、これによりパッシベーション層5内の開口部539、539’、531、532、および533によって露出される複数のコンタクトパッド6390を露出することができる。ポリマー層95が形成された後、パターン形成回路層831をポリマー層95上に、また開口部539、539’、531、532、および533によって露出されるコンタクトパッド6390上に形成することができる。前記の材料の接着/バリア層を、ポリマー層95上に、またポリマー層95内の開口部9539、9539’、9531、9532、および9534によって露出されるコンタクトパッド6390上にスパッタリングすることができる。
あるいは、図10Fを参照すると、図11A、11D、もしくは11Eのオフチップドライバ、図11Bのオフチップレシーバ、または図11Cもしくは11Eのトライステートバッファなどのオフチップバッファ42は、パッシベーション層5の下の細線金属トレース638を通して、ただしパッシベーション層5の上のトレースもしくはバスを通さずに、内部回路20に接続することができることがわかる。パッシベーション層5の上の、前記の厚く幅広の金属トレース83rとして使用される部分を含む、ただ1つのパターン形成回路層831がありうる。上面斜視図で見たワイヤボンディングで接合されることに関して前記の厚く幅広の金属トレース83rの接点8310の位置は、パッシベーション層5内の開口部539によって露出されるコンタクトパッドの位置とは異なる。パターン形成回路層831を形成するためのプロセスは、図10Bに示されているパターン形成回路層831を形成するためのプロセスとして参照できる。ポリマー層99を形成するためのプロセスは、図10Bに示されているポリマー層99を形成するためのプロセスとして参照できる。
図10Fを参照すると、金線または銅線は、ワイヤボンディングプロセスを使用してポリマー層99内の開口部9939によって露出される、パターン形成回路層831の金層、白金層、パラジウム層、またはルテニウム層上に接合されうることがわかる。
代替として、図10Fを参照すると、0.05から2マイクロメートルまでの範囲の厚さを有するニッケル層を、ポリマー層99内の開口部9939によって露出されている接点8310上に無電解メッキすることができ、0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を、ポリマー層99内の開口部9939内の無電解メッキされたニッケル層上に無電解メッキすることができることがわかる。その後、ワイヤボンディングプロセスを使用して、金線または銅線をポリマー層99における開口部9939内の無電解メッキされた金層上に接合することができる。
図10G〜10Iを参照すると、金線または銅線は、ワイヤボンディングプロセスを使用してポリマー層99内の開口部9939によって露出される、パターン形成回路層831の金層、白金層、パラジウム層、またはルテニウム層上に接合されうることがわかる。
代替として、図10G〜10Iを参照すると、0.05から2マイクロメートルまでの範囲の厚さを有するニッケル層を、ポリマー層99内の開口部9939によって露出されている接点8310上に無電解メッキすることができ、0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を、ポリマー層99内の開口部9939内の無電解メッキされたニッケル層上に無電解メッキすることができることがわかる。その後、ワイヤボンディングプロセスを使用して、金線をポリマー層99における開口部9939内の無電解メッキされた金層上に接合することができる。
図8B〜8F、9B〜9B、および10B〜10Iに示されている回路は、フラッシュメモリチップ、DRAMメモリチップ、またはSRAMメモリチップにおいて使用することができる。再分配層83rを使用するI/Oパッド再配置は、フラッシュ、DRAM、またはSRAMメモリチップの場合の積層パッケージングに特に有用である。DRAMチップのI/Oパッドは、通常、チップの中心線におおよそそって設計されるため、積層パッケージには使用できない。再分配層83rでは、積層パッケージ内のワイヤボンディングのために中心パッドをチップの周辺に再配置する。図10Fおよび10Gは、バス83rの厚く幅広の金属トレースを介してパッシベーション層5内の開口部539によって露出される元のパッド6390に接続されている接点8310上にワイヤボンディングでワイヤが接合されている特定の例を示している。図8B、9B、10B〜10Gでは、メモリチップへの応用において、SRAMセル、またはフラッシュメモリセル、またはDRAMセルは、センス増幅器、図5Fの内部トライステートバッファ213、図5Gのパス回路216、図5Hのラッチ回路217、図5Iに示されているパス回路216と内部ドライバ212の回路、または図5Jに示されているラッチ回路217と内部ドライバ212の回路などの、内部回路21の入力ノードXiに接続されている。さまざまな詳細な内部回路21、およびメモリセルを内部回路21に接続する方法は、図5F〜5Jに示されているとおりに参照されうる。図8B、8D〜8F、9B〜9D、および10B〜10Iを参照すると、SRAMセル、またはフラッシュセル、またはDRAMセルは、外部回路に、(1)図5F〜5Jのセンス増幅器214を通り、(2)図5Fの内部トライステートバッファ213、図5Gのパス回路216、図5Hのラッチ回路217、図5Iに示されているようなパス回路216および内部ドライバ212の回路、または図5Jに示されているようなラッチ回路217および内部ドライバ212の回路を通り、(3)好適な1つまたは複数のプロセスによって、例えば、積層ビアおよび金属631によって形成される第1の細線金属構造を通り、(4)第1のパッシベーション開口部531を通って上り、(5)10Gについては、第1のポリマー開口部9531も通り、(6)図10Fについては、パッシベーション層5の下の細線金属構造638を通り、その一方で、図10Gについては、パッシベーション層5の上の1つまたは複数の金属層内のオーバーパッシベーション金属線、トレース、またはプレーン83を通り、(7)図10Gについては、第2のポリマー開口部9539’を通って下り、(8)第2のパッシベーション開口部539’を通り、(9)好適な1つまたは複数のプロセスによって、例えば、オフチップバッファ42の入力に接続されている、積層ビアおよび金属パッド639’によって形成される細線金属構造を通り、(10)さらに、オフチップESD回路43に、また積層細線金属ビアおよび金属パッド639に接続されているオフチップバッファ42の出力を通り、(11)さらに、パッシベーション開口部539を通り、(12)10Gについては、第3のポリマー開口部9539も通り、そして(13)オーバーパッシベーション再分配金属線またはトレースまたはプレーン83rを通り、(14)さらに、ポリマー開口部9939によって露出されているオーバーパッシベーション金属パッド8310を通り、(15)接点8310または金属バンプ89上のボンディングワイヤ89’を通るようにして接続される。
図10Gのように、再分配金属層83rの下、または上にポリマー層がありうることに留意されたい。再分配金属線、トレース、またはプレーン83rは、好適な1つまたは複数のプロセスによって、例えば、1.5μmから30μmまで、例えば2μmから10μmまでの範囲内の厚さを有する(電気メッキまたは無電解メッキされた)金層によって、または2μmから100μmまで、例えば3μmから20μmまでの範囲内の厚さを有する(電気メッキされた)銅層、銅層上の(0.5μmから5μmまでの範囲の厚さの)Niキャップ層、およびNiキャップ層上の(0.05μmから5μmまでの範囲の厚さの)AuまたはPdまたはRuのアセンブリ金属層によって形成されうる。オーバーパッシベーション金属パッド8310の金、パラジウム、白金、またはルテニウム層の表面に対しワイヤボンディングを実行する。
図8B〜8F、9B〜9D、10B〜10E、および10G〜10Iを参照すると、上面斜視図で見たパッシベーション層5内の開口部531、532、534、および539’の形状は、丸形、正方形、矩形、または多角形であるものとしてよいことがわかる。開口部531、532、534、539、および539’が丸形である場合、開口部531、532、534、539、および539’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の寸法を有することができる。開口部531、532、534、539、および539’が正方形である場合、開口部531、532、534、539、および539’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅を有することができる。開口部531、532、534、539、および539’が矩形である場合、開口部531、532、534、539、および539’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅、および1ミクロンから1センチメートルまでの範囲の長さを有することができる。開口部531、532、534、539、および539’が5つより多い辺を有している多角形である場合、開口部531、532、534、539、および539'は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大対角長を有する。あるいは、開口部531、532、534、539、および539’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大の横方向寸法を有する。図10C〜10E、10G、10H、および10Iに示されている場合において、開口部531、532、534、539、および539’は、0.1から30ミクロンまでの範囲の幅を有し、ポリマー層95内の開口部9531、9532、9534、9539、および9539’の下側部分は20から100ミクロンまでの範囲の幅を有する。ポリマー層95内の開口部9531、9532、および9534は、それぞれ開口部9531、9532、および9534に揃えられているパッシベーション層5内の開口部531、532、および534に比べて大きい幅または横方向寸法を有する下側部分を有する。ポリマー層95内の開口部9531、9532、および9534は、開口部531、532、および534に近いパッシベーション層5をさらに露出する。ポリマー層95は、パッシベーション層5内の開口部539および539’によって露出されたコンタクトパッドの周辺領域を覆うが、ポリマー層95内の開口部9539および9539’は、パッシベーション層5内の開口部539および539’によって露出されたコンタクトパッドの中心領域を露出する。パッシベーション層5内の開口部539および539’の幅または横方向寸法は、それぞれ開口部9539および9539’の幅または横方向寸法に比べて大きい。
図8A〜8Fでは、点線5は、パッシベーション層を示し、粗いトレースは、パッシベーション層5の上に形成されたオーバーパッシベーションスキーム102のトレースを示し、細いトレースは、パッシベーション層5の下に形成されたトレースを示す。図9A〜9Dでは、粗いトレースは、パッシベーション層5の上に形成されたオーバーパッシベーションスキーム102のトレースを示し、細いトレースは、パッシベーション層5の下に形成されたトレースを示す。図10Aに示されているオーバーパッシベーションスキーム102は、ポリマー層99、パターン形成回路層831によって形成される金属トレース83r、ならびに金属バンプ89を備え、ポリマー層99内の開口部9939は、金属トレース83rの接点8310の上にあり、金属バンプ89は、開口部9939内に、接点8310上に、およびポリマー層99上にある。図10Bに示されているオーバーパッシベーションスキーム102は、ポリマー層99、パターン形成回路層831によって形成される金属トレース83および83r、ならびに金属バンプ89を備え、ポリマー層99内の開口部9939は、金属トレース83rの接点8310の上にあり、金属バンプ89は、開口部9939内に、接点8310上に、およびポリマー層99上にある。図10Cに示されているオーバーパッシベーションスキーム102は、ポリマー層98および99、パターン形成回路層831および832によって形成される金属トレース83および83r、ならびに金属バンプ89を備え、ポリマー層99内の開口部9939’は、金属トレース83rの接点8320の上にあり、金属バンプ89は、開口部9939’内に、接点8320上に、およびポリマー層99上にある。図10Dに示されているオーバーパッシベーションスキーム102は、ポリマー層95および99、パターン形成回路層831によって形成される金属トレース83および83r、ならびに金属バンプ89を備え、開口部9539、9539’、9531、9532、および9534は、ポリマー層95内にあり、ポリマー層99内の開口部9939は、金属トレース83rの接点8320の上にあり、金属バンプ89は、開口部9939内に、接点8320上に、およびポリマー層99上にある。図10Eに示されているオーバーパッシベーションスキーム102は、ポリマー層95、98、および99、パターン形成回路層831および832によって形成される金属トレース83および83r、ならびに金属バンプ89を備え、開口部9539、9539’、9531、9532、および9534は、ポリマー層95内にあり、ポリマー層98内の開口部9831、9834、および9839は、パターン形成回路層831の上にあり、ポリマー層99内の開口部9939’は、金属トレース83rの接点8320の上にあり、金属バンプ89は、開口部9939’内に、接点8320上に、およびポリマー層99上にある。図10Fに示されているオーバーパッシベーションスキーム102は、ポリマー層99とパターン形成回路層831によって形成される金属トレース83rを備え、ポリマー層99内の開口部9939は、金属トレース83rの接点8310の上にあり、それを露出する。図10G〜10Iに示されているオーバーパッシベーションスキーム102は、ポリマー層95および99とパターン形成回路層831によって形成される金属トレース83および83rとを備え、開口部9539、9539’、9531、9532、および9534は、ポリマー層95内にあり、ポリマー層99内の開口部9939は、金属トレース83rの接点8310の上にあり、それを露出する。
第4の実施形態:電源/グランドバス設計アーキテクチャ
前記の本開示の第1の実施形態では、外部電源電圧Vddが電圧レギュレータまたはコンバータ回路41に供給され、電圧レギュレータまたはコンバータ回路41は、電源電圧Vccを内部回路20に出力する。あるいは、電圧または電流サージが内部回路20を損傷するのを防ぐために必要なESD保護回路44を備えて、外部電源電圧Vddを、外部回路から21、22、23、および24を含む内部回路20に入力することができる。ESD回路44は、内部回路21、22、23、および24と並列に接続されている。図1B、1C,2B、2C、3B、3C、および3Dの第1の実施形態では、ESD回路も追加し、電圧レギュレータまたはコンバータ回路41と並列に、また内部回路21、22、23、および24と並列に接続することができる。例えば、図1Dに示されている回路は、ESD回路44に加えて図1Cの回路を含む。ESD回路44は、外部電源電圧Vddを供給する、厚く幅広の電源バスもしくはプレーン81Pに接続された電源ノードDpおよび厚く幅広のグランドバスもしくはプレーン82に接続されたグランドノードDgを備える。厚く幅広の電源バスまたはプレーン81Pは、ESD回路44の電源ノードDpと電圧レギュレータまたはコンバータ回路41の電源ノードとを接続する。厚く幅広のグランドバスまたはプレーン82は、ESD回路44のグランドノードDgと電圧レギュレータまたはコンバータ回路41のグランドノードRsとを接続する。図1Dの回路内のESD回路44は、厚く幅広のグランドバスもしくはプレーン82に接続されたアノードおよび厚く幅広の電源バスもしくはプレーン81Pに接続されたカソードを有する、図12Eに示されているような、逆バイアスダイオード4333とすることができる。図1Dの要素は、図1Dの要素と同じ番号で示されている図1Cの要素として参照されうる。
図12Bは、ESD回路44と内部回路20を接続する、パッシベーション層5の上の厚く幅広の電源バスまたはプレーン81Pを含む回路図を示している。図13Bは、図12Bの回路図を明確にする上面図であり、図13Bに示されている太線は、パッシベーション層の上の厚く幅広の金属トレースまたはバスを意味し、図13Bに示されている細線は、パッシベーション層の下の細線金属トレースを意味する。図14Bは、図12Bの回路図を明確にする断面図である。図12Bにおいて、外部電源電圧Vddは、ノードEpで入力され、Vddノード、内部回路21、22、23、および24の電源ノードTp、Up、Vp、およびWpに、パッシベーション層5の上の厚く幅広の電源バスまたはプレーン81Pを通り、パッシベーション開口部511、512、および514を通り、パッシベーション層5の下の電源細線金属トレース611、612、および614を通るようにして分配される。ESD回路44の電源ノードDpは、厚く幅広の金属トレース、バスもしくはプレーン81P、電源バスに、細線金属トレースもしくはバス649を通して、またパッシベーション層5の上の開口部549を通して、接続される。厚く幅広の電源バス81Pは、NORゲート、NANDゲート、ANDゲート、ORゲート、オペアンプ、加算器、マルチプレクサ、ダイプレクサ、乗算器、A/Dコンバータ、D/Aコンバータ、CMOSデバイス、バイポーラCMOSデバイス、バイポーラ回路、SRAMセル、DRAMセル、不揮発性メモリセル、フラッシュメモリセル、EPROMセル、ROMセル、磁気RAM(MRAM)、またはセンス増幅器を含んでいてもよい、内部回路21、22、23、および24の電源ノードTp、Up、Vp、およびWpに接続されうる。パッシベーション層5の上の、図12Bに示されている上述の電源バス81Pは、電源電圧Vddを利用できる前記の4つの実施形態における内部回路20もしくは他の回路の電源ノードに接続されうる。図12Bの回路内のESD回路44は、グランドに接続されたアノードおよび厚く幅広の電源バスもしくはプレーン81Pに接続されたカソードを有する、図12Eに示されているような、逆バイアスダイオード4333とすることができる。
図14Bでは、パッシベーション層5の上の前記の厚く幅広の金属トレース81P、電源バスまたはプレーンとして使用される部分を含む、ただ1つのパターン形成回路層811がある。パターン形成回路層811は、接着/バリア層、接着/バリア層上のシード層、ならびにシード層、接着/バリア層、および底層8111を構成するシード層上の電気メッキ金属層8112を含むものとしてよい。
図14Bを参照すると、パターン形成回路層811を形成するためのプロセスに関して、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、パッシベーション層5の窒化ケイ素層上に、またパッシベーション層5内の複数の開口部549、511、512、および514によって露出される、もっぱらアルミニウムまたは銅から作られているコンタクトパッド6490上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングするか、または前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属層8112は、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を電気メッキすることによって、またはフォトレジスト層内の開口部によって露出される、シード層として働く金層上に2から30マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属層8112の下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属層8112の下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。
パターン形成回路層811が形成された後、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層811上に、またパッシベーション層5の窒化物層上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって形成されうる。そこで、開口部9949がポリマー層99内に形成され、これによりパターン形成回路層811のコンタクトパッド8110を露出させることができる。
図14Bを参照すると、コンタクトパッド8110の上に金属バンプを形成するために、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層99上に、また開口部9949によって露出されたコンタクトパッド8110上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属バンプは、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に0.5から10マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に60から200マイクロメートルまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキすることによって形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属バンプの下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプの下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプをリフローして、フリップチップ組み立て用にボール形状にすることができる。この金属バンプは、プリント回路基板、セラミック基板、または他の半導体チップに接続することができる。
図14Bを参照すると、コンタクトパッド8110の上に他の種類の金属バンプを形成するために、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層99上に、また開口部9949によって露出されたコンタクトパッド8110上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属バンプは、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く金層上に6から25マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属バンプの下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプの下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。金属バンプは、テープ自動ボンディング(TAB)プロセスによってフレキシブル基板に接続されるか、または異方性導電膜またはペースト(ACFまたはACP)を介してガラス基板に接続されうる。
あるいは、図14Bを参照すると、0.05から2マイクロメートルまでの範囲の厚さを有するニッケル層を、開口部9949によって露出されているコンタクトパッド8110上に無電解メッキすることができ、0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を、ポリマー層99内の開口部9949内の無電解メッキされたニッケル層上に無電解メッキすることができることがわかる。その後、ワイヤボンディングプロセスを使用して、金線をポリマー層99における開口部9949内の無電解メッキされた金層上に接合することができる。
あるいは、図14Bを参照すると、金線は、ワイヤボンディングプロセスを使用してポリマー層99内の開口部9949によって露出される、パターン形成回路層811の金層、白金層、パラジウム層、またはルテニウム層上に接合されうることがわかる。
図14Dを参照すると、パターン形成回路層811が形成される前に、ポリマー層95は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パッシベーション層5の窒化物層上に、またコンタクトパッド6490上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって適宜形成されうることがわかる。したがって、複数の開口部9549、9511、9512、および9514は、ポリマー層95内に形成され、これによりパッシベーション層5内の開口部549、511、512、および514によって露出される複数のコンタクトパッド6490を露出することができる。ポリマー層95が形成された後、パターン形成回路層811をポリマー層95上に、また開口部549、511、512、および514によって露出されるコンタクトパッド6490上に形成することができる。前記の材料の接着/バリア層を、ポリマー層95上に、またポリマー層95内の開口部9549、9511、9512、および9514によって露出されるコンタクトパッド6490上にスパッタリングすることができる。
図12Cは、図12Bの電源Vdd接続に加えて、グランドVss接続をも示している。図13Cは、図12Cの回路図を明確にする上面図であり、図13Cに示されている太線は、パッシベーション層の上の厚く幅広の金属トレースまたはバスを意味し、図13Cに示されている細線は、パッシベーション層の下の細線金属トレースを意味する。図14Cは、図12Cの回路図を明確にする断面図である。図12Cでは、外部グランド電圧Vssは、ノードEgで入力され、内部回路21、22、23、および24のVssノードTs、Us、Vs、およびWsに、パッシベーション層5の上の厚く幅広の金属トレース、バス、またはプレーン82、グランドバスまたはプレーンを通り、パッシベーション層5内の開口部521、522、および524を通り、パッシベーション層5の下の細線金属トレース621、622、および624を通るようにして供給される。厚く幅広のグランドバスまたはプレーン82は、ESD回路44のVssノードDgに、パッシベーション層5内の開口部549’を通り、パッシベーション層5の下の細線グランド金属バス649’を通るようにして接続される。パッシベーション層5の上の、図12Cに示されている上述の電源バス81Pは、電源電圧Vddを利用できる前記の4つの実施形態における内部回路20もしくは他の回路の電源ノードに接続されうる。パッシベーション層5の上の、図12Cに示されている上述のグランドバス82は、グランド電圧Vssを利用できる前記の4つの実施形態における内部回路20もしくは他の回路のグランドノードに接続されうる。図12Cの回路内のESD回路44は、厚く幅広のグランドバスもしくはプレーン82に接続されたアノードおよび厚く幅広の電源バスもしくはプレーン81Pに接続されたカソードを有する、図12Eに示されているような、逆バイアスダイオード4333とすることができる。
図14Cを参照すると、パッシベーション層5の上の、前記のグランドバスまたはプレーン82およびグランドバスまたはプレーン82の上の前記の電源バスまたはプレーン81Pを含む、複数のパターン形成回路層821および812がありうることがわかる。パッシベーション層5上に、また開口部549’、521、522、および524によって露出されるコンタクトパッド6490’上にパターン形成回路層821を形成するためのプロセスは、パッシベーション層5上に、また開口部549、511、512、および514によって露出されるコンタクトパッド6490上に、図14Bに示されているパターン形成回路層811を形成するためのプロセスとして参照されうる。パターン形成回路層821は、接着/バリア層、接着/バリア層上のシード層、ならびにシード層、接着/バリア層、および底層8211を構成するシード層上の電気メッキ金属層8212を含むものとしてよい。パターン形成回路層812は、接着/バリア層、接着/バリア層上のシード層、ならびにシード層、接着/バリア層、および底層8121を構成するシード層上の電気メッキ金属層8122を含むものとしてよい。
図14Cを参照すると、パターン形成回路層821が形成された後に、ポリマー層98は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層821上に、またパッシベーション層5の窒化物層上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって形成されうることがわかる。そこで、開口部9849’がポリマー層98内に形成され、これによりパターン形成回路層821のコンタクトパッドを露出させることができる。
図14Cを参照すると、パターン形成回路層812を形成するためのプロセスに関して、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層98上に、またポリマー層98内の開口部9849’によって露出されるパターン形成回路層821のコンタクトパッド上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって、または前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属層8122は、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキすることによって、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に2から30マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を電気メッキすることによって、またはフォトレジスト層内の開口部によって露出される、シード層として働く金層上に2から30マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属層8122の下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属層8122の下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。
パターン形成回路層812が形成された後、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パターン形成回路層812上に、またポリマー層98上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって形成されうる。そこで、開口部9949’がポリマー層99内に形成され、これによりパターン形成回路層812のコンタクトパッド8120を露出させることができる。
図14Cを参照すると、コンタクトパッド8120の上に金属バンプを形成するために、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層99上に、また開口部9949’によって露出されたコンタクトパッド8120上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、1000から6000オングストロームまでの範囲の厚さを有するクロム層などのクロム含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属バンプは、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く銅層上に0.5から10マイクロメートルまでの範囲の厚さを有する銅層を電気メッキし、フォトレジスト層内の開口部内の電気メッキされた銅層上に0.5から10マイクロメートルまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、フォトレジスト層内の開口部内の電気メッキされたニッケル層上に60から200マイクロメートルまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキすることによって形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属バンプの下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプの下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプをリフローして、ボール形状にすることができる。この金属バンプは、プリント回路基板、セラミック基板、または他の半導体チップに接続することができる。
図14Cを参照すると、コンタクトパッド8120の上に他の種類の金属バンプを形成するために、接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、ポリマー層99上に、また開口部9949’によって露出されたコンタクトパッド8120上に、1000から6000オングストロームまでの範囲の厚さを有するチタン層もしくはチタンタングステン合金層などのチタン含有層をスパッタリングするか、または1000から6000オングストロームまでの範囲の厚さを有するタンタル層もしくは窒化タンタル層などのタンタル含有層をスパッタリングすることによって形成されうることがわかる。その後、シード層は、好適な1つまたは複数のプロセスによって、例えば、前記の材料の接着/バリア層上に200から3000オングストロームまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。その後、フォトレジスト層は、シード層上に形成され、フォトレジスト層内の複数の開口部がそのシード層を露出することができる。その後、金属バンプは、好適な1つまたは複数のプロセスによって、例えば、フォトレジスト層内の開口部によって露出される、シード層として働く金層上に6から25マイクロメートルまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。その後、フォトレジスト層を取り除くことができる。その後、金属バンプの下にないシード層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。その後、金属バンプの下にない接着/バリア層は、ウェットエッチングプロセスを使用するか、またはドライエッチングプロセスを使用することで取り除かれる。金属バンプは、テープ自動ボンディング(TAB)プロセスによってフレキシブル基板に接続されるか、または異方性導電膜またはペースト(ACFまたはACP)を介してガラス基板に接続されうる。
あるいは、図14Cを参照すると、0.05から2マイクロメートルまでの範囲の厚さを有するニッケル層を、ポリマー層99内の開口部9949’によって露出されているコンタクトパッド8120上に無電解メッキすることができ、0.05から2マイクロメートルまでの範囲の厚さを有する金層、白金層、パラジウム層、またはルテニウム層を、ポリマー層99内の開口部9949’内の無電解メッキされたニッケル層上に無電解メッキすることができることがわかる。その後、ワイヤボンディングプロセスを使用して、金線をポリマー層99における開口部9949’内の無電解メッキされた金層上に接合することができる。
あるいは、図14Cを参照すると、金線は、ワイヤボンディングプロセスを使用してポリマー層99内の開口部9949’によって露出される、パターン形成回路層812の金層、白金層、パラジウム層、またはルテニウム層上に接合されうることがわかる。
あるいは、パターン形成回路層821が形成される前に、ポリマー層は、好適な1つまたは複数のプロセスによって、例えば、エステルタイプなどのネガ型感光性ポリイミド層を、パッシベーション層5の窒化物層上に、またコンタクトパッド6490’上にスピンオンコーティングし、スピンオンコーティングされた感光性ポリイミド層を露出させ、露出されたポリイミド層を現像し、次いで、現像されたポリイミド層を窒素もしくは無酸素雰囲気中で、30から240分の間、265から285℃までの範囲の温度で硬化させることによって適宜形成されうる。したがって、複数の開口部が、ポリマー層内に形成され、これによりパッシベーション層5内の開口部549’、521、522、および524によって露出される複数のコンタクトパッド6490’を露出することができる。ポリマー層が形成された後、パターン形成回路層821をポリマー層上に、また開口部549’、521、522、および524によって露出されるコンタクトパッド6490’上に形成することができる。前記の材料の接着/バリア層を、ポリマー層上に、またポリマー層内の開口部によって露出されるコンタクトパッド6490’上にスパッタリングすることができる。
あるいは、前記の電源バスまたはプレーン81Pおよび前記のグランドバスまたはプレーン82を、図12Dに示されているように、2つのESD回路44および45に接続することができる。前記の電源バスまたはプレーン81Pは、内部回路21、22、23、および24の電源ノードTp、Up、Vp、およびWpとESD回路44および45の電源ノードDpおよびDp’とを接続することができる。前記のグランドバスまたはプレーン82は、内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsとESD回路44および45のグランドノードDgおよびDg’とを接続することができる。パッシベーション層5の上の、図12Dに示されている上述の電源バス81Pは、電源電圧Vddを利用できる前記の4つの実施形態における内部回路20もしくは他の回路の電源ノードに接続されうる。パッシベーション層5の上の、図12Dに示されている上述のグランドバス82は、グランド電圧Vssを利用できる前記の4つの実施形態における内部回路20もしくは他の回路のグランドノードに接続されうる。図12Dの回路内のESD回路44および45のそれぞれは、厚く幅広のグランドバスもしくはプレーン82に接続されたアノードおよび厚く幅広の電源バスもしくはプレーン81Pに接続されたカソードを有する、図12Eに示されているような、逆バイアスダイオード4333とすることができる。
図12B〜12D、13B、13C、および14B〜14Dを参照すると、上面斜視図で見たパッシベーション層5内の開口部511、512、514、521、522、524、549、および549’の形状は、丸形、正方形、矩形、または多角形であるものとしてよいことがわかる。開口部511、512、514、521、522、524、549、および549’が丸形である場合、開口部511、512、514、521、522、524、549、および549’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の寸法を有することができる。開口部511、512、514、521、522、524、549、および549’が正方形である場合、開口部511、512、514、521、522、524、549、および549’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅を有することができる。開口部511、512、514、521、522、524、549、および549’が矩形である場合、開口部511、512、514、521、522、524、549、および549’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の幅、および1ミクロンから1センチメートルまでの範囲の長さを有することができる。開口部511、512、514、521、522、524、549、および549’が5つより多い辺を有している多角形である場合、開口部511、512、514、521、522、524、549、および549’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大対角長を有する。あるいは、開口部511、512、514、521、522、524、549、および549’は、0.1から200ミクロンまでの範囲、1から100ミクロンまでの範囲、または好ましくは、0.1から30ミクロンまでの範囲の最大の横方向寸法を有する。図14Dに示されている場合には、開口部511、512、514、および549は、0.1から30ミクロンまでの範囲の幅を有し、ポリマー層95内の開口部9511、9512、9514、および9549の下側部分は20から100ミクロンまでの範囲の幅を有する。ポリマー層95内の開口部9511、9512、および9514は、それぞれ開口部9511、9512、および9514に揃えられているパッシベーション層5内の開口部511、512、および514に比べて大きい幅または横方向寸法を有する下側部分を有する。ポリマー層95内の開口部9511、9512、および9514は、開口部511、512、および514に近いパッシベーション層5をさらに露出する。ポリマー層95は、パッシベーション層5内の開口部549によって露出されたコンタクトパッドの周辺領域を覆うが、ポリマー層95内の開口部9549は、パッシベーション層5内の開口部549によって露出されたコンタクトパッドの中心領域を露出する。パッシベーション層5内の開口部549の幅または横方向寸法は、開口部9549の幅または横方向寸法に比べて大きい。
図12B〜12Dでは、点線5は、パッシベーション層を示し、粗いトレースは、パッシベーション層5の上に形成されたオーバーパッシベーションスキーム102のトレースを示し、細いトレースは、パッシベーション層5の下に形成されたトレースを示す。図13B〜13Cでは、粗いトレースは、パッシベーション層5の上に形成されたオーバーパッシベーションスキーム102のトレースを示し、細いトレースは、パッシベーション層5の下に形成されたトレースを示す。図14Bに示されているオーバーパッシベーションスキーム102は、ポリマー層99とパターン形成回路層811によって形成される金属トレース81Pを備え、ポリマー層99内の開口部9949は、金属トレース81Pの接点8110の上にあり、それを露出する。図14Cに示されているオーバーパッシベーションスキーム102は、ポリマー層98および99、パターン形成回路層812によって形成される金属トレース81P、ならびにパターン形成回路層821によって形成される金属トレース82を備え、ポリマー層98内の開口部9849’は、金属トレース82の上にあり、ポリマー層99内の開口部9949’は、パターン形成回路層812の接点8120の上にあり、それを露出する。図14Dに示されているオーバーパッシベーションスキーム102は、ポリマー層95および99とパターン形成回路層811によって形成される金属トレース81Pを備え、開口部9549、9511、9512、および9514は、ポリマー層95内にあり、ポリマー層99内の開口部9949は、金属トレース81Pの接点8110の上にあり、それを露出する。
オーバーパッシベーションスキームの形成
本開示の例示的な実施形態におけるオーバーパッシベーションスキームの主要な特徴は、それぞれ2から200マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する厚い金属層と、それぞれ2から300マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有する厚い誘電体層である。
図15C〜15Hは、本開示におけるすべての実施形態に適用されうる、パッシベーション層5の上に、またポリマー層95上にパターン形成回路層801を形成するためのエンボス加工プロセスを示している。図15I〜15Jは、本開示におけるすべての実施形態に適用されうる、パターン形成回路層801上に、またポリマー層98上にパターン形成回路層802を形成するためのエンボス加工プロセスを示している。図15C〜15Gおよび図16A〜16Kは、本開示におけるすべての実施形態に適用されうる、パッシベーション層5の上に、1つまたは複数のパターン形成回路層801および802を形成するためのダブルエンボス加工プロセスを示している。ポリマー層95は、パターン形成回路層801の下、およびパッシベーション層5の上に備えることができる。ポリマー層98は、パターン形成回路層801と802の間、およびパッシベーション層5の上に備えることができる。ポリマー層19は、パターン形成回路層802の上、およびパッシベーション層5の上に備えることができる。図15A〜15Mおよび図16A〜16Mは、第3の実施形態における図10Eの構造に基づいており、本開示におけるすべての実施形態に対するオーバーパッシベーションスキームを形成する方法を例示するために例として使用されている。言い換えると、説明されている方法および以下の段落で指定されている明細は、本開示におけるすべての金属トレース、バス、またはプレーン81、81P、82、83、83’、および85ならびにすべての金属層811、821、831、812、および832に適用することができるということである。
図15Aは、オーバーパッシベーションプロセスのための出発原料を示している。オーバーパッシベーションプロセスは、一番上のポストパッシベーション技術のオーバーパッシベーションスキームがウェハ10上に形成された後に、複数のチップに切り分けられるように仕上げられたウェハ10上で開始する。
半導体ウェハ10は、以下のような要素を含む。
参照番号1は、600から1000マイクロメートルまでの範囲、50マイクロメートルから1ミリメートルまでの範囲、または75から250マイクロメートルまでの範囲の厚さを有する、基板、通常はシリコン基板を示している。シリコン基板は、固有のp型またはp型のシリコン基板とすることができる。高性能チップ用に、SiGeまたはシリコンオンインシュレータ(SOI)基板を使用することができる。SiGe基板は、シリコン基板の表面上にエピタキシャル層を備える。SOI基板は、シリコン基板上に絶縁層(例示的な酸化ケイ素)を、また絶縁層の上に形成されたSiまたはSiGeエピタキシャル層を備える。
参照番号2は、基板1内、および/または基板1上のデバイス層、通常は半導体デバイスを示す。半導体デバイスは、MOSトランジスタ2’、つまりn型MOSトランジスタまたはp型MOSトランジスタのいずれかを含む。MOSトランジスタは、ゲート(通常は、ポリシリコン、タングステンポリサイド、タングステンシリサイド、チタンシリサイド、コバルトシリサイド、またはサリサイドゲート)、ソース、およびドレインを備える。他のデバイスとして、バイポーラトランジスタ、DMOS(拡散型MOS)、LDMOS(横方向拡散型MOS)、CCD(電荷結合素子)、CMOSセンサー、感光ダイオード、抵抗器(ポリシリコン層、またはシリコン基板内の拡散領域によって形成される)がある。これらのデバイスは、CMOS回路、NMOS回路、PMOS回路、BiCMOS回路、CMOSセンサー回路、DMOS電力回路、またはLDMOS回路などのさまざまな回路を形成する。層は、すべての実施形態における内部回路20(21、22、23、および24を含む)、第1の実施形態における電圧レギュレータまたはコンバータ回路41、第3の実施形態におけるオフチップ回路40(42および43を含む)、および第4の実施形態におけるESD回路44を備える。
参照番号6は、細線金属層60と細線誘電体層30のビア30’内の細線ビアプラグ60’とを含む、細線スキームを示している。細線スキーム6は、本開示のすべての実施形態における細線金属トレース、つまり、(1)第1の実施形態の611、612、614、619、619'、621、622、624、および629、(2)第2の実施形態の631、632、および634、(3)第3の実施形態の631、632、634、639、639'、6391、6391'、6311、6321、および6341、(4)第4の実施形態の611、612、614、649、621、622、624、および649'を備える。細線金属層60は、アルミニウムもしくは銅層、またはより具体的には、スパッタリングアルミニウム層もしくはダマシン銅層とすることができる。細線金属層60は、(1)すべての細線金属層はアルミニウム層である、(2)すべての細線金属層は銅層である、(3)底層はアルミニウム層であり、かつ上層は銅層である、または(4)底層は銅層であり、かつ上層はアルミニウム層である、というようなものとすることができる。細線金属層60は、0.05から2マイクロメートルまでの範囲、好ましくは0.2から1マイクロメートルまでの範囲の厚さを有し、線もしくはトレースの水平方向の設計ルール(幅)は0.05から0.95マイクロメートルまでの範囲など、1マイクロメートルより小さいか、または20ナノメートルから15マイクロメートルまでの範囲、好ましくは20ナノメートルから2マイクロメートルまでの範囲など、20ナノメートルより大きいものとしてよい。アルミニウム層は、通常、好適な1つまたは複数のプロセスによって、例えば、スパッタリング法などの、物理的気相成長(PVD)法によって形成され、次いで、0.1から4マイクロメートルまでの範囲、好ましくは0.3から2マイクロメートルまでの範囲の厚さを有するフォトレジスト層を蒸着し、その後、ウェットまたはドライエッチング、例えば、ドライプラズマエッチング(通常はフッ素プラズマを含む)を行うことによってパターン形成される。オプションとして、接着/バリア(Ti、TiW、TiN、または上記金属のコンポジット層)を、アルミニウム層の下に加え、および/または反射防止層(TiN)も、アルミニウム層の上に加えてもよい。ビア30’は、適宜、ブランケットCVDタングステン蒸着法で埋められ、その後、タングステン金属層の化学機械研磨(CMP)を実行してビアプラグ60’が形成される。銅層は、通常、好適な1つまたは複数のプロセスによって、例えば、電気メッキプロセスを含むダマシンプロセスによって形成され、このプロセスでは、(1)銅拡散バリア層(0.05から0.25μmまでの範囲の厚さのオキシ窒化物または窒化物の層など)を蒸着し、(2)PECVD、スピンオンコーティング、および/または高密度プラズマ(HDP)CVD法によって0.1から2.5μmまでの範囲、例えば、0.3から1.5μmまでの厚さの誘電体層を蒸着し、(3)0.1から4μmまでの範囲、好ましくは0.3から2μmまでの範囲の厚さを有するフォトレジスト層を蒸着し、フォトレジスト層を露光し現像して開口部および/またはトレンチを形成し、次いでフォトレジスト層を除去することによって誘電体層30にパターン形成を行い、(4)スパッタリングおよび/またはCVD法によって接着/バリア層および電気メッキシード層を蒸着する。接着/バリア層は、好適なまたは複数のプロセス、例えば、上記材料によって形成されるTa、TaN、TiN、Ti、もしくはTiWまたは複合層を含む。接着/バリア層上に形成される、電気メッキシード層は、通常、好適な1つまたは複数のプロセスによって、例えば、Cuのスパッタリングまたは銅のCVDまたはCuのCVDとその後のCuのスパッタリングを実行し、(5)電気メッキシード層の上に銅層を、0.05から1マイクロメートルまでの範囲、好ましくは0.2から1マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さになるように電気メッキし、(6)接着/バリア層の下にある誘電体層が露出するまでウェハを研磨すること(例えば、化学機械研磨、CMP)によって誘電体層30の開口部またはトレンチ内にない電気メッキされた銅層、電気メッキするシード層、および接着/バリア層を除去することによって形成される銅層である。開口部またはトレンチ内にある金属のみが、CMPの後に残り、残っている金属は、2つの隣接金属層60を接続する金属導体(線、トレース、および/またはプレーン)またはビアプラグ60’として使用される。他の代替的形態として、1つの電気メッキプロセス、1つのCMPプロセスと同時に、金属ビアプラグおよび金属トレース、線、またはプレーンを形成するために、二重ダマシンプロセスが使用される。2つのフォトリソグラフィプロセス、および2つの蒸着プロセスが二重ダマシンプロセスにおいて適用される。二重ダマシンプロセスでは、誘電体層のパターン形成を行うステップ(3)と上記単一のダマシンプロセスで金属層を蒸着するステップ(4)との間に、誘電体の別の層を蒸着し、パターン形成するプロセスステップをさらに加える。誘電体層30は、好適な1つまたは複数のプロセスによって、例えば、CVD(化学気相成長法)、PECVD(プラズマ化学気相成長法)、高密度プラズマ(HDP)CVD、またはスピンオン法によって形成される。誘電体層30の材料として、酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、PECVD TEOS、スピンオンガラス(SOG、ケイ酸塩系またはシロキサン系)、フッ化ケイ酸塩ガラス(FSG)、またはブラックダイヤモンド(Applied Materials, Inc.社の機械で生成される)、またはULK CORAL(Novellus Inc.社の機械で生成される)、またはSiLK(IBM Corp.社の)低誘電率誘電体などの低誘電率誘電体材料の層が挙げられる。PECVD酸化ケイ素またはPECVD TEOSまたはHDP酸化物は、3.5から4.5までの範囲の誘電率Kを有し、PECVD FSGまたはHDP FSGは、3.0から3.5までの範囲のK値を有し、低誘電率誘電体材料は、1.5から3.0までの範囲のK値を有する。ブラックダイヤモンドなどの低誘電率誘電体材料は、多孔質であり、ケイ素と酸素に加えて水素と炭素も含み、化学式はHwxSiyzである。細線誘電体層30は、通常、無機材料を含む。誘電体層30は、0.05から2マイクロメートルまでの範囲の厚さを有するものとしてよい。誘電体層30内のビア30’は、好適な1つまたは複数のプロセスによって、例えば、フォトレジストパターン形成を含むウェットおよび/またはドライエッチング、例えばドライエッチングによって形成される。ドライエッチング化学種として、フッ素プラズマが挙げられる。
参照番号5は、パッシベーション層を示す。パッシベーション層5は、本開示において非常に重要な役割を果たす。パッシベーション層5は、アセンブリおよびパッケージングの際に機械的および化学的損傷を防ぐ絶縁保護層である。これは、機械的ひっかき傷の発生を防ぐことに加えて、ナトリウムなどの可動イオン、および金もしくは銅などの遷移金属が下にあるICデバイス内に侵入することも防ぐ。また、下にあるデバイスおよび相互接続部(金属および誘電体)を水分侵入または他の汚染物質から保護することも行う。パッシベーション層5は、好適な1つまたは複数のプロセスによって、例えば、化学気相成長(CVD)法によって形成することができ、典型的には、0.3から1.5マイクロメートルまでの範囲または0.4から0.8マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する。
パッシベーション層5は、1つまたは複数の無機層で構成することができる。例えば、パッシベーション層5は、0.1から1マイクロメートルまでの範囲、好ましくは0.3から0.7マイクロメートルまでの範囲など、1.5マイクロメートルより小さい厚さを有する、酸化ケイ素層またはオキシ炭化ケイ素(SiOC)層などの酸化物層および酸化物層上の0.25から1.2マイクロメートルまでの範囲、好ましくは0.35から1マイクロメートルまでの範囲など、1.5マイクロメートルより小さい厚さを有する、窒化ケイ素層、オキシ窒化ケイ素層、または窒化炭素ケイ素(SiCN)層などの窒化物層の複合層とすることができる。あるいは、パッシベーション層5は、0.2から1.5マイクロメートルまでの範囲、好ましくは0.3から1マイクロメートルまでの範囲など、1.5マイクロメートルより小さい厚さを有する窒化ケイ素、オキシ窒化ケイ素、または窒化炭素ケイ素(SiCN)の単層とすることができる。例示的な場合において、パッシベーション層5は、半導体ウェハ10の最上無機層を備え、半導体ウェハ10の最上無機層は、0.2から1.5マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する窒化ケイ素層、または0.2から1.5マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する酸化ケイ素層とすることができる。あるいは、パッシベーション層5は、0.05から0.35マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するオキシ窒化物層と、オキシ窒化物層上の、0.2から1.2マイクロメートルまでの範囲、好ましくは0.3から0.6マイクロメートルまでの範囲など、1.2マイクロメートルより小さい厚さを有する第1の酸化物層と、第1の酸化物層上の、0.2から1.2マイクロメートルまでの範囲、好ましくは0.3から0.5マイクロメートルまでの範囲など、1.2マイクロメートルより小さい厚さを有する、窒化ケイ素層などの窒化物層と、窒化物層上の、0.2から1.2マイクロメートルまでの範囲、好ましくは0.3から0.6マイクロメートルまでの範囲など、1.2マイクロメートルより小さい厚さを有する第2の酸化物層の複合層とすることができる。第1の酸化物層および第2の酸化物層は、PECVD酸化ケイ素、PETEOS酸化物、または高密度プラズマ(HDP)酸化物とすることができる。
基板1、デバイス層2、細線金属スキーム6、誘電体層30、およびパッシベーション層5に対する上記の説明および明細は、本開示のすべての実施形態に適用することができる。
開口部50は、ウェットエッチングプロセスまたはドライエッチングプロセスなどのエッチングプロセスによってパッシベーション層5内に形成される。開口部50および開口部50を形成するプロセスの明細は、本開示における開口部511、512、514、519、519'、521、522、524、529、531、532、534、534'、531'、532'、539、539'、549、549'、559、および559'に適用されうる。開口部50の幅は、0.1から200マイクロメートルまでの範囲、1から100マイクロメートルまでの範囲、0.5から30マイクロメートルまでの範囲、または5から30マイクロメートルまでの範囲など、0.1マイクロメートルより大きい値とすることができる。上面図で見た開口部50の形状は、円形としてよく、円形の開口部50の直径は、0.1から30マイクロメートルまでの範囲、または30から200マイクロメートルまでの範囲など、0.1マイクロメートルより大きい値であるものとしてよい。あるいは、上面図で見た開口部50の形状は、正方形としてよく、正方形の開口部50の幅は、0.1から30マイクロメートルまでの範囲、または30から200マイクロメートルまでの範囲など、0.1マイクロメートルより大きい値であるものとしてよい。あるいは、上面図で見た開口部50の形状は、六角形または八角形などの多角形としてよく、多角形の開口部50は、0.1から30マイクロメートルまでの範囲、または30から200マイクロメートルまでの範囲など、0.1マイクロメートルより大きい幅を有するものとしてよい。あるいは、上面図で見た開口部50の形状は、矩形としてよく、矩形の開口部50は、0.1から30マイクロメートルまでの範囲、または30から200マイクロメートルまでの範囲など、0.1マイクロメートルより大きい、短い方の辺に対する幅を有するものとしてよい。内部回路20(21、22、23、および24を含む)に対するパッシベーション層5内の開口部531、532、534、531'、532'、534'、511、512、および514の幅は、0.1から100マイクロメートルまでの範囲、好ましくは0.1から30マイクロメートルまでの範囲など、0.1マイクロメートルより大きいものとしてよい。電圧レギュレータまたはコンバータ回路41用の開口部519、519'、および529、オフチップバッファ42およびオフチップESD回路43用の開口部539および539'、ならびにESD回路44用の開口部549、549'、559、および559'は、1から150マイクロメートルまでの範囲、好ましくは5から100マイクロメートルまでの範囲で、開口部531、532、534、511、512、および514の幅より大きい幅を有することができる。あるいは、電圧レギュレータまたはコンバータ回路41用の開口部519、519'、および529、オフチップバッファ42およびオフチップESD回路43用の開口部539および539'、ならびにESD回路44用の開口部549、549'、559、および559'は、0.1から30マイクロメートルまでの範囲で、開口部531、532、および534の幅より大きい幅を有することができる。パッシベーション層5内の開口部50は、細線金属層60の複数の金属パッドもしくはトレース600の複数の領域の上にあり、それらの領域を露出し、金属パッドまたはトレース600の領域は、開口部50の底部にある。金属パッドまたはトレース600は、アルミニウムまたは電気メッキされた銅を含むものとしてよい。
ウェハ10は、MOSトランジスタ2’のゲート長もしくは実効チャネル長によって定められる、1μm、0.8μm、0.6μm、0.5μm、0.35μm、0.25μm、0.18μm、0.25μm、0.13μm、90nm、65nm、45nm、35nm、または25nm技術などの、さまざまな世代のICプロセス技術を使用して製造することができる。ウェハ10は、フォトリソグラフィプロセスを使用して加工することができる。フォトリソグラフィプロセスは、フォトレジストのコーティング、露光、および現像を含むものとしてよい。ウェハ10を加工するために使用されるフォトレジストは、0.1から4マイクロメートルまでの範囲の厚さを有する。ステッパーまたはスキャナー、例えば、5Xステッパーまたはスキャナーを使用してフォトレジストを露光することができる。5Xとは、光線がフォトマスクからウェハ上に投射されたときにフォトマスク(通常は石英で作られる)上の寸法がウェハ上で縮小され、フォトマスク上の特徴の寸法がウェハの寸法の5倍になることを意味する。スキャナーは、最新世代のICプロセス技術において使用されており、通常、分解能を高めるために4X寸法縮小とともに使用される。ステッパーまたはスキャナーで使用される光線の波長は、436nm(g線)、365nm(i線)、248nm(深紫外線、DUV)、193nm(DUV)、または157nm(DUV)、または13.5nm(極紫外線、EUV)とすることができる。高屈折率浸漬フォトリソグラフィも、ウェハ10内に細線特徴を形成するために使用される。
ウェハ10は、好ましくは、クラス10またはそれよりもよい、例えばクラス1のクリーンルーム内で加工される。クラス10のクリーンルームにおいて許容される1立方フィート当たり最大粒子数は、1μmを超える粒径で1、0.5μmを超える粒径で10、0.3μmを超える粒径で30、0.2μmを超える粒径で75、0.1μmを超える粒径で350であるが、クラス1のクリーンルームにおいて許容される最大粒子数は、0.5μmを超える粒径で1、0.3μmを超える粒径で3、0.2μmを超える粒径で7、0.1μmを超える粒径で35となっている。
銅が細線金属層60として使用され、パッシベーション層5内の開口部50によって露出される場合、661、662、664、669、および669’を含む金属キャップ66は、好ましくは、図15Bに示されているように露出した銅パッドまたはトレースを腐食から保護するために使用される。0.4から3マイクロメートルまでの範囲の厚さを有する金属キャップ66は、アルミニウム含有層(アルミニウム層、アルミニウム銅合金層、またはAl−Si−Cu合金層など)、金層、Ti層、TiW層、Ta層、TaN層、またはNi層を含む。金属キャップ66が、アルミニウム含有層(アルミニウム層、アルミニウム銅合金層、またはAl−Si−Cu合金層など)である場合、0.01から0.7マイクロメートルまでの範囲の厚さを有するバリア層が、アルミニウムキャップ66と銅パッドもしくはトレースとの間に形成され、バリア層は、Ti、TiW、TiN、Ta、TaN、Cr、またはNiを含む。例えば、0.01から0.7マイクロメートルまでの範囲の厚さを有するバリア層を開口部50によって露出されている銅パッドまたはトレース上に形成することができ、0.4から3マイクロメートルまでの範囲の厚さを有するアルミニウム含有層が、バリア層上に形成され、このバリア層は、チタン、チタンタングステン合金、窒化チタン、タンタル、窒化タンタル、クロム、または高融点金属の合金から作ることができ、アルミニウム含有層は、アルミニウム層、アルミニウム銅合金層、またはAl−Si−Cu合金層であるものとしてよい。本開示のすべての実施形態において金属キャップ66を持つウェハまたはチップをオプションとして使用することができる。
図15C〜15Kは、図15Aまたは図15Bに示されているウェハ10の上にオーバーパッシベーションスキーム102を加工するプロセスステップを示している。図15C〜15Kに示されているプロセスステップは、例えばオーバーパッシベーション金属の2つの層を持ち、内部回路20とオフチップ回路40とを相互接続するための完全な設計アーキテクチャを備える、図10Eに示されている構造を形成するために使用される。この例は、2つのオーバーパッシベーション金属層を示しているが、1つの金属層、3つの金属層、4つの金属層、またはそれ以上の数の金属層を、図15C〜15Kで説明されている、同じもしくは類似の方法、および同じもしくは類似の明細を使用して、パッシベーション層5の上に形成することができる。言い換えると、以下の説明および明細が、本開示のすべての実施形態に当てはまるということである。
図15Kを参照すると、図15Aまたは図15Bで説明されているウェハ10の上にオーバーパッシベーションスキーム102が形成されうることがわかる。オーバーパッシベーションスキーム102は、オーバーパッシベーション金属80およびオーバーパッシベーションポリマーもしくは絶縁体90を備える。オーバーパッシベーション金属80は、1つ、2つ、3つ、4つ、またはそれ以上の数の金属層を含む。2つの金属層を含める例では、オーバーパッシベーション金属80は、第1の金属層801および第2の金属層802を含む。金属層801および金属層801を形成するプロセスの明細は、本開示の金属層811、821、および831に適用され、金属層802および金属層802を形成するプロセスの明細は、本開示の金属層812および832に適用されうる。
オーバーパッシベーション金属層80内で使用される金属は、主に、銅、金、銀、パラジウム、ロジウム、白金、ルテニウム、およびニッケルである。オーバーパッシベーション金属スキーム80内の金属線、トレース、またはプレーンは、通常、金属の複合層をスタック内に収容する。図15Kに示されている断面は、オーバーパッシベーション金属層80のそれぞれにおける2つの複合層8001および8002を示しており、これは、それぞれ、パターン形成回路層811の2つの複合層8111および8112として、それぞれ、パターン形成回路層821の2つの複合層8211および8212として、それぞれ、パターン形成回路層812の2つの複合層8121および8122として、それぞれ、パターン形成回路層831の2つの複合層8311および8312として、および、それぞれ、パターン形成回路層832の2つの複合層8321および8322として施されうる。
それぞれのオーバーパッシベーション金属層80の底層は、接着/バリア層(図示せず)および接着/バリア層上のシード層(図示せず)を含む、接着/バリア/シード層8001(8011および8021を含む)である。接着/バリア/シード層8001(8011および8021を含む)および接着/バリア/シード層を形成するプロセスの明細は、接着/バリア/シード層8111、8121、8211、8311、および8321に適用されうる。それぞれのオーバーパッシベーション金属層80の最上層は、8012および8022を含むバルク金属層8002である。バルク金属層8002(8012および8022を含む)およびバルク金属層を形成するプロセスの明細は、バルク金属層8112、8122、8212、8312、および8322に適用されうる。
接着/バリア/シード層8001の底部の接着/バリア層の材料は、Ti(チタン)、W、Co、Ni、TiN(窒化チタン)、TiW(チタンタングステン合金)、V、Cr(クロム)、Cu、CrCu、Ta(タンタル)、TaN(窒化タンタル)、または上記材料の合金もしくは複合層とすることができる。接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、電気メッキ、無電解メッキ、化学気相成長法(CVD)、またはPVD(スパッタリングまたは蒸着など)によって形成され、例えば金属スパッタリングプロセスなどのPVD(物理的気相成長法)によって蒸着されうる。接着/バリア層の厚さは、0.02から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい。
例えば、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲の厚さを有するチタン層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主にアルミニウムから作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタンタングステン合金層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主にアルミニウムから作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化チタン層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主にアルミニウムから作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するクロム層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主にアルミニウムから作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化タンタル層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主にアルミニウムから作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するタンタル層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主にアルミニウムから作られる、パッド上に、スパッタリングすることによって形成されうる。
例えば、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタン層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主に銅から作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタンタングステン合金層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主に銅から作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化チタン層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主に銅から作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するクロム層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主に銅から作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化タンタル層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主に銅から作られる、パッド上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するタンタル層を、ポリマー層95上に、またポリマー層95内の開口部950によって露出される、主に銅から作られる、パッド上に、スパッタリングすることによって形成されうる。
例えば、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタン層を、ポリマー層95上に、また銅パッドの上の金属キャップ66の、ポリマー層95内の開口部950によって露出される、アルミニウム含有層(アルミニウム層、アルミニウム銅合金層、またはAl−Si−Cu合金層など)上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタンタングステン合金層を、ポリマー層95上に、また銅パッドの上の、ポリマー層95内の開口部950によって露出される、金属キャップ66のアルミニウム含有層(アルミニウム層、アルミニウム銅合金層、またはAl−Si−Cu合金層など)上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化チタン層を、ポリマー層95上に、また銅パッドの上の金属キャップ66の、ポリマー層95内の開口部950によって露出される、アルミニウム含有層(アルミニウム層、アルミニウム銅合金層、またはAl−Si−Cu合金層など)上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するクロム層を、ポリマー層95上に、また銅パッドの上の、ポリマー層95内の開口部950によって露出される、金属キャップ66のアルミニウム含有層(アルミニウム層、アルミニウム銅合金層、またはAl−Si−Cu合金層など)上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化タンタル層を、ポリマー層95上に、また銅パッドの上の金属キャップ66の、ポリマー層95内の開口部950によって露出される、アルミニウム含有層(アルミニウム層、アルミニウム銅合金層、またはAl−Si−Cu合金層など)上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8011の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するタンタル層を、ポリマー層95上に、また銅パッドの上の、ポリマー層95内の開口部950によって露出される、金属キャップ66のアルミニウム含有層(アルミニウム層、アルミニウム銅合金層、またはAl−Si−Cu合金層など)上に、スパッタリングすることによって形成されうる。
例えば、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタン層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の金層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタンタングステン合金層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の金層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化チタン層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の金層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するクロム層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の金層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化タンタル層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の金層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するタンタル層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の金層上に、スパッタリングすることによって形成されうる。
例えば、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタン層を、ポリマー層98上に、またポリマー層98内の複数の開口部980によって露出される導電性バルク層8012の銅層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタンタングステン合金層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の銅層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化チタン層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の銅層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するクロム層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の銅層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化タンタル層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の銅層上に、スパッタリングすることによって形成されうる。あるいは、接着/バリア/シード層8021の底部の接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するタンタル層を、ポリマー層98上に、またポリマー層98内の開口部980によって露出される導電性バルク層8012の銅層上に、スパッタリングすることによって形成されうる。
接着/バリア/シード層8001の最上部のシード層は、その後の電気メッキプロセスのために、通常、好適な1つまたは複数のプロセスによって、例えば、電気メッキ、無電解メッキ、CVD、またはPVD(スパッタリングなど)によって形成され、例えば金属スパッタリングプロセスなどのPVDによって蒸着される。その後の電気メッキプロセスにおいて形成される導電性バルク金属と同じ金属材料から通常は作られるシード層に使用される材料は、Au、Cu、Ag、Ni、Pd、Rh、Pt、またはRuとすることができる。シード層の材料は、シード層上に形成される電気メッキされた金属層の材料によって異なる。金層をシード層上に電気メッキする場合、金は、シード層に好ましい材料である。銅層をシード層上に電気メッキする場合、銅は、シード層に好ましい材料である。電気メッキするシード層の厚さは、0.05から1.2μmまでの範囲、例えば、0.05から0.8μmまでの範囲である。
例えば、接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタン層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、チタン層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタンタングステン合金層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、チタンタングステン合金層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化チタン層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、窒化チタン層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するクロム層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、クロム層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化タンタル層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、窒化タンタル層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するタンタル層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、タンタル層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する金層をスパッタリングすることによって形成されうる。
例えば、接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタン層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、チタン層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するチタンタングステン合金層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、チタンタングステン合金層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化チタン層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、窒化チタン層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するクロム層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、クロム層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する窒化タンタル層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、窒化タンタル層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。接着/バリア/シード層8001の底部の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するタンタル層をスパッタリングすることによって形成される場合、接着/バリア/シード層8001の最上部のシード層は、好適な1つまたは複数のプロセスによって、例えば、タンタル層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する銅層をスパッタリングすることによって形成されうる。
導電性バルク層8002は、低抵抗の導電性をもたらすように形成され、通常は、好適な1つまたは複数のプロセスによって、例えば、2から100μmまでの範囲、例えば3から20μmまでの範囲の厚さの電気メッキを行うことによって形成される。好適な1つまたは複数のプロセスによって、例えば、電気メッキプロセスまたは無電解メッキプロセスを含むプロセスによって形成される、導電性バルク層8002の金属材料として、Au、Cu、Ag、Ni、Pd、Rh、Pt、またはRuが挙げられる。
例えば、導電性バルク層8002は、好適な1つまたは複数のプロセスによって、例えば、接着/バリア/シード層8001の最上部の、金で作られているシード層上に、2から100μmまでの範囲、好ましくは3から20μmまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。あるいは、導電性バルク層8002は、好適な1つまたは複数のプロセスによって、例えば、接着/バリア/シード層8001の最上部の、銅で作られているシード層上に、2から100μmまでの範囲、好ましくは3から20μmまでの範囲の厚さを有する銅層を電気メッキすることによって形成されうる。あるいは、導電性バルク層8002は、好適な1つまたは複数のプロセスによって、例えば、接着/バリア/シード層8001の最上部の、銅で作られているシード層上に、1.5から90μmまでの範囲、好ましくは2.5から10μmまでの範囲の厚さを有する銅層を電気メッキし、次いで、銅層上に、0.5から10μmまでの範囲の厚さを有する金層を電気メッキすることによって形成することができ、銅層と金層の厚さは、2から100μmまでの範囲、好ましくは3から20μmまでの範囲内である。あるいは、導電性バルク層8002は、好適な1つまたは複数のプロセスによって、例えば、接着/バリア/シード層8001の最上部の、銅で作られているシード層上に、3から20μmまでの範囲、好ましくは3から15μmまでの範囲の厚さを有する銅層を電気メッキし、次いで、銅層上に、0.5から5μmまでの範囲、好ましくは1から3μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、ニッケル層上に、0.03から0.5μmまでの範囲、好ましくは0.05から0.1μmまでの範囲の厚さを有する金層を電気メッキすることによって形成されうる。あるいは、導電性バルク層8002は、好適な1つまたは複数のプロセスによって、例えば、接着/バリア/シード層8001の最上部の、銅で作られているシード層上に、3から20μmまでの範囲、好ましくは3から15μmまでの範囲の厚さを有する銅層を電気メッキし、次いで、銅層上に、0.5から5μmまでの範囲、好ましくは1から3μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、ニッケル層上に、0.03から0.5μmまでの範囲、好ましくは0.05から0.1μmまでの範囲の厚さを有する金層を無電解メッキすることによって形成されうる。あるいは、導電性バルク層8002は、好適な1つまたは複数のプロセスによって、例えば、接着/バリア/シード層8001の最上部の、銅で作られているシード層上に、3から20μmまでの範囲、好ましくは3から15μmまでの範囲の厚さを有する銅層を電気メッキし、次いで、銅層上に、0.5から5μmまでの範囲、好ましくは1から3μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、ニッケル層上に、0.03から0.5μmまでの範囲、好ましくは0.05から0.1μmまでの範囲の厚さを有するパラジウム層を電気メッキすることによって形成されうる。あるいは、導電性バルク層8002は、好適な1つまたは複数のプロセスによって、例えば、接着/バリア/シード層8001の最上部の、銅で作られているシード層上に、3から20μmまでの範囲、好ましくは3から15μmまでの範囲の厚さを有する銅層を電気メッキし、次いで、銅層上に、0.5から5μmまでの範囲、好ましくは1から3μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、ニッケル層上に、0.03から0.5μmまでの範囲、好ましくは0.05から0.1μmまでの範囲の厚さを有するパラジウム層を無電解メッキすることによって形成されうる。
オプションとして、保護または拡散障壁を目的としてキャップ/バリア金属層(図示せず)を追加する。キャップ/バリア層は、好適な1つまたは複数のプロセスによって、例えば、電気メッキ、無電解メッキ、CVD、またはPVDスパッタリング金属によって形成され、例えば電気メッキによって蒸着されうる。キャップ/バリア層の厚さは、0.05から5μmまでの範囲、例えば、0.5から3μmまでの範囲である。キャップ/バリア層は、Ni、Co、またはV層とすることができる。他のオプションとして、特にオーバーパッシベーション金属80(ポリマー誘電体が2つの隣接する金属層の間にある1つまたは複数の金属層内の)の最上金属層に対する、アセンブリまたはパッケージングを目的とする、導電性バルク金属層8002およびキャップ/バリア層(図示せず)の上のアセンブリ接触層(assembly-contact layer)(図示せず)がある。
最上のポリマー層99内の開口部990(第1の実施形態では9919および9929、第3の実施形態では9939および9939’、第4の実施形態では9949および9949’を含む)は、最上のオーバーパッシベーション金属層の接点8000(第1の実施形態では接点8110および8120、第3の実施形態では接点8310および8320、ならびに第4の実施形態では接点8110および8120を含む)を露出する。アセンブリ接触金属層は、ワイヤボンディング可能であり、および/またはハンダ濡れ性を有し、ワイヤボンディング、金接続、ハンダボール実装、および/またはハンダ接続に使用される。アセンブリ接触金属層はAu、Ag、Pt、Pd、Rh、またはRuとすることができる。ポリマー開口部900によって露出されているアセンブリ接触金属層への接合部は、ボンディングワイヤ、ハンダボール(ハンダボール実装)、金属ボール(金属ボール実装)、他の基板またはチップ上の金属バンプ、他の基板またはチップ上の金バンプ、他の基板またはチップ上の金属ポスト、他の基板またはチップ上の銅ポストとすることができる。
オーバーパッシベーション金属線、トレース、またはプレーンは、いくつかの例として、下から上へ、(1)TiW/スパッタリングされたシードAu/電気メッキされたAu、(2)Ti/スパッタリングされたシードAu/電気メッキされたAu、(3)Ta/スパッタリングされたシードAu/電気メッキされたAu、(4)Cr/スパッタリングされたシードCu/電気メッキされたCu、(5)TiW/スパッタリングされたシードCu/電気メッキされたCu、(6)Ta/スパッタリングされたシードCu/電気メッキされたCu、(7)Ti/スパッタリングされたシードCu/電気メッキされたCu、(8)Cr、TiW、Ti、またはTa/スパッタリングされたシードCu/電気メッキされたCu/電気メッキされたNi、(9)Cr、TiW、Ti、またはTa/スパッタリングされたシードCu/電気メッキされたCu/電気メッキされたNi/電気メッキされたAu、Ag、Pt、Pd、Rh、またはRu、(10)Cr、TiW、Ti、またはTa/スパッタリングされたシードCu/電気メッキされたCu/電気メッキされたNi/無電解メッキされたAu、Ag、Pt、Pd、Rh、またはRuのうちの1つのスタックとすることができる。オーバーパッシベーション金属層80のそれぞれは、2から150μmまでの範囲、例えば3から20μmまでの範囲の厚さを有し、オーバーパッシベーション金属線またはトレースの水平方向の設計ルール(幅)は1から200μmまでの範囲、例えば2から50μmまでの範囲である。オーバーパッシベーション金属プレーンも、特に電源またはグランドプレーンに関する例であり、幅は200μmより大きい。2つの隣接する金属線、トレース、および/またはプレーンの間の最小隙間は、1から500μmまでの範囲、例えば2から150μmまでの範囲である。
本開示のいくつかのアプリケーションでは、金属線、トレース、またはプレーンは、オプションの接着/バリア層(Ti、TiW、TiN、Ta、またはTaN層を含む)がアルミニウム層の下にある、2から6μmまでの範囲、例えば3から5μmまでの範囲の厚さを有するスパッタリングされたアルミニウムのみを含みうる。
図15Lを参照すると、オプションとして、オーバーパッシベーション金属スキーム80の、開口部990によって露出される、接点8000上に接触構造89を形成することができることがわかる。接触構造89は、金属バンプ、ハンダバンプ、ハンダボール、金バンプ、銅バンプ、金属パッド、ハンダパッド、金パッド、金属ポスト、ハンダポスト、金ポスト、または銅ポストとすることができる。接触構造89は、金属層891および89cを備えることができる。金属層89cの下の金属層891は、Au、Ti、TiW、TiN、Cr、Cu、CrCu、Ta、TaN、Ni、NiV、V、またはCo層、または前記材料の複合層を含む。接触構造89の例示的なスタック(金属層891および89cを含む)は、下から上へ、(1)Ti/Auパッド(厚さ1〜10μmのAu層)、(2)TiW/Auパッド(厚さ1〜10μmのAu層)、(3)Ni/Auパッド(厚さ0.5〜10μmのNi層、厚さ0.2〜10μmのAu層)、(4)Ti/Auバンプ(厚さ7〜40μmのAu層)、(5)TiW/Auバンプ(厚さ7〜40μmのAu層)、(6)Ni/Auバンプ(厚さ0.5〜10μmのNi層、厚さ7〜40μmのAu層)、(7)Ti、TiWまたはCr/Cu/Ni/Auパッド(厚さ0.1〜10μmの銅層、厚さ0.2〜10μmのAu層)、(8)Ti、TiW、Cr、CrCu、またはNiV/Cu/Ni/Auバンプ(厚さ0.1〜10μmの銅層、厚さ7〜40μmのAu層)、(9)Ti、TiW、Cr、CrCu、またはNiV/Cu/Ni/ハンダパッド(厚さ0.1〜10μmの銅層、厚さ0.2〜30μmのハンダ層)、(10)Ti、TiW、Cr、CrCu、またはNiV/Cu/Ni/ハンダバンプまたはハンダボール(厚さ0.1〜10μmの銅層、厚さ10〜500μmのハンダ層)、(11)Ti、TiW、Cr、CrCu、またはNiV/Cuポスト(厚さ10〜300μmの銅層)、(11)Ti、TiW、Cr、CrCu、またはNiV/Cuポスト/Ni(厚さ10〜300μmの銅層)、(12)Ti、TiW、Cr、CrCu、またはNiV/Cuポスト/Ni/ハンダ(厚さ10〜300μmの銅層、厚さ1〜20μmのハンダ層)、(13)Ti、TiW、Cr、CrCu、またはNiV/Cuポスト/Ni/ハンダ(厚さ10〜300μmの銅層、厚さ20〜100μmのハンダ層)である。組み立て方法は、ワイヤボンディング、タブボンディング、チップオングラス(COG)、チップオンボード(COB)、BGA基板上のフリップチップ、チップオンフィルム(COF)、チップオンチップスタック相互接続、チップオンSiサブストレート(chip-on-Si-substrate)スタック相互接続、などとすることができる。
例えば、接触構造89は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する、チタン層またはチタンタングステン合金層などのチタン含有層を、ポリマー層99上に、また開口部990によって露出される銅、ニッケル、または金の接点8000上に、スパッタリングし、次いで、チタン含有層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する、金で作られたシード層をスパッタリングし、次いで、シード層上に、ポジ型フォトレジスト層などの、フォトレジスト層をスピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してフォトレジスト層を露光し、フォトレジスト層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をフォトレジスト層に照射し、次いで、露光フォトレジスト層を現像し、現像されたフォトレジスト層内の開口部で接点8000の上のシード層を露出させ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、フォトレジスト層内の開口部によって露出される、シード層上に1から10μmまでの範囲の厚さを有する金層を電気メッキし、次いで、アミドとともに有機溶液を使用して、現像されたフォトレジストを除去し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層および金層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、ドライエッチング法、またはウェットエッチング法で金層の下にないシード層を除去し、次いで、ドライエッチング法、またはウェットエッチング法で金層の下にないチタン含有層を除去することによって形成されうる。ウェットエッチング法に関しては、金のシード層を、ヨウ化カリウムを含む溶液などの、ヨウ素含有溶液でエッチングすることができる。チタン含有層がチタン層である場合、チタン層を、フッ化水素を含む溶液でウェットエッチングすることができる。チタン含有層がチタンタングステン合金層である場合、チタンタングステン合金層を、過酸化水素を含む溶液でウェットエッチングすることができる。ドライエッチング法に関しては、金のシード層は、イオンミリングプロセスを使って、またはArスパッタリングエッチングプロセスを使って除去することができ、チタン含有層は、塩素含有プラズマエッチングプロセスを使って、またはRIEプロセスを使ってエッチングすることができる。したがって、金属層891は、チタン含有層およびチタン含有層上の、金で作られたシード層から形成され、金属層89cは、金属層891のシード層上にある金から形成されうる。
例えば、接触構造89は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する、チタン層またはチタンタングステン合金層などのチタン含有層を、ポリマー層99上に、また開口部990によって露出される銅、ニッケル、または金の接点8000上に、スパッタリングし、次いで、チタン含有層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する、銅で作られたシード層をスパッタリングし、次いで、シード層上に、ポジ型フォトレジスト層などの、フォトレジスト層をスピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してフォトレジスト層を露光し、フォトレジスト層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をフォトレジスト層に照射し、次いで、露光フォトレジスト層を現像し、現像されたフォトレジスト層内の開口部で接点8000の上のシード層を露出させ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、フォトレジスト層内の開口部によって露出されるシード層上に、1から10μmまでの範囲、好ましくは1から5μmまでの範囲の厚さを有する銅層を電気メッキし、次いで、開口部内の銅層上に、0.5から5μmまでの範囲、好ましくは0.5から1μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、開口部内のニッケル層上に、50から150μmまでの範囲、好ましくは80から130μmまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキし、次いで、アミドとともに有機溶液を使用して、現像されたフォトレジスト層を除去し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層およびスズ含有層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないシード層を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないチタン含有層を除去し、次いで、スズ含有層をリフローで接合することによって形成されうる。ウェットエッチング法に関しては、銅のシード層を、NH4OHを含む溶液でエッチングすることができる。チタン含有層がチタン層である場合、チタン層を、フッ化水素を含む溶液でウェットエッチングすることができる。チタン含有層がチタンタングステン合金層である場合、チタンタングステン合金層を、過酸化水素を含む溶液でウェットエッチングすることができる。ドライエッチング法に関しては、銅のシード層は、Arスパッタリングエッチングプロセスを使って除去することができ、チタン含有層は、塩素含有プラズマエッチングプロセスを使って、またはRIEプロセスを使ってエッチングすることができる。したがって、金属層891は、チタン含有層およびチタン含有層上の、銅で作られたシード層から形成され、金属層89cは、シード層上の銅層、銅層上のニッケル層、およびニッケル層上のスズ含有層から形成されうる。
例えば、接触構造89は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するクロム層を、ポリマー層99上に、また開口部990によって露出される銅、ニッケル、または金の接点8000上に、スパッタリングし、次いで、クロム層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する、銅で作られたシード層をスパッタリングし、次いで、シード層上に、ポジ型フォトレジスト層などの、フォトレジスト層をスピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してフォトレジスト層を露光し、フォトレジスト層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をフォトレジスト層に照射し、次いで、露光フォトレジスト層を現像し、現像されたフォトレジスト層内の開口部で接点8000の上のシード層を露出させ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、フォトレジスト層内の開口部によって露出されるシード層上に、1から10μmまでの範囲、好ましくは1から5μmまでの範囲の厚さを有する銅層を電気メッキし、次いで、開口部内の銅層上に、0.5から5μmまでの範囲、好ましくは0.5から1μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、開口部内のニッケル層上に、50から150μmまでの範囲、好ましくは80から130μmまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキし、次いで、アミドとともに有機溶液を使用して、現像されたフォトレジストを除去し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層およびスズ含有層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないシード層を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないクロム層を除去し、次いで、スズ含有層をリフローで接合することによって形成されうる。ウェットエッチング法に関しては、銅のシード層を、NH4OHを含む溶液でエッチングすることができ、クロム層を、フェリシアン化カリウムを含む溶液でエッチングすることができる。ドライエッチング法に関しては、銅のシード層を、Arスパッタリングエッチングプロセスで除去することができる。したがって、金属層891は、クロム層およびクロム層上の、銅で作られたシード層から形成され、金属層89cは、シード層上の銅層、銅層上のニッケル層、およびニッケル層上のスズ含有層から形成されうる。
例えば、接触構造89は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する、タンタル層または窒化タンタル層などのタンタル含有層を、ポリマー層99上に、また開口部990によって露出される銅、ニッケル、または金の接点8000上に、スパッタリングし、次いで、タンタル含有層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する、銅で作られたシード層をスパッタリングし、次いで、シード層上に、ポジ型フォトレジスト層などの、フォトレジスト層をスピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してフォトレジスト層を露光し、フォトレジスト層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をフォトレジスト層に照射し、次いで、露光フォトレジスト層を現像し、現像されたフォトレジスト層内の開口部で接点8000の上のシード層を露出させ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、フォトレジスト層内の開口部によって露出されるシード層上に、1から10μmまでの範囲、好ましくは1から5μmまでの範囲の厚さを有する銅層を電気メッキし、次いで、開口部内の銅層上に、0.5から5μmまでの範囲、好ましくは0.5から1μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、開口部内のニッケル層上に、50から150マイクロメートルまでの範囲、好ましくは80から130マイクロメートルまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキし、次いで、アミドとともに有機溶液を使用して、現像されたフォトレジストを除去し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層およびスズ含有層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないシード層を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないタンタル含有層を除去し、次いで、スズ含有層をリフローで接合することによって形成されうる。ウェットエッチング法に関しては、銅のシード層を、NH4OHを含む溶液でエッチングすることができる。ドライエッチング法に関しては、銅のシード層を、Arスパッタリングエッチングプロセスで除去することができる。したがって、金属層891は、タンタル含有層およびタンタル含有層上の、銅で作られたシード層から形成され、金属層89cは、シード層上の銅層、銅層上のニッケル層、およびニッケル層上のスズ含有層から形成されうる。
例えば、接触構造89は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲の厚さを有する、チタン層またはチタンタングステン合金層などのチタン含有層を、ポリマー層99上に、また開口部990によって露出される銅、ニッケル、または金の接点8000上に、スパッタリングし、次いで、チタン含有層上に、0.05から1.2マイクロメートルまでの範囲、好ましくは0.05から0.8マイクロメートルまでの範囲の厚さを有する、銅で作られたシード層をスパッタリングし、次いで、シード層上に、ポジ型フォトレジスト層などの、フォトレジスト層をスピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してフォトレジスト層を露光し、フォトレジスト層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をフォトレジスト層に照射し、次いで、露光フォトレジスト層を現像し、現像されたフォトレジスト層内の開口部で接点8000の上のシード層を露出させ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、フォトレジスト層内の開口部によって露出されるシード層上に、0.5から5μmまでの範囲、好ましくは0.5から1μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、開口部内のニッケル層上に、50から150μmまでの範囲、好ましくは80から130μmまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキし、次いで、アミドとともに有機溶液を使用して、現像されたフォトレジストを除去し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層およびスズ含有層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないシード層を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないチタン含有層を除去し、次いで、スズ含有層をリフローで接合することによって形成されうる。ウェットエッチング法に関しては、銅のシード層を、NH4OHを含む溶液でエッチングすることができる。チタン含有層がチタン層である場合、チタン層を、フッ化水素を含む溶液でウェットエッチングすることができる。チタン含有層がチタンタングステン合金層である場合、チタンタングステン合金層を、過酸化水素を含む溶液でエッチングすることができる。ドライエッチング法に関しては、銅のシード層は、Arスパッタリングエッチングプロセスを使って除去することができ、チタン含有層は、塩素含有プラズマエッチングプロセスを使って、またはRIEプロセスを使ってエッチングすることができる。したがって、金属層891は、チタン含有層およびチタン含有層上の、銅で作られたシード層から形成され、金属層89cは、シード層上のニッケル層およびニッケル層上のスズ含有層から形成されうる。
例えば、接触構造89は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有するクロム層を、ポリマー層99上に、また開口部990によって露出される銅、ニッケル、または金の接点8000上に、スパッタリングし、次いで、クロム層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する、銅で作られたシード層をスパッタリングし、次いで、シード層上に、ポジ型フォトレジスト層などの、フォトレジスト層をスピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してフォトレジスト層を露光し、フォトレジスト層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をフォトレジスト層に照射し、次いで、露光フォトレジスト層を現像し、現像されたフォトレジスト層内の開口部で接点8000の上のシード層を露出させ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、フォトレジスト層内の開口部によって露出されるシード層上に、0.5から5μmまでの範囲、好ましくは0.5から1μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、開口部内のニッケル層上に、50から150μmまでの範囲、好ましくは80から130μmまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキし、次いで、アミドとともに有機溶液を使用して、現像されたフォトレジストを除去し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層およびスズ含有層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないシード層を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないクロム層を除去し、次いで、スズ含有層をリフローで接合することによって形成されうる。ウェットエッチング法に関しては、銅のシード層を、NH4OHを含む溶液でエッチングすることができ、クロム層を、フェリシアン化カリウムを含む溶液でエッチングすることができる。ドライエッチング法に関しては、銅のシード層を、Arスパッタリングエッチングプロセスで除去することができる。したがって、金属層891は、クロム層およびクロム層上の、銅で作られたシード層から形成され、金属層89cは、シード層上のニッケル層およびニッケル層上のスズ含有層から形成されうる。
例えば、接触構造89は、好適な1つまたは複数のプロセスによって、例えば、0.02から0.8μmまでの範囲、好ましくは0.05から0.5μmまでの範囲の厚さを有する、タンタル層または窒化タンタル層などのタンタル含有層を、ポリマー層99上に、また開口部990によって露出される銅、ニッケル、または金の接点8000上に、スパッタリングし、次いで、タンタル含有層上に、0.05から1.2μmまでの範囲、好ましくは0.05から0.8μmまでの範囲の厚さを有する、銅で作られたシード層をスパッタリングし、次いで、シード層上に、ポジ型フォトレジスト層などの、フォトレジスト層をスピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してフォトレジスト層を露光し、フォトレジスト層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をフォトレジスト層に照射し、次いで、露光フォトレジスト層を現像し、現像されたフォトレジスト層内の開口部で接点8000の上のシード層を露出させ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、フォトレジスト層内の開口部によって露出されるシード層上に、0.5から5μmまでの範囲、好ましくは0.5から1μmまでの範囲の厚さを有するニッケル層を電気メッキし、次いで、開口部内のニッケル層上に、50から150μmまでの範囲、好ましくは80から130μmまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、またはスズ銀銅合金などのスズ含有層を電気メッキし、次いで、アミドとともに有機溶液を使用して、現像されたフォトレジストを除去し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層およびスズ含有層から残留ポリマー材料もしくは他の汚染物質を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないシード層を除去し、次いで、ドライエッチング法、またはウェットエッチング法で銅層の下にないタンタル含有層を除去し、次いで、スズ含有層をリフローで接合することによって形成されうる。ウェットエッチング法に関しては、銅のシード層を、NH4OHを含む溶液でエッチングすることができる。ドライエッチング法に関しては、銅のシード層を、Arスパッタリングエッチングプロセスで除去することができる。したがって、金属層891は、タンタル含有層およびタンタル含有層上の、銅で作られたシード層から形成され、金属層89cは、シード層上のニッケル層およびニッケル層上のスズ含有層から形成されうる。
オーバーパッシベーションスキーム8の別の重要な特徴として、ポリマー材料をオーバーパッシベーション金属層80の上、または下、または間で、誘電体または絶縁層90として使用する点が挙げられる。図15Kを参照すると、ポリマー層90(本開示のすべての実施形態における95、98、および99を含む)を使用することで、2μmより厚い誘電体層を加工することが可能になることがわかる。ポリマー層90の厚さは、2から100μmまでの範囲、好ましくは3から30μmまでの範囲内であるものとしてよい。オーバーパッシベーションスキーム102内で使用されるポリマー層90は、ポリイミド(PI)、ベンゾシクロブテン(BCB)、エラストマー(シリコーンなど)、パリレン、エポキシ系材料(スイス、ルナン所在のSotec Microsystems社が供給しているフォトエポキシSU−8など)とすることができる。プリント回路基板産業で使用されているハンダマスク材料を、キャップ層99(すべてのオーバーパッシベーション金属層80の上の最上ポリマー層)として使用することができる。感光性ポリイミドをポリマー層90として使用することができる(本開示のすべての実施形態における95、98、および99を含む)。さらに、ポリマー層90(本開示のすべての実施形態における95、98、および99を含む)は、日本のAsahi Chemical社によって供給されている、エーテル系ポリイミド、PIMEL(商標)などの非イオン性ポリイミドであってもよい。銅は、非イオン性ポリイミドを通して拡散したり、浸透したりしないので、銅とポリイミドとの間の直接的接触が可能である。非イオン性ポリイミドを使用すると、オーバーパッシベーション金属スキーム80内の銅線またはトレースまたはプレーンの間の間隔は、1μmほどの近さとすることができる、つまり、2つの金属トレースまたはプレーンの間の間隔は、1μmより広いものとすることができる。さらに、銅線、トレース、またはプレーンには、銅層の上の、ニッケル層などの保護キャップは不要である。
いま、図15Kを参照すると、異なるオーバーパッシベーション金属層80の間の相互接続のために、または下にある細線金属層60への接続のために、または外部回路への接続のために、ポリマー層90内に開口部900が形成されていることがわかる。ポリマー開口部900(950、980、および990を含む)は、(1)第1の実施形態における9919、9929、9829、9519、9519'、9511、9512、および9514、(2)第2の実施形態における9831、9834、9531、9532、および9534、(3)第3の実施形態における9939、9939’、9839、9831、9834、9539、9539'、9531、9532、および9534、(4)第4の実施形態における9949、9949’、9849’、9511、9512、9514、および9549を含む。ポリマー層90の材料は、感光性または非感光性とすることができる。感光性ポリマー層90では、ポリマー開口部900は、露光および現像によって定められ、パターン形成される。その一方で、非感光性ポリマー層90については、開口部900は、最初にポリマー層の上にフォトレジスト層をコーティングし、フォトレジストの露光および現像を行ってフォトレジスト内に開口部を形成し、フォトレジスト開口部によって露出されたポリマー層を湿式またはドライエッチングし、ポリマー層90内に開口部900を形成し、次いでフォトレジストを除去することによって定められる。ポリマー開口部900の幅は、2から1000μmまでの範囲、例えば5から200μmまでの範囲である。いくつかの設計において、ポリマー層90は、1000μmより大きい寸法部分を除去できる。開口部900は、円形、四隅が丸い正方形、矩形、または多角形として設計される。
ポリマー層95は、パッシベーション層5と最底部のオーバーパッシベーション金属層801との間にある。ポリマー層95内の開口部950を通して、信号、電源(VddまたはVcc)および/またはグランド(Vss)が細線金属スキーム6とオーバーパッシベーション金属スキーム80との間を通る。ポリマー層95内に開口部950を形成するためのプロセスは、(1)ポリマー層95内に図3Dの開口部9519、9519’、9511、9512、および9514を形成するか、または(2)ポリマー層95内に図7Dの開口部9531、9532、および9534を形成するか、または(3)ポリマー層95内に図10D、10E、10G、10H、および10Iの開口部9539、9539’、9531、9532、および9534を形成するか、または(4)ポリマー層95内に図14Dの開口部9549、9511、9512、および9514を形成するためのプロセスに適用されうる。内部回路20(21、22、23、および24を含む)用の、それぞれパッシベーション開口部531、532、534、511、512、および514に揃えたポリマー開口部9531、9532、9534、9511、9512、および9514の幅は、1から300μmまでの範囲、例えば3から100μmまでの範囲である。電圧レギュレータまたはコンバータ回路41用の、それぞれ開口部519および519’に揃えた、開口部9519および9519’の幅、オフチップ回路40(42および43を含む)用の、それぞれ開口部539および539’に揃えた、開口部9539および9539’の幅、またはESD回路44用の、それぞれ開口部549に揃えた、開口部9549の幅は、5から1000マイクロメートルまでの範囲、例えば10から200マイクロメートルまでの範囲で、開口部9531、9532、9534、9511、9512、および9514の幅より大きいものとしてよい。ポリマー開口部950の2種類の積層ビアがパッシベーション開口部50の上にあることに留意されたい。第1の種類の積層ビアでは、ポリマー開口部、例えば図10Eに示されている開口部9531は、図10Eに示されている下にあるパッシベーション開口部531の幅に比べて大きい幅を有する。開口部9531は、コンタクトパッド6390を露出することに加えて、開口部531によって露出されているコンタクトパッド6390に隣接するパッシベーション層5の上面を露出する。この場合、より小さなパッシベーション開口部531が形成され、したがって、最上の細線金属層60のより小さなコンタクトパッドが形成されうる。この種類の積層ビアにより、最上の細線金属層60の経路密度をより高くすることができる。第2の種類の積層ビアでは、ポリマー開口部、例えば図10Eに示されている開口部9539は、図10Eに示されている下にあるパッシベーション開口部539に比べて小さい。ポリマー層95は、開口部539によって露出されるコンパクトパッド6390の周辺領域とパッシベーション層5を覆い、ポリマー層95内の開口部9531は開口部539によって露出されるコンタクトパッド6390の中心領域を露出する。この種類では、ポリマー層95は、パッシベーション開口部の側壁を覆う。ポリマー開口部の側壁は、パッシベーション開口部の側壁の勾配に比べて良好な、穏やかな勾配を形成し、その結果、接着/バリア/シード層8011に対するその後の金属スパッタリングに関して良好なステップカバレッジが得られる。良好な接着/バリア金属ステップカバレッジは、金属間化合物(IMC)が生じるのを防ぐので、チップの信頼性を確保するうえで重要である。
ポリマー層98内の開口部980は、2つのオーバーパッシベーション金属層801と802との間にある。ポリマー層98内に開口部980を形成するためのプロセスは、(1)ポリマー層98内に図3Cの開口部9829を形成するか、または(2)ポリマー層98内に図7Cの開口部9831および9834を形成するか、または(3)ポリマー層98内に図10Cおよび10Eの開口部9831、9834、および9839を形成するか、または(4)ポリマー層98内に図14Cの開口部9849’を形成するためのプロセスに適用されうる。内部回路20(21、22、23、および24を含む)用のポリマー開口部9831および9834の幅は、1から300μmまでの範囲、例えば3から100μmまでの範囲である。電圧レギュレータまたはコンバータ回路41用のポリマー開口部9829の幅、オフチップ回路40(42および43を含む)用のポリマー開口部9839の幅、またはESD回路44用のポリマー開口部9849’の幅は、5から1000μmまでの範囲、例えば10から200μmまでの範囲で、開口部9831および9834の幅より大きいものとしてよい。
キャップポリマー層99内の開口部990は、外部回路に接続するために、またはチップ検査におけるプローブ接触のために、最上金属層802の接点8000を露出する。ポリマー層99内に開口部990を形成するためのプロセスは、(1)ポリマー層99内に図3Bおよび3Dの開口部9919を形成するか、または(2)ポリマー層99内に図3Cの開口部9929を形成するか、または(3)ポリマー層99内に図10B、10D、10F、10G、10H、および10Iの開口部9939を形成するか、または(4)ポリマー層99内に図10Cおよび10Eの開口部9939’を形成するか、または(5)ポリマー層99内に図14Bおよび14Dの開口部9949を形成するか、または(6)ポリマー層99内に図14Cの開口部9949’を形成するためのプロセスに適用されうる。キャップポリマー層99内に、内部回路20(21、22、23、および24を含む)を外部回路に接続するための開口部はない。電圧レギュレータまたはコンバータ回路41用のポリマー開口部9919および9929の幅、オフチップ回路40(42および43を含む)用のポリマー開口部9939および9939’の幅、またはESD回路44用のポリマー開口部9949および9949’の幅は、5から1000μmまでの範囲、例えば10から200μmまでの範囲内とすることができる。
オーバーパッシベーションスキーム102のオーバーパッシベーション金属層80内の信号、電源、またはグランドの刺激は、細線スキーム6を通して内部回路20、電圧レギュレータまたはコンバータ回路41、オフチップ回路40、またはESD回路44に送出される。図15Aに示されている細線金属631、632、634、639、および639’は、積層ビアプラグ60’からなり、好ましくは、上側のものは下側のものの真上にあるものとしてよい。あるいは、細線金属632は、図15Aに示されている、さらには本開示のすべての実施形態において示されている、局所的細線金属層632cを含むものとしてもよい。
オーバーパッシベーションスキーム102を形成するために使用されるフォトリソグラフィは、従来のICプロセスのものと著しく異なる。同様に、オーバーパッシベーションフォトリソグラフィプロセスは、フォトレジストのコーティング、露光、および現像を含むものとしてよい。2種類のフォトレジストを使用して、オーバーパッシベーションスキーム8を形成するが、1つは、(1)好適な1つまたは複数のプロセスによって、例えば、1つまたは複数のスピンオンコーティング、または印刷によって形成される、液体フォトレジストである。液体フォトレジストは、3から60μmまでの範囲、例えば5から40μmまでの範囲の厚さを有し、もう1つは、(2)好適な1つまたは複数のプロセスによって、例えば、ラミネート法によって形成される、ドライフィルムフォトレジストである。ドライフィルムフォトレジストは、30から300μmまでの範囲、例えば50から150μmまでの範囲の厚さを有する。フォトレジストは、ポジ型とネガ型があり、例えば、高分解能用にはポジ型の厚いフォトレジストとするとよい。ポリマーが感光性である場合、そのフォトレジストに対し同じフォトリソグラフィプロセスを適用してポリマーのパターン形成を行うことができる。アライナーまたは1Xステッパーは、フォトレジストを露光する。1Xとは、光線がフォトマスクからウェハ上に投射されたときにフォトマスク(通常は石英またはガラスで作られる)上の寸法がウェハ上で縮小され、フォトマスク上の特徴の寸法がウェハの寸法と同じであることを意味する。アライナーまたは1Xステッパーで使用される光線の波長は、436nm(g線)、397nm(h線)、365nm(i線)、g/h線(g線とh線との組み合わせ)、またはg/h/i線(g線とh線とi線との組み合わせ)とすることができる。g/h線またはg/h/i線の1Xステッパー(または1Xアライナー)は光度が強く、厚いフォトレジストまたは厚い感光性ポリマーの露光に向いている。
パッシベーション層5は、下にあるMOSトランジスタおよび細線スキーム6を水分、ナトリウムもしくは他の可動イオン、金、銅、または他の遷移金属の侵入から保護するので、ウェハ上のオーバーパッシベーションスキーム102は、厳しさがクラス10以下、例えばクラス100の環境のクリーンルーム内で加工することができる。クラス100のクリーンルームにおいて許容される1立方フィート当たり最大粒子数は、5μmを超える粒径で1、1μmを超える粒径で10、0.5μmを超える粒径で100、0.3μmを超える粒径で300、0.2μmを超える粒径で750、0.1μmを超える粒径で3500である。
デバイス層2は、すべての実施形態における内部回路20(21、22、23、および24を含む)、第1の実施形態における電圧レギュレータまたはコンバータ回路41、第3の実施形態におけるオフチップ回路40(42および43を含む)、および第4の実施形態におけるESD回路44を備える。
本開示のすべての実施形態における、21、22、23、および24を含む、内部回路もしくは内部回路ユニット20は、信号ノードが外部(チップの外の)回路に接続されていない回路として定義される。内部回路もしくは内部回路ユニット20の信号が、外部回路に接続することが必要である場合、これは、外部回路に接続する前に、まず最初にオフチップ回路、例えば、ESD回路、オフチップドライバまたはオフチップレシーバ、および/または他のオフチップI/O回路を通らなければならない。他の定義では、内部回路もしくは内部回路ユニット20は、オフチップ回路を含まない。本開示における、21、22、23、および24を含む、内部回路もしくは内部回路ユニット20は、NORゲートおよびNANDゲートに加えて、インバータ、ANDゲート、ORゲート、SRAMセル、DRAMセル、不揮発性メモリセル、フラッシュメモリセル、EPROMセル、ROMセル、磁気RAM(MRAM)セル、センス増幅器、オペアンプ、加算器、マルチプレクサ、ダイプレクサ、乗算器、A/Dコンバータ、D/Aコンバータ、または他のCMOS、BiCMOS、および/またはバイポーラ回路、アナログ回路、CMOSセンサーセル、または感光ダイオードとすることができる。
さらに、内部回路もしくは内部回路ユニット20は、そのピーク入力または出力電流によって定義されうるか、または第3の実施形態において説明されているように、そのMOSトランジスタサイズとして定義されうる。オフチップバッファ42およびオフチップESD回路43を含む、オフチップ回路40も、そのピーク入力または出力電流によって定義されうるか、またはこれもまた第3の実施形態において説明されているように、そのMOSトランジスタサイズとして定義されうる。内部回路20およびオフチップ回路40の定義は、本開示の他のすべての実施形態に適用される。
ある場合には、MOSデバイスのゲートは、パッシベーション層5の上の上述の厚く幅広の金属トレース、バス、またはプレーン81、81P、82、83、83’、または85を通して他のMOSデバイスの他のゲートに接続することができる。他の場合には、MOSデバイスのゲートは、パッシベーション層5の上の上述の厚く幅広の金属トレース、バス、またはプレーン81、81P、82、83、83’、または85を通して他のMOSデバイスの他のソースに接続することができる。他の場合には、MOSデバイスのゲートは、パッシベーション層5の上の上述の厚く幅広の金属トレース、バス、またはプレーン81、81P、82、83、83’、または85を通して他のMOSデバイスのドレインに接続することができる。他の場合には、MOSデバイスのソースは、パッシベーション層5の上の上述の厚く幅広の金属トレース、バス、またはプレーン81、81P、82、83、83’、または85を通して他のMOSデバイスの他のソースに接続することができる。他の場合には、MOSデバイスのソースは、パッシベーション層5の上の上述の厚く幅広の金属トレース、バス、またはプレーン81、81P、82、83、83’、または85を通して他のMOSデバイスの他のドレインに接続することができる。他の場合には、MOSデバイスのドレインは、パッシベーション層5の上の上述の厚く幅広の金属トレース、バス、またはプレーン81、81P、82、83、83’、または85を通して他のMOSデバイスの他のドレインに接続することができる。
以下の段落では、特徴の寸法および電気的特性について説明し、これらを、本開示の例示的な実施形態に対するオーバーパッシベーションスキーム102および細線スキーム6における金属線または金属トレース80、60の間で比較する。
(1)金属線、金属トレースの厚さ:オーバーパッシベーション金属層80のそれぞれは、2から150μmまでの範囲、例えば3から20μmまでの範囲の厚さを有するが、細線金属層60のそれぞれは0.05から2μmまでの範囲、例えば0.2から1μmまでの範囲の厚さを有する。本開示の実施形態で設計されているICチップについては、オーバーパッシベーション金属線または金属トレースの厚さは、細線金属線または金属トレースの厚さより厚く、その厚さの比は2から250までの範囲、例えば4から20までの範囲内である。
(2)誘電体層の厚さ:オーバーパッシベーション誘電体(通常は、ポリマーなどの有機材料)層90のそれぞれは、2から150μmまでの範囲、例えば3から30μmまでの範囲の厚さを有するが、細線誘電体(通常は、酸化物または窒化物などの無機材料)層30のそれぞれは0.05から2μmまでの範囲、例えば0.2から1μmまでの範囲の厚さを有する。本開示の実施形態で設計されているICチップについては、オーバーパッシベーション誘電体層90(2つの隣接する金属層によって隔てられている)の厚さは、細線誘電体層30(2つの隣接する金属層によって隔てられている)の厚さより厚く、その厚さの比は2から250までの範囲、例えば4から20までの範囲内である。
(3)金属線または金属トレースのシート抵抗および抵抗:金属線または金属トレースのシート抵抗は、金属抵抗率を金属厚で割って計算される。銅の(厚さ5μm)オーバーパッシベーション金属線またはトレースのシート抵抗は、約4ミリオーム/スクエアであるが、金の(厚さ4μm)オーバーパッシベーション金属線またはトレースについては、約5.5ミリオーム/スクエアである。オーバーパッシベーション金属線、またはトレース、またはプレーンのシート抵抗は、0.1から10ミリオーム/スクエアまでの範囲、例えば1から7ミリオーム/スクエアまでの範囲内である。スパッタリングされたアルミニウムの(厚さ0.8μm)細線金属線またはトレースのシート抵抗は、約35ミリオーム/スクエアであるが、ダマシン銅の(厚さ0.9μm)細線金属線またはトレースについては、約20ミリオーム/スクエアである。細線金属線、またはトレース、またはプレーンのシート抵抗は、10から400ミリオーム/スクエアまでの範囲、例えば15から100ミリオーム/スクエアまでの範囲内である。金属線またはトレースの単位長さ当たりの抵抗は、シート抵抗をその幅で割って計算される。オーバーパッシベーション金属線またはトレースの水平方向の設計ルール(幅)は1から200μmまでの範囲、例えば2から50μmまでの範囲内であるが、線またはトレースの水平方向の設計ルール(幅)は20ナノメートルから15μmまでの範囲、例えば20ナノメートルから2μmまでの範囲内である。オーバーパッシベーション金属線またはトレースの1mm当たりの抵抗は、長さ1mm当たり2ミリオームから長さ1mm当たり5オームまでの範囲、例えば、長さ1mm当たり50ミリオームから長さ1mm当たり2.5オームまでの範囲である。細線金属線またはトレースの1mm当たりの抵抗は、長さ1mm当たり1オームから長さ1mm当たり3000オームまでの範囲、例えば、長さ1mm当たり500ミリオームから長さ1mm当たり500オームまでの範囲である。本開示の実施形態で設計されているICチップについては、オーバーパッシベーション金属線または金属トレースの単位長さ当たりの抵抗は、細線金属線または金属トレースの単位長さ当たりの抵抗より小さく、単位長さ当たりの抵抗の比(細線対オーバーパッシベーション)は3から250までの範囲、例えば10から30までの範囲内である。
(4)金属線または金属トレースの単位長さ当たりの静電容量:単位長さ当たりの静電容量は、誘電体の種類、厚さ、および金属線の幅、間隔、および厚さ、ならびに水平および垂直方向の周囲金属に関係する。ポリイミドの誘電率は、約3.3であり、BCBの誘電率は、約2.5である。図20は、同じ金属層802上の両面の2つの隣接する金属線またはトレース802yおよび802z、および金属層802の下にある金属層801上の金属線またはトレース801wがポリマー層98によって隔てられている、典型的なオーバーパッシベーション金属線もしくはトレース802xの一例を示している。同様に、図20は、同じ金属層602上の両面の2つの隣接する金属線またはトレース602yおよび602z、および金属層602の下にある金属層601上の金属線またはトレース601wが誘電体層30によって隔てられている、典型的な細線金属線もしくはトレース602xの一例を示している。典型的な金属線またはトレース802x、602xの単位長さ当たりの典型的な静電容量は、3つの構成要素、1)金属の幅と誘電体の厚さのアスペクト比の関数である、平板静電容量、Cxw(pF/mm)、2)金属の厚さと線間隔のアスペクト比の関数である、結合静電容量、Ccx(=Cxy+Cxz)、および3)金属の厚さ、間隔、および誘電体の厚さの関数である、フリンジ静電容量、Cfx(=Cfl+Cfr)を含む。オーバーパッシベーション金属線またはトレースの1mm当たりの静電容量は、長さ1mm当たり0.1pF(ピコファラッド)から長さ1mm当たり2pFまでの範囲、例えば、長さ1mm当たり0.3pFから長さ1mm当たり1.5pFまでの範囲である。細線金属線またはトレースの1mm当たりの静電容量は、長さ1mm当たり0.2pFから長さ1mm当たり4pFまでの範囲、例えば、長さ1mm当たり0.4pFから長さ1mm当たり2pFまでの範囲である。本開示の実施形態で設計されているICチップについては、オーバーパッシベーション金属線または金属トレースの単位長さ当たりの静電容量は、細線金属線または金属トレースの単位長さ当たりの静電容量より小さく、単位長さ当たりの静電容量の比(細線対オーバーパッシベーション)は1.5から20までの範囲、例えば2から10までの範囲内である。図20に示されているオーバーパッシベーションスキーム102は、ポリマー層95、98、および99、パターン形成回路層801および802、ならびに金属バンプ89を備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層98内の開口部980は、パターン形成回路層801の上にあり、ポリマー層99内の開口部990は、パターン形成回路層802の接点8000の上にあり、金属バンプ89は、開口部990内に、接点8000上に、およびポリマー層99上にある。
(5)金属線または金属トレースのRC定数:金属線または金属トレース上の信号伝搬時間は、RC遅延によって計算される。前の2つの段落(3)および(4)の説明に基づき、オーバーパッシベーション金属線またはトレース内のRC遅延は、長さ1mm当たり0.003から10ps(ピコ秒)までの範囲、例えば長さ1mm当たり0.25から2ps(ピコ秒)までの範囲内であるが、細線金属線またはトレース内のRC遅延は、長さ1mm当たり10から2000ps(ピコ秒)までの範囲、例えば長さ1mm当たり40から500ps(ピコ秒)までの範囲内である。本開示の実施形態で設計されているICチップについては、オーバーパッシベーション金属線または金属トレースの単位長さ当たりのRC伝搬時間は、細線金属線または金属トレースの単位長さ当たりのRC伝搬時間より短く、単位長さ当たりのRC伝搬時間の比(細線対オーバーパッシベーション)は5から500までの範囲、例えば10から30までの範囲内である。
図15C〜15Kは、図15Aまたは図15Bに示されているウェハ10上にオーバーパッシベーションスキーム102を形成するためのプロセスステップを示している。オーバーパッシベーション金属層80のそれぞれは、好適な1つまたは複数のプロセスによって、例えば、エンボス加工プロセス(パッシベーション層5の下のダマシン銅プロセスとは対照的な)によって形成される。
図15Cを参照すると、ポリマー層95は、ウェハ10のパッシベーション層5上に形成されうることがわかる。ポリマー層95が、液体形態をとる場合、これは、スピンオンコーティングまたは印刷によって堆積されうる。ポリマー層95がドライフィルムである場合、このドライフィルムは、好適な1つまたは複数のプロセスによって、例えば、ラミネート法によって形成される。感光性ポリマーでは、ポリマー層95は、フォトマスクを通じてアライナーもしくは1Xステッパーによって露光される。ポリマー層95内の複数の開口部950は、開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、それらを露出する。ポリマーが非感光性である場合、開口部950のパターン形成を行うために、フォトレジストを使用するフォトリソグラフィプロセスが必要である。ポリマー開口エッチングの際に差分エッチング速度(differentiating etch rate)が遅い、ハードマスク(酸化ケイ素層など、図示せず)は、適宜、フォトレジストをコーティングする前にポリマー層95上に堆積できる。代替として、パターン形成ポリマー層95(開口部950を持つポリマー層である)は、好適な1つまたは複数のプロセスによって、例えば、パターン形成孔を持つ金属スクリーンを使用するスクリーン印刷法によっても形成されうる。スクリーン印刷法では、露光も現像も必要ない。ポリマー層95がドライフィルムである場合、他の代替的手段として、ウェハ上にラミネートする前に1枚のドライフィルムに孔をいくつか形成するとよい。この代替的手段では、露光も現像も必要ない。
例えば、ポリマー層95は、好適な1つまたは複数のプロセスによって、例えば、6から50マイクロメートルまでの範囲の厚さを有する、エステルタイプの前駆体を含む、ネガ型感光性ポリイミド層を、パッシベーション層5上に、またパッシベーション層5内の開口部50によって露出されている金属パッドまたはトレース600上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリイミド層を露光し、ベークしたポリイミド層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリイミド層に照射し、次いで、露光したポリイミド層を現像して、金属パッドまたはトレース600を露出する複数の開口部を露光したポリイミド層内に形成し、次いで、現像されたポリイミド層を、290から400℃までの範囲のピーク温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に3から25マイクロメートルまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりポリイミド層内の開口部によって露出される金属パッドまたはトレース600の上側表面から残留ポリマー材料もしくは他の汚染物質を除去して、ポリマー層95内の開口部950により金属パッドまたはトレース600が露出している状態でポリマー層95にパターン形成を行えるようにすることによって形成されうる。あるいは、現像されたポリイミド層を、150から290℃までの範囲、好ましくは260から280℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱することができる。
最底部のパターン形成金属層801とパッシベーション層5との間のポリマー層95は、パッシベーション層5の表面を平坦化し、オーバーパッシベーション金属スキーム80を下にある細線金属スキーム6から減結合し、その結果、高い電気的性能が得られる。いくつかのアプリケーションにおいて、ポリマー層95は、コスト削減のため省くこともできる。開口部950は、パッシベーション開口部50に揃えられることに留意されたい。ポリマー開口部950は、パッシベーション開口部50より大きくても、また小さくてもよいことにも留意されたい。代替として、図15Aのウェハ10に関して言うと、パッシベーション層5内には開口部がなく、次にポリマー層95をパッシベーション層5上にスピンコーティングし、その後ポリマー層95内にパッシベーション層5を露出する開口部950を形成し、次いで開口部950の下のパッシベーション層5内に開口部50を形成し、細線金属スキーム6のコンタクトパッドを露出させる。このオプションでは、ポリマー開口部950は、パッシベーション層5内の開口部50とほぼ同じサイズである。
図15D〜15Hは、パターン形成金属層801を形成するためのエンボス加工プロセスを示している。図15Dを参照すると、図15Cに例示されているポリマー層95を形成した後に、接着/バリア/シード層8011は、スパッタリングプロセスまたは蒸着プロセスなどの、物理的気相成長(PVD)プロセスを使用することにより、ポリマー層95上に、またポリマー層95内の開口部950によって露出されている金属パッドまたはトレース600の領域上に形成されることがわかる。接着/バリア/シード層8011は、ポリマー層95上および開口部950によって露出される金属パッドまたはトレース600の領域上の接着/バリア層、ならびに接着/バリア層上のシード層を含む。接着/バリア層の材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層の材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
例えば、接着/バリア/シード層8011の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.02から0.8マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層95上に、また開口部950によって露出される金属パッドまたはトレース600の領域上に、スパッタリングすることによって形成される場合、接着/バリア/シード層8011のシード層は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.7マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア/シード層8011の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.02から0.8マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層95上に、また開口部950によって露出される金属パッドまたはトレース600の領域上に、スパッタリングすることによって形成される場合、接着/バリア/シード層8011のシード層は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.7マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア/シード層8011の接着/バリア層が、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.02から0.8マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、ポリマー層95上に、また開口部950によって露出される金属パッドまたはトレース600の領域上に、スパッタリングすることによって形成される場合、接着/バリア/シード層8011のシード層は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.7マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成されうる。
図15Eを参照すると、図15Dに例示されている接着/バリア/シード層8011を形成した後に、フォトレジスト層71は、接着/バリア/シード層8011のシード層上に形成され、フォトレジスト層71内の複数の開口部710は、接着/バリア/シード層8011のシード層を露出することがわかる。開口部710は、後続のプロセスにおいて形成される金属線、トレース、またはプレーン、およびポリマー開口部950およびパッシベーション開口部50内の接触部を定める。接触部は、露出されている細線金属パッドまたはトレース600の上にあり、これらに接続される。
例えば、フォトレジスト層71は、好適な1つまたは複数のプロセスによって、例えば、ポジ型感光性ポリマー層を接着/バリア/シード層8011のシード層上にスピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用して感光性ポリマー層を露光し、感光性ポリマー層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線を感光性ポリマー層に照射し、次いで、露出されたポリマー層を現像し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から残留ポリマー材料もしくは他の汚染物質を除去して、開口部710により接着/バリア/シード層8011のシード層が露出している状態でフォトレジスト層71にパターン形成を行えるようにすることによって形成されうる。
図15Fを参照すると、バルク金属層8012は、電気メッキプロセスおよび/または無電解メッキプロセスを含むプロセスによって接着/バリア/シード層8011の、フォトレジスト層71内の開口部710によって露出されるシード層上に、また開口部710内に形成されうることがわかる。バルク金属層8012は、2から100マイクロメートルまでの範囲、好ましくは3から20マイクロメートルまでの範囲など、2マイクロメートルより大きい、またそれぞれ、接着/バリア/シード層8011の厚さおよび細線金属層60のそれぞれの厚さより大きい厚さを有することができる。バルク金属層8012は、5から150マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、1マイクロメートルより大きい、また細線金属層60のそれぞれの厚さより大きい幅を有することができる。
2から200マイクロメートルまでの範囲、2から50マイクロメートルまでの範囲、または2から30マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有するバルク金属層8012は、金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、もしくはニッケルの単層、または前記の金属から作られた複合層とすることができる。
例えば、バルク金属層8012は、好適な1つまたは複数のプロセスによって、例えば、2から50マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さになるように、金層を開口部710および開口部710によって露出されている接着/バリア/シード層8011のシード層、好ましくは前記の金層上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、バルク金属層8012は、好適な1つまたは複数のプロセスによって、例えば、2から200マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さになるように、銅層を開口部710および開口部710によって露出されている接着/バリア/シード層8011のシード層、好ましくは前記の銅層上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、バルク金属層8012は、好適な1つまたは複数のプロセスによって、例えば、2から100マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さになるように、ニッケル層を開口部710および開口部710によって露出されている接着/バリア/シード層8011のシード層、好ましくは前記の銅もしくはニッケル層上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、バルク金属層8012は、好適な1つまたは複数のプロセスによって、例えば、2から30マイクロメートルまでの範囲、好ましくは3から15マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さになるように、銅層を開口部710および開口部710によって露出されている接着/バリア/シード層8011のシード層、好ましくは前記の銅層上に電気メッキし、次いで、0.05から10マイクロメートルまでの範囲、好ましくは0.5から1マイクロメートルまでの範囲など、0.5マイクロメートルより大きい厚さになるように、金層を、開口部710および開口部710内の電気メッキされた銅層上に電気メッキまたは無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、バルク金属層8012は、好適な1つまたは複数のプロセスによって、例えば、2から30マイクロメートルまでの範囲、好ましくは3から15マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さになるように、銅層を開口部710および開口部710によって露出されている接着/バリア/シード層8011のシード層、好ましくは前記の銅層上に電気メッキし、次いで、0.5から5マイクロメートルまでの範囲、好ましくは1から3マイクロメートルまでの範囲など、0.5マイクロメートルより大きい厚さになるように、ニッケル層を、開口部710および開口部710内の電気メッキされた銅層上に電気メッキまたは無電解メッキし、次いで、0.03から0.5マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲など、0.03マイクロメートルより大きい厚さになるように、金層、パラジウム層、または白金層を、開口部710および開口部710内の電気メッキまたは無電解メッキされたニッケル層上に電気メッキまたは無電解メッキすることによって形成される三重金属層からなるものとしてよい。
キャップ/バリア層(図示せず)は、適宜、好適な1つまたは複数のプロセスによって、例えば、バルク金属層8012の上に電気メッキまたは無電解メッキすることによって形成されうる。アセンブリ/接触層(図示せず)も、これもまたオプションとして、電気メッキまたは無電解メッキによってバルク金属層8012およびキャップ/バリア層の上にさらに形成することができる。アセンブリ/接触層は、0.01から5マイクロメートルまでの範囲の厚さを有する金層、パラジウム層、またはルテニウム層とすることができる。
次に、図15Gを参照すると、フォトレジスト層71は、無機溶液を使用して、またはアミドとともに有機溶液を使用して、除去されることがわかる。しかし、フォトレジスト層71からの一部の残留物が、バルク金属層8012上に、また接着/バリア/シード層8011のシード層上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、バルク金属層8012から、また接着/バリア/シード層8011のシード層から、残留物を除去することができる。
図15Hを参照すると、バルク金属層8012の下にない接着/バリア/シード層8011は、その後、セルフアライン(self-aligned)ウェットおよび/またはドライエッチングによって除去されることがわかる。ウェットエッチングでバルク金属層8012の下にない底部金属層8011を除去する場合、底部金属層8011の側壁がバルク金属層8012の側壁から凹んでいるアンダーカット8011’が形成される。異方性ドライエッチングを使用してバルク金属層8012の下にない底部金属層8011を除去する場合には、アンダーカット8011’は存在しない。
例えば、接着/バリア/シード層8011のシード層が金層である場合、これは、ヨウ化カリウムを含む溶液などの、ヨウ素含有溶液を使用するか、またはイオンミリングプロセスを使用するか、またはArスパッタリングエッチングプロセスを使用してエッチングすることができる。あるいは、接着/バリア/シード層8011のシード層が銅層である場合、これは、NH4OHを含む溶液を使用するか、またはArスパッタリングエッチングプロセスを使用してエッチングすることができる。
例えば、接着/バリア/シード層8011の接着/バリア層がチタンタングステン合金層である場合、これは、過酸化水素を含む溶液を使用するか、または塩素含有プラズマエッチングプロセスを使用するか、またはRIEプロセスを使用してエッチングすることができる。あるいは、接着/バリア/シード層8011の接着/バリア層がチタン層である場合、これは、フッ化水素を含む溶液を使用するか、または塩素含有プラズマエッチングプロセスを使用するか、またはRIEプロセスを使用してエッチングすることができる。あるいは、接着/バリア/シード層8011の接着/バリア層がクロム層である場合、これは、フェリシアン化カリウムを含む溶液を使用してエッチングすることができる。
したがって、金属層8011および8012からなるパターン形成回路層801をポリマー層95上に、また開口部950によって露出される金属パッドまたはトレース600の領域上に形成し、開口部50および950を通して細線金属層60に接続することができる。あるいは、ポリマー層95を省くことができる、つまり、接着/バリア/シード層8011の接着/バリア層をパッシベーション層5上に、またパッシベーション層5内の開口部50によって露出される金属パッドまたはトレース600の領域上に形成することができる。図15Hに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに適宜切り分けることができる。
図15Hに示されているオーバーパッシベーションスキーム102は、ポリマー層95とパターン形成回路層801とを備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出される金属パッドまたはトレース600の領域の上にある。
図15Iおよび15Jは、図15C〜15Hに例示されている上述のプロセスを使用して追加のポリマー層98およびパターン形成回路層802を形成するプロセスを示している。最初に、図15Hに例示されているステップの後に、ポリマー層98を、ポリマー層95上に、またパターン形成回路層801のバルク金属層8012上に形成し、ポリマー層98内の複数の開口部280が、パターン形成回路層801のバルク金属層8012の複数の領域の上にあり、それらを露出する。次に、接着/バリア/シード層8021の接着/バリア層を、ポリマー層98上に、また開口部980によって露出されるバルク金属層8012の領域上に形成する。次に、接着/バリア/シード層8021のシード層を、接着/バリア/シード層8021の接着/バリア層上に形成する。次に、フォトレジスト層を、接着/バリア/シード層8021のシード層上に形成し、フォトレジスト層内の複数の開口部が、接着/バリア/シード層8021のシード層を露出する。次に、バルク金属層8022を、フォトレジスト層内の開口部によって露出される接着/バリア/シード層8021のシード層上に形成する。次に、フォトレジスト層を、無機溶液を使用して、またはアミドとともに有機溶液を使用して、除去する。次に、バルク金属層8022の下にない接着/バリア/シード層8021を除去する。図15I〜15Lに示されているようなポリマー層98、接着/バリア/シード層8021、およびバルク金属層8022の明細を、図15C〜15Hに例示されているようなポリマー層95、接着/バリア/シード層8011、およびバルク金属層8012の明細として参照することができる。図15I〜15Jに示されているようなパターン形成回路層98を形成するプロセスは、図15C〜15Hに例示されているようなポリマー層95を形成するプロセスとして参照できる。図15I〜15Jに示されているような接着/バリア/シード層8021を形成するプロセスは、図15C〜15Hに例示されているような接着/バリア/シード層8011を形成するプロセスとして参照できる。図15I〜15Jに示されているようなバルク金属層8022を形成するプロセスは、図15C〜15Hに例示されているようなバルク金属層8012を形成するプロセスとして参照できる。図15Iおよび15Jに例示されているプロセスは、1つまたは複数のポリマー層および1つまたは複数のパターン形成回路層をパターン形成回路層802の上、およびポリマー層98の上に形成するために繰り返すことができる。
図15Kを参照すると、図15Jに例示されているようなバルク金属層8022の下にない接着/バリア/シード層8021を除去した後に、ポリマー層99をパターン形成回路層802のバルク金属層8022上に、またパターン形成回路層802によって覆われていないポリマー層98上に形成することができることがわかる。ポリマー層99内の複数の開口部990(これらのうちの1つのみが示されている)は、パターン形成回路層802のバルク金属層8022の複数の接点8000(これらのうちの1つのみが示されている)の上にあり、接点8000を露出することができる。いくつかのアプリケーションでは、例えば、最上位のパターン形成回路層802に使用される金オーバーパッシベーション金属システムにおいて、ポリマー層99を適宜省くことができる。図15Kに示されているオーバーパッシベーションスキーム102は、ポリマー層95、98、および99ならびにパターン形成回路層801および802を備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層98内の開口部980は、パターン形成回路層801の上にあり、ポリマー層99内の開口部990は、パターン形成回路層802の接点8000の上にあり、それを露出する。
図15Kに示されている最上部のポストパッシベーション技術のオーバーパッシベーションスキーム102を形成した後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。半導体ウェハ10から切り出した半導体チップの接点8000は、(1)ワイヤボンディングプロセスの線(金線、アルミニウム線、または銅線など)、(2)他の基板(シリコンチップ、シリコン基板、セラミック基板、有機基板、BGA基板、フレキシブル基板、フレキシブルテープ、またはガラス基板など)上のバンプ(金バンプ、銅バンプ、ハンダバンプ、ニッケルバンプ、または他の金属バンプなど)であって、1から30マイクロメートルまでの範囲、好ましくは5から20マイクロメートルまでの範囲など、1マイクロメートルより大きい高さを有する、基板上のバンプ、(3)他の基板(シリコンチップ、シリコン基板、セラミック基板、有機基板、BGA基板、フレキシブル基板、フレキシブルテープ、またはガラス基板など)上のポスト(金ポスト、銅ポスト、ハンダポスト、ニッケルポスト、または他の金属ポストなど)であって、10から200マイクロメートルまでの範囲、好ましくは30から120マイクロメートルまでの範囲など、10マイクロメートルより大きい高さを有する、基板上のポスト、(4)リードフレームまたはフレキシブルテープの金属リードの端子上のバンプ(金バンプ、銅バンプ、ハンダバンプ、ニッケルバンプ、または他の金属バンプなど)であって、1から30マイクロメートルまでの範囲、好ましくは5から20マイクロメートルまでの範囲など、1マイクロメートルより大きい高さを有する、金属リード上のバンプによって外部回路に接続するために使用されうる。
あるいは、図15Lを参照すると、図15Kに示されている構造を形成した後に、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路への接続のために接触構造89を接点8000の上に形成することができることがわかる。接触構造89は、(1)好適な1つまたは複数のプロセスによって、例えば、電気メッキまたはスクリーン印刷によって形成されるハンダパッド(0.1から30マイクロメートルまでの範囲、好ましくは1から10マイクロメートルまでの範囲の厚さを有する)またはハンダバンプ(10から200マイクロメートルまでの範囲、好ましくは30から120マイクロメートルまでの範囲など、8マイクロメートルより大きい高さを有する)であって、ボール形状のハンダボールを形成するためにハンダリフロープロセスが必要であり、ハンダパッドまたはバンプ89には高鉛ハンダ(PbSn、Pb含有率は質量パーセンテージで85%より大きい)、共晶ハンダ(PbSn、Pb質量パーセンテージは〜37%、およびSn質量パーセンテージは〜63%)、SnAgまたはSnCuAgを含む無鉛ハンダ、ビスマスまたはインジウムが含まれうる、ハンダパッドまたはバンプ、(2)好適な1つまたは複数のプロセスによって、例えば、電気メッキによって形成される金パッド(0.1から10マイクロメートルまでの範囲、好ましくは1から5マイクロメートルまでの範囲の厚さを有する)または金バンプ(5から40マイクロメートルまでの範囲、好ましくは10から20マイクロメートルまでの範囲など、5マイクロメートルより大きい高さを有する)、(3)好適な1つまたは複数のプロセスによって、例えば、ボール実装によって形成される金属ボールとすることができる。金属ボールは、ハンダボール、Ni層の表面コーティングがなされている銅ボール、またはNi層とハンダ層の表面コーティングがなされている銅ボール、またはNi層と金層の表面コーティングがなされている銅ボールとすることができる。金属ボールの直径は、10から500マイクロメートルまでの範囲、好ましくは50から300マイクロメートルまでの範囲である。金属ボールは、ポリマー開口部990によって露出される接点8000上に、または金属層891上に、直接実装されうる。金属ボール実装のために形成される金属層891は、Ti/Ni、Ti/Cu/Ni、TiW/Ni、TiW/Cu/Ni、Ti/Ni/Au、Ti/Cu/Ni/Au、TiW/Ni/Au、TiW/Cu/Ni/Au、Ti/Cu/Ni/Pd、TiW/Cu/Ni/Pd、Cr/CrCu、NiV/Cu、NiV/Cu、NiV/Au、Ni/Au、Ni/Pdを含むものとしてよく、すべての層は下から上への順である。金属ボール実装の後、通常ハンダリフロープロセスが必要になる。接触構造89を形成した後、外部回路に接続するパッケージングもしくは組み立てのためにダイソーイングプロセスによってウェハ10を複数の個別半導体チップに切断することができる。組み立て方法は、ワイヤボンディング(有機、セラミック、ガラス、もしくはシリコンの外部基板上のパッド、またはリードフレームもしくはフレキシブルテープのリードとの接合)、タブボンディング、テープチップキャリアパッケージング(TCP)、チップオングラス(COG)、チップオンボード(COB)、チップオンフィルム(COF)、BGA基板上のフリップチップ、チップオンフレックス、チップオンチップスタック相互接続、またはチップオンSiサブストレートスタック相互接続とすることができる。
図15Lに示されているオーバーパッシベーションスキーム102は、ポリマー層95、98、および99、パターン形成回路層801および802、ならびに接触構造89を備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層98内の開口部980は、パターン形成回路層801の上にあり、ポリマー層99内の開口部990は、パターン形成回路層802の接点8000の上にあり、接触構造89は、開口部990内に、接点8000上に、およびポリマー層99上にある。
図15Mは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。最初に、図15Aおよび15C〜15Hに例示されているステップの後に、ポリマー層98を、ポリマー層95上に、またパターン形成回路層801のバルク金属層8012上に形成し、ポリマー層98内の複数の開口部980は、前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の複数の領域801aの上にあり、これらを露出する。次に、金属層89aを、ポリマー層98上に、また複数の開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域801a上に形成することができる。次に、金属層89bを金属層89a上に形成することができる。金属層89aの材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、金属層89bの材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。金属層89aおよび89bは、好適な1つまたは複数のプロセスによって、例えば、スパッタリングプロセスまたは蒸着プロセスなどの、物理的気相成長(PVD)プロセスによって形成することができる。金属層89aは、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するものとしてよく、金属層89bは、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するものとしてよい。
例えば、金属層89aが、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層98上に、また開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域801a上に、スパッタリングすることによって形成される場合、金属層89bは、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、金属層89aが、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層98上に、また開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域801a上に、スパッタリングすることによって形成される場合、金属層89bは、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
あるいは、金属層89aが、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、ポリマー層98上に、また開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域801a上に、スパッタリングすることによって形成される場合、金属層89bは、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成されうる。
金属層89bを形成した後、フォトレジスト層を金属層89b上に形成することができ、フォトレジスト層内の複数の開口部は、開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の複数の領域801aの上にあり、金属層89bを露出する。次に、金属層89cを、電気メッキプロセスを含むプロセスでフォトレジスト層内の開口部によって露出される金属層89b上に形成することができる。金属層89cは、3から200マイクロメートルまでの範囲、好ましくは5から100マイクロメートルまでの範囲など、3マイクロメートルより大きい、またそれぞれ、金属層89bの厚さ、金属層89aの厚さ、および細線金属層60のそれぞれの厚さより大きい、厚さを有することができる。金属層89cは、5から200マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、1マイクロメートルより大きい、また細線金属層60のそれぞれの厚さより大きい、幅を有することができる。金属層89cは、銅、金、ニッケル、アルミニウム、銀、白金、ハンダ、または前記の材料の複合材を含むものとしてよい。
例えば、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さになるように、銅層をフォトレジスト層内の開口部によって露出されている金属層89b、好ましくは前記の銅層89b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さになるように、金層をフォトレジスト層内の開口部によって露出されている金属層89b、好ましくは前記の金層89b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さになるように、ニッケル層をフォトレジスト層内の開口部によって露出されている金属層89b、好ましくは前記の銅層またはニッケル層89b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、5から200マイクロメートルまでの範囲、好ましくは10から150マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層をフォトレジスト層内の開口部によって露出されている金属層89b、好ましくは前記の銅層またはニッケル層89b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、3から150マイクロメートルまでの範囲、好ましくは5から100マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、銅層をフォトレジスト層内の開口部によって露出されている金属層89b、好ましくは前記の銅層89b上に電気メッキし、次に、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、ニッケル層を、フォトレジスト層内の開口部内の電気メッキされた銅層上に電気メッキまたは無電解メッキし、次いで、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲など、0.005マイクロメートルより大きい厚さになるように、金層またはパラジウム層を、フォトレジスト層内の開口部内の電気メッキまたは無電解メッキされたニッケル層上に電気メッキまたは無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、銅層をフォトレジスト層内の開口部によって露出されている金属層89b、好ましくは前記の銅層89b上に電気メッキし、次に、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、ニッケル層を、フォトレジスト層内の開口部内の電気メッキされた銅層上に電気メッキまたは無電解メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層をフォトレジスト層内の開口部内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキまたは無電解メッキすることによって形成される三重金属層からなるものとしてよい。
金属層89cを形成した後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層を除去する。次に、エッチングプロセスによって金属層89cの下にない金属層89bを除去し、次いで、エッチングプロセスによって金属層89cの下にない金属層89aを除去する。図15Mに示されているような金属層89cの下にない金属層89bを除去するプロセスは、図15Hに例示されているようなバルク金属層8012の下にない接着/バリア/シード層8011のシード層を除去するプロセスとして参照することができる。図15Mに示されているような金属層89cの下にない金属層89aを除去するプロセスは、図15Hに例示されているようなバルク金属層8012の下にない接着/バリア/シード層8011の接着/バリア層をエッチングするプロセスとして参照することができる。
したがって、金属層89a、89b、および89cによってもたらされる金属バンプ89を、ポリマー層98上に、また開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域801a上に形成することができる。金属バンプ89の金属層89cは、ボールグリッドアレイ(BGA)基板、プリント基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路に、金属層89cを外部回路と接合することによって接続するために使用されうる。金属層89cの下にない金属層89aを除去した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
図15Mに示されているオーバーパッシベーションスキーム102は、ポリマー層95および98、パターン形成回路層801、ならびに金属バンプ89を備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層98内の開口部980は、パターン形成回路層801の接点801aの上にあり、金属バンプ89は、開口部980内に、接点801a上に、およびポリマー層98上にある。
図15Cから15Kに示されているエンボス加工プロセスについては、金属層が、好適な1つまたは複数のプロセスによって、例えば、ただ1つのフォトレジスト層の開口部内に金属層を電気メッキするためのただ1つのフォトレジストパターン形成プロセスによって形成されることが記述されている。この種類のプロセスは、このプロセスが電気メッキされた金属層の下にない接着/バリア/シード層を除去する前にただ1つのフォトリソグラフィプロセスを含むことを意味するシングルエンボス加工プロセスである。電気メッキされた金属層の下にない接着/バリア/シード層を除去する前に、ダブルエンボス加工プロセスを実行して、2つのフォトリソグラフィプロセスを実行しつつ、ただ1つの接着/バリア/シード層を使用する異なるパターンで金属層を電気メッキすることによって金属トレースおよび金属トレース上のビアプラグを形成することができる。金属トレースのパターンを定めるために第1のフォトリソグラフィプロセスを実行し、ビアプラグのパターンを定めるために第2のフォトリソグラフィプロセスを実行する。図15C〜15Gおよび図16A〜16Dは、図15Aまたは図15Bに示されているウェハ10の上にオーバーパッシベーションスキームを形成するためのダブルエンボス加工プロセスを示している。ダブルエンボス加工プロセスは、図15C〜15Gに示されているステップと同じフロントステップを有する。図16A〜16Dのステップは、ダブルエンボス加工プロセスに対する図15C〜15Gのステップの後に続く。図15Gでは、フォトレジスト層71がはぎ取られ、周囲に露出されているバルク金属層8012の下にない接着/バリア/シード層8011が残される。図16A〜16Mは、ダブルエンボス加工プロセスを使用して金属層801およびビアプラグ898を形成し、シングルエンボス加工を使用して金属層802を形成し、シングルエンボス加工を使用して金属バンプ89を形成することによって本開示におけるすべての実施形態に対するオーバーパッシベーションスキームを形成するための一例を示している。
図16Aを参照すると、第1のフォトリソグラフィおよび電気メッキプロセスが第1の金属層801を形成するために実行され、これは図15D〜15Gに例示されているステップとして参照することができ、次いで、第2のフォトレジスト層72が堆積され、接着/バリア/シード層8011のシード層上に、またバルク金属層8012上にパターン形成されることがわかる。フォトレジスト層72内の開口部720がバルク金属層8012を露出し、フォトレジスト層72内の開口部720’が接着/バリア/シード層8011のシード層を露出することに留意されたい。
例えば、フォトレジスト層72は、好適な1つまたは複数のプロセスによって、例えば、ポジ型感光性ポリマー層を接着/バリア/シード層8011のシード層上に、また電気メッキされたバルク金属層8012上に、スピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用して感光性ポリマー層を露光し、感光性ポリマー層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線を感光性ポリマー層に照射し、次いで、露出されたポリマー層を現像し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から、またバルク金属層8012から残留ポリマー材料もしくは他の汚染物質を除去して、開口部720および720’によりバルク金属層8012および接着/バリア/シード層8011のシード層がそれぞれ露出している状態でフォトレジスト層72にパターン形成を行えるようにすることによって形成されうる。
図16Bを参照すると、接着/バリア/シード層8011のシード層は除去されないので、ビアプラグ898を形成するために第2の電気メッキプロセスを実行できることがわかる。接着/バリア/シード層8011のシード層上の金属片898’もビアプラグ898より低い水平レベルに形成されることに留意されたい。金属片898’は、パッケージングの目的に使用できる。金属片898’は、バルク金属層8012よりも薄くても、または厚くてもよい。これは、密度の高い相互接続(薄くした場合)に使用されうるか、または抵抗の低い相互接続(厚くした場合)に使用されうる。
ビアプラグ898および金属片898’の材料は、金もしくは銅とすることができる。例えば、ビアプラグ898および金属片898’は、好適な1つまたは複数のプロセスによって、例えば、1から100μmまでの範囲、好ましくは2から30μmまでの範囲の厚さを有する金層を、バルク金属層8012の、開口部720によって露出されている、金層上に、また開口部720’によって露出されている接着/バリア/シード層8011の、金で作られている、シード層上に、電気メッキすることによって形成されうる。あるいは、ビアプラグ898および金属片898’は、好適な1つまたは複数のプロセスによって、例えば、1から100μmまでの範囲、好ましくは2から30μmまでの範囲の厚さを有する銅層を、バルク金属層8012の、開口部720によって露出されている、銅層上に、また開口部720’によって露出されている接着/バリア/シード層8011の、銅で作られている、シード層上に、電気メッキすることによって形成されうる。
図16Cを参照すると、次いで、第2のフォトレジスト72がアミドを含む有機溶液を使用して除去され、これにより、ビアプラグ898、ビアプラグ898の下にないバルク金属層8012、バルク金属層8012の下にない接着/バリア/シード層8011のシード層、および金属片898’を露出することがわかる。しかし、フォトレジスト層72からの一部の残留物が、バルク金属層8012上に、また接着/バリア/シード層8011のシード層上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、接着/バリア/シード層8011のシード層から、またバルク金属層8012から、残留物を除去することができる。
図16Dを参照すると、バルク金属層8012の下にない、また金属片898’の下にない、接着/バリア/シード層8011は、湿式および/または乾式エッチングによって除去されることがわかる。電気メッキされた金属層8012の下にない、また電気メッキされた金属層898の下にない底部金属層8011を除去するためにウェットエッチングを行う場合、電気メッキされた金属層8012の側壁からリセスしている底部金属層8011の側壁および電気メッキされている金属層898’の側壁からリセスしている底部金属層8011の側壁を備えるアンダーカット8011’が形成される。異方性ドライエッチングを使用して、電気メッキされた金属層8012の下にない、また電気メッキされた金属層898’の下にない、底部金属層8011を除去する場合には、アンダーカット8011’は存在しない。
例えば、接着/バリア/シード層8011のシード層が金層である場合、これは、ヨウ化カリウムを含む溶液などの、ヨウ素含有溶液を使用するか、またはイオンミリングプロセスを使用するか、またはArスパッタリングエッチングプロセスを使用してエッチングすることができる。あるいは、接着/バリア/シード層8011のシード層が銅層である場合、これは、NH4OHを含む溶液を使用するか、またはArスパッタリングエッチングプロセスを使用してエッチングすることができる。
例えば、接着/バリア/シード層8011の接着/バリア層がチタンタングステン合金層である場合、これは、過酸化水素を含む溶液を使用するか、塩素含有プラズマエッチングプロセスを使用するか、またはRIEプロセスを使用してエッチングすることができる。あるいは、接着/バリア/シード層8011の接着/バリア層がチタン層である場合、これは、フッ化水素を含む溶液を使用するか、塩素含有プラズマエッチングプロセスを使用するか、またはRIEプロセスを使用してエッチングすることができる。あるいは、接着/バリア/シード層8011の接着/バリア層がクロム層である場合、これは、フェリシアン化カリウムを含む溶液を使用してエッチングすることができる。
図16Eを参照すると、第2のポリマー層98がビアプラグ898上に、金属片898’上に、金属層801上に、および露出されている第1のポリマー層95上に堆積されていることがわかる。第2のポリマー層98は、好適な1つまたは複数のプロセスによって、例えば、スピンオンコーティングプロセス、ラミネート加工プロセス、またはスクリーン印刷プロセスによって形成されうる。
例えば、ポリマー層98は、好適な1つまたは複数のプロセスによって、例えば、6から50μmまでの範囲の厚さを有する、エステルタイプの前駆体を含む、ネガ型感光性ポリイミド層を、ビアプラグ898上に、金属片898’上に、バルク金属層8012上に、および露出されているポリマー層95上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、ベークしたポリイミド層を、290から400℃までの範囲のピーク温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に3から25μmまでの範囲の厚さを持たせることによって形成されうる。あるいは、ベークしたポリイミド層を、150から290℃までの範囲、好ましくは260から280℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱することができる。
図16Fを参照すると、研磨または機械研磨プロセス、好ましくは化学機械研磨(CMP)プロセスを使用して第2のポリマー層98の表面を平坦化し、ビアプラグ898を露出させることがわかる。ポリマー層98は、平坦化された後、5から50マイクロメートルまでの範囲の厚さtを有するものとしてよい。
図16G〜16Kは、図15D〜15Hで説明されているのと同じシングルエンボス加工プロセスを使用して第2のオーバーパッシベーション金属層802を形成するためのプロセスステップを示している、つまり、接着/バリア/シード層8021は、例えば、第2のポリマー層98上に、また露出されているビアプラグ898上に、スパッタリングし、その後、フォトレジスト層73を、フォトレジスト層73内の開口部730が接着/バリア/シード層8021のシード層を露出する、接着/バリア/シード層8021上に形成し、その後、バルク導電性金属層8022を開口部730によって露出されているシード層上に形成し、その後、フォトレジスト層73を除去し、その後、バルク導電性金属層8022の下にない接着/バリア/シード層8021を除去することによって形成される。図16G〜16Kに示されている接着/バリア/シード層8021および導電性バルク金属層8022の詳細を、それぞれ図15D〜15Kに例示されている接着/バリア/シード層8011およびバルク金属層8012の詳細として参照することができる。図16G〜16Kに示されている接着/バリア/シード層8021を形成するプロセスは、図15D〜15Kに例示されている接着/バリア/シード層8011を形成するプロセスとして参照することができる。図16G〜16Kに示されているバルク導電性金属層8022を形成するプロセスは、図15D〜15Kに例示されているバルク金属層8012を形成するプロセスとして参照できる。
図16Lを参照すると、次いでポリマー層99が形成され、パターン形成され、二金属層オーバーパッシベーションスキームが完成することがわかる。図16Lに示されているオーバーパッシベーションスキーム102は、ポリマー層95、98、および99、パターン形成回路層801および802、ビアプラグ898、ならびに金属片898’を備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層99内の開口部990は、パターン形成回路層802の接点8000の上にあり、それを露出することがわかる。図16Lに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに適宜切り分けることができる。
あるいは、図16Mを参照すると、図16Lに例示されているステップの後に、組み立ておよび/またはパッケージングを目的として図15Lまたは図15Mに例示されている接触構造89が露出されている接点8000上に形成されうることがわかる。図16Mに示されている接触構造89の詳細は、図15Lまたは図15Mに例示されている接触構造89の詳細として参照することができる。図16Mに示されている接触構造89を形成するプロセスは、図15Lまたは図15Mに例示されている接触構造89を形成するプロセスとして参照することができる。あるいは、第1の金属層801および第1のビアプラグ898を形成するための図15D〜15Gおよび図16A〜16Dのダブルエンボス加工プロセスステップを繰り返して、追加の金属層(図示せず)をポリマー層98上に、またビアプラグ898上に形成し、追加のビアプラグ(図示せず)を追加の金属層上に形成することができる。この代替的形態では、追加のビアプラグを、ワイヤボンディングプロセスを使用してワイヤボンディング接合ワイヤと、ボール実装プロセスを使用してハンダバンプと、TABプロセスを使用してフレキシブル基板と接合できる。図16A〜16Mにおける説明および詳細は、本開示のパッシベーション層5の上の太く幅広の電源金属トレース、バス、またはプレーン81を形成すること、本開示のパッシベーション層5の上の太く幅広のグランド金属トレース、バス、またはプレーン82を形成すること、本開示のパッシベーション層5の上の太く幅広の電源金属トレース、バス、またはプレーン81Pを形成すること、および本開示のパッシベーション層5の上の太く幅広の信号金属トレース、バス、またはプレーン83、83’、または85を形成することにも当てはめることができる。
図16Mに示されているトップポストパッシベーション技術のオーバーパッシベーションスキームを形成した後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。図16Mに示されているオーバーパッシベーションスキーム102は、ポリマー層95、98、および99、パターン形成回路層801および802、ビアプラグ898、金属片898’、および金属バンプ89を備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層99内の開口部990は、パターン形成回路層802の接点8000の上にあり、金属バンプ89は、開口部990内に、接点8000上に、およびポリマー層99上にある。
図17A〜17Jは、3つの金属層801、802、および803でオーバーパッシベーションスキーム102を形成するプロセスステップを示している。金属層801および802は、好適な1つまたは複数のプロセス、例えば、ダブルエンボス加工プロセスによって形成されるが、金属層803は、好適な1つまたは複数のプロセス、例えば、シングルエンボス加工プロセスによって形成される。第1のダブルエンボス加工プロセスは、図15D〜15Gおよび16A〜16Dで説明されているように、第1の金属層801および第1のビアプラグ898を形成するために使用される。第1の金属間ポリマー層98は、図16E〜16Fのプロセスステップで示されているように、第1のビアプラグ898を露出するために形成され、平坦化される。図17Aは、第1の金属層801、第1のビアプラグ898、および金属片898’が好適な1つまたは複数のプロセスによって、例えば、ダブルエンボス金属加工プロセスによって形成され、金属間誘電性ポリマー層98が第1のビアプラグ898が露出されている状態で形成されるときに図16Jと同じステップである。図17Aの第1の金属層801および第1のビアプラグ898の設計は、追加の金属層を受け入れるように図16Jとは少し異なる。図17Aの底部金属層8021を形成するためのプロセスは、図15Dの底部金属層8011または図16Gの底部金属層8021を形成するためのプロセスとして参照することができ、図17Aの金属層8022を形成するためのプロセスは、図15Eの金属層8012または図16Hから16Jの金属層8022を形成するためのプロセスとして参照することができる。図17A〜17Jに示されている接着/バリア/シード層8021および導電性バルク金属層8022の詳細は、それぞれ図15D〜15Kに例示されている接着/バリア/シード層8011およびバルク金属層8012の詳細として参照することができる。
次に図17Bを参照すると、次いで、第2のフォトレジスト層74が堆積され、パターン形成されて、開口部740をバルク導電性金属層8022の上に形成し、および/または適宜開口部740’を第2の接着/バリア/シード層8021のシード層上に直接形成することがわかる。
例えば、フォトレジスト層74は、好適な1つまたは複数のプロセスによって、例えば、ポジ型感光性ポリマー層を接着/バリア/シード層8021のシード層上に、またバルク導電性金属層8022上に、スピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用して感光性ポリマー層を露光し、感光性ポリマー層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線を感光性ポリマー層に照射し、次いで、露出されたポリマー層を現像し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から、またバルク導電性金属層8022から残留ポリマー材料もしくは他の汚染物質を除去して、開口部740および740’によりバルク導電性金属層8022および接着/バリア/シード層8021のシード層がそれぞれ露出している状態でフォトレジスト層74にパターン形成を行えるようにすることによって形成されうる。
図17Cを参照すると、第2のビアプラグ層がフォトレジスト開口部740および740’内に電気メッキされ、これにより第2のビアプラグ897および第2の金属片897’が形成されることがわかる。第2の金属片897’は、第1の金属片989’について説明されているように使用できる。ビアプラグ897および金属片897’の材料は、金もしくは銅とすることができる。例えば、ビアプラグ897および金属片897’は、好適な1つまたは複数のプロセスによって、例えば、1から100μmまでの範囲、好ましくは2から30μmまでの範囲の厚さを有する金層を、バルク導電性金属層8022の、開口部740によって露出されている、金層上に、また開口部740’によって露出されている接着/バリア/シード層8021の、金で作られている、シード層上に、電気メッキすることによって形成されうる。あるいは、ビアプラグ897および金属片897’は、好適な1つまたは複数のプロセスによって、例えば、1から100μmまでの範囲、好ましくは2から30μmまでの範囲の厚さを有する銅層を、バルク導電性金属層8022の、開口部740によって露出されている、銅層上に、また開口部740’によって露出されている接着/バリア/シード層8021の、銅で作られている、シード層上に、電気メッキすることによって形成されうる。
図17Dを参照すると、次いで第2のフォトレジスト層74が、アミドとともに有機溶液を使用して剥離されることがわかる。しかし、フォトレジスト層74からの一部の残留物が、バルク導電性金属層8022上に、また接着/バリア/シード層8021のシード層上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、バルク導電性金属層8022から、またシード層から、残留物を除去することができる。
あるいは、バルク導電性金属層8022を、フォトレジスト層73を除去することなく、図16Iに例示されている開口部730によって露出される接着/バリア/シード層8021のシード層上に形成した後、図17Bに示されているフォトレジスト層74を、フォトレジスト層73上に、またバルク導電性金属層8022上に形成することができる。フォトレジスト層74内の開口部740は、それぞれ、ビアプラグ897のパターンを定めるために、バルク導電性金属層8022を露出する。ビアプラグ897を形成するためのプロセスは、上記の開示として参照することができる。最後に、フォトレジスト73および74は、アミドとともに有機溶液を使用して除去される。しかし、フォトレジスト層73および74からの一部の残留物が、バルク導電性金属層8022上に、またビアプラグ897上に、また接着/バリア/シード層8021のシード層上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、接着/バリア/シード層8021のシード層から、またビアプラグ897から、またバルク導電性金属層8022から、残留物を除去することができる。次に、上記の説明で述べているように、バルク導電性金属層8022の下にない接着/バリア/シード層8021を除去することができる。
図17Eを参照すると、第2のバルク導電性金属層8022の下にない、また第2の金属片987’の下にない、第2の接着/バリア/シード層8021が、除去されることがわかる。図17Eに示されているような第2のバルク導電性金属層8022の下にない、また第2の金属片897’の下にない、第2の接着/バリア/シード層8021を除去するプロセスは、図16Dに例示されているような第1のバルク金属層8012の下にない、また金属片898’の下にない、第1の接着/バリア/シード層8011を除去するプロセスとして参照することができる。
図17F〜17Gを参照すると、次に第2の金属間誘電性ポリマー層97が堆積され平坦化されて、第2のビアプラグ897を露出することがわかる。ポリマー層97の材料として、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリウレタン、エポキシ樹脂、パリレン系ポリマー、ハンダマスク材料、エラストマー、シリコーン、または多孔質誘電体が挙げられる。図17Fのポリマー層97を形成するためのプロセスは、図16Eのポリマー層98を形成するためのプロセスとして参照されるとおりのものとしてよく、図17Gのポリマー層97を平坦化するためのプロセスは、図16Fのポリマー層98を平坦化するためのプロセスとして参照されるとおりのものとしてよい。
例えば、ポリマー層97は、好適な1つまたは複数のプロセスによって、例えば、10から120μmまでの範囲の厚さを有する、エステルタイプの前駆体を含む、ネガ型感光性ポリイミド層を、露出されているバルク導電性金属層8022上に、ビアプラグ897上に、金属片897’上に、および露出されているポリマー層98上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、ベークしたポリイミド層を、290から400℃までの範囲のピーク温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に5から60μmまでの範囲の厚さを持たせ、次いで、ポリマー層97の上面を研磨または機械研磨、例えば化学機械研磨してビアプラグ897を露わにし、その上面を平坦化することによって形成されうる。あるいは、ベークしたポリイミド層を、150から290℃までの範囲、好ましくは260から280℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱することができる。
図17Hおよび17Iは、シングルエンボス加工プロセスを使用して、最初に接着/バリア/シード層8031を堆積し、フォトレジスト層を堆積してパターン形成し、バルク導電性金属層8032を電気メッキし、フォトレジスト層を剥離し、接着/バリア/シード層8031のセルフアラインエッチングを実行することによって、第3の金属層803を形成することを示している。図17H〜17Iに示されている接着/バリア/シード層8031および導電性バルク金属層8032の詳細は、それぞれ図15D〜15Hに例示されている接着/バリア/シード層8011およびバルク金属層8012の詳細として参照することができる。図17H〜17Iに示されている接着/バリア/シード層8031を形成するプロセスは、図15D〜15Hに例示されている接着/バリア/シード層8011を形成するプロセスとして参照することができる。図17H〜17Iに示されているバルク導電性金属層8032を形成するプロセスは、図15D〜15Hに例示されているバルク金属層8012を形成するプロセスとして参照できる。
図17Jは、ポリマー層99を、露出されているポリマー層97上に、また第3の金属層803上に、形成することによる完成した構造を示しており、ポリマー層99内の開口部990は、外部回路と相互接続するための接点8000を露出する。ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、スピンオンコーティングプロセス、ラミネート加工プロセス、またはスクリーン印刷プロセスによって形成されうる。ポリマー層99は、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリウレタン、エポキシ樹脂、パリレン系ポリマー、ハンダマスク材料、エラストマー、シリコーン、または多孔質誘電体を含みうる。
例えば、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、6から50μmまでの範囲の厚さを有する、エステルタイプの前駆体を含む、ネガ型感光性ポリイミド層を、露出されているポリマー層97上に、またバルク導電性金属層8032上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリイミド層を露光し、ベークしたポリイミド層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリイミド層に照射し、次いで、露光したポリイミド層を現像して、接点8000を露出するポリイミド開口部を露光したポリイミド層内に形成し、次いで、現像したポリイミド層を、290から400℃までの範囲のピーク温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に3から25μmまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりポリイミド開口部によって露出されている接点8000から残留ポリマー材料もしくは他の汚染物質を除去して、ポリマー層99内の開口部990により接点8000が露出している状態でポリマー層99にパターン形成を行えるようにすることによって形成されうる。あるいは、現像されたポリイミド層を、150から290℃までの範囲、好ましくは260から280℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱することができる。
接点8000は、ワイヤボンディングプロセス、ハンダ接合プロセス、またはテープ自動ボンディング(TAB)プロセスを介して外部回路に接続するために使用され、外部回路は、他の半導体チップ、30から200マイクロメートルまでの範囲の厚さを有するポリマー層(ポリイミドなど)を備えるが、グラスファイバーを伴うポリマー層を備えないフレキシブル基板、ガラス基板、セラミック材料を回路層の間の絶縁層として備えるセラミック基板、シリコン基板、有機基板、プリント基板(PCB)、またはボールグリッドアレイ(BGA)基板とすることができる。
図17Jに示されているトップポストパッシベーション技術のオーバーパッシベーションスキームを形成した後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。図17Jに示されているオーバーパッシベーションスキーム102は、ポリマー層95、97、98、および99、パターン形成回路層801、802、および803、ビアプラグ897および898、ならびに金属片897’および898’を備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層99内の開口部990は、パターン形成回路層803の接点8000の上にあり、それを露出する。
図18A〜18Iは、3つの金属層801、802、および803でオーバーパッシベーションスキームを形成するプロセスステップの別の代替的形態を示している。金属層801および803は、好適な1つまたは複数のプロセス、例えば、シングルエンボス加工プロセスによって形成されるが、金属層802は、好適な1つまたは複数のプロセス、例えば、ダブルエンボス加工プロセスによって形成される。
図18Aを参照すると、第1のシングルエンボス加工プロセスは、図15D〜15Hで説明されているような第1の金属層801を形成するために使用されていることがわかる。次に、第1の金属間ポリマー層98は、図15Iのプロセスステップで示されているように、開口部980で第1の金属層801を露出するように堆積されパターン形成される。図18Aは、第1の金属層801および第1の金属間誘電性ポリマー層98が好適な1つまたは複数のプロセスによって、例えば、シングルエンボス金属加工プロセスによって形成され、金属間誘電性ポリマー層98が、開口部980が第1の金属層801を露出する状態で堆積されパターン形成されるときに図15Iと同じプロセスステップである。図18Aの第1の金属層801および第1の金属間ポリマー開口部980の設計は、追加の金属層を受け入れるように図15Iとは少し異なる。図18B〜18Gのプロセスステップは、第2の金属層802およびビアプラグ897を形成するためのダブルエンボス加工プロセスを示している。図18A〜18Iに示されているポリマー層95、金属層801、およびポリマー層98の詳細は、それぞれ図15C〜15Kに例示されているポリマー層95、金属層801、およびポリマー層98の詳細として参照することができる。図18Aに示されているポリマー層95を形成するプロセスは、図15C〜15Kに示されているポリマー層95として参照することができる。図18Aに示されている金属層801‘を形成するプロセスは、図15C〜15Kに示されている金属層801として参照することができる。図18Aに示されているポリマー層98を形成するプロセスは、図15C〜15Kに示されているポリマー層98として参照することができる。
図18Bを参照すると、第2の接着/バリア/シード層8021は、ポリマー層98上に、また開口部980によって露出されている第1の金属層801上に堆積されることがわかる。図18B〜18Iに示されている第2の接着/バリア/シード層8021の詳細は、図15J〜15Kに例示されている第2の接着/バリア/シード層8021の詳細として参照することができる。図18Bに示されている第2の接着/バリア/シード層8021を形成するプロセスは、図15J〜15Kに例示されている第2の接着/バリア/シード層8021を形成するプロセスとして参照することができる。
図18Cを参照すると、ポジ型フォトレジスト層などのフォトレジスト層73は、第2の接着/バリア/シード層8021のシード層上に堆積されることがわかる。次に、露光および現像プロセスによりフォトレジスト層73のパターン形成を行い、第2の接着/バリア/シード層8021のシード層を露出する開口部730をフォトレジスト層73内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層73を露光することができる。
例えば、フォトレジスト層73は、好適な1つまたは複数のプロセスによって、例えば、ポジ型感光性ポリマー層を第2の接着/バリア/シード層8021のシード層上にスピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用して感光性ポリマー層を露光し、感光性ポリマー層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線を感光性ポリマー層に照射し、次いで、露出されたポリマー層を現像し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から残留ポリマー材料もしくは他の汚染物質を除去して、フォトレジスト層73内の開口部730によりシード層が露出している状態でフォトレジスト層73にパターン形成を行えるようにすることによって形成されうる。
次に、バルク導電性層8022を、開口部730によって露出されているシード層の上に電気メッキおよび/または無電解メッキすることができる。バルク導電性層8022は、金、銅、銀、パラジウム、白金、ロジウム、ルテニウム、レニウム、もしくはニッケルの単層、または前記の金属から作られた複合層とすることができる。図18C〜18Iに示されているバルク導電性金属層8022の詳細は、図15F〜15Kに例示されているバルク金属層8012の詳細として参照することができる。図18C〜18Iに示されているバルク導電性金属層8022を形成するプロセスは、図15F〜15Kに例示されているバルク金属層8012を形成するプロセスとして参照することができる。
図18Dを参照すると、次いでフォトレジスト層73が、アミドとともに有機溶液を使用して剥離されることがわかる。しかし、フォトレジスト層73からの一部の残留物が、バルク導電性金属層8022上に、また接着/バリア/シード層8021のシード層上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、接着/バリア/シード層8021のシード層から、またバルク導電性金属層8022から、残留物を除去することができる。
次に図18Eを参照すると、次いで、フォトレジスト層74が堆積され、パターン形成されて、開口部740を第2のバルク導電性金属層8022の上に形成し、および/または適宜開口部740’を第2の接着/バリア/シード層8021のシード層上に直接形成することがわかる。例えば、フォトレジスト層74は、好適な1つまたは複数のプロセスによって、例えば、ポジ型感光性ポリマー層を接着/バリア/シード層8021のシード層上に、またバルク導電性金属層8022上に、スピンオンコーティングし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用して感光性ポリマー層を露光し、感光性ポリマー層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線を感光性ポリマー層に照射し、次いで、露出されたポリマー層を現像し、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりシード層から、またバルク導電性金属層8022から残留ポリマー材料もしくは他の汚染物質を除去して、開口部740および740’によりバルク導電性金属層8022および接着/バリア/シード層8021のシード層がそれぞれ露出している状態でフォトレジスト層74にパターン形成を行えるようにすることによって形成されうる。
次に、ビアプラグ層がフォトレジスト開口部740および740’内に電気メッキされ、これによりビアプラグ897および第2の金属片897’が形成される。金属片897’は、図16Dの金属片898’について説明されているように使用できる。
ビアプラグ897および金属片897’の材料は、金もしくは銅とすることができる。例えば、ビアプラグ897および金属片897’は、好適な1つまたは複数のプロセスによって、例えば、1から100μmまでの範囲、好ましくは2から30μmまでの範囲の厚さを有する金層を、バルク導電性金属層8022の、開口部740によって露出されている、金層上に、また開口部740’によって露出されている接着/バリア/シード層8021の、金で作られている、シード層上に、電気メッキすることによって形成されうる。あるいは、ビアプラグ897および金属片897’は、好適な1つまたは複数のプロセスによって、例えば、1から100μmまでの範囲、好ましくは2から30μmまでの範囲の厚さを有する銅層を、バルク導電性金属層8022の、開口部740によって露出されている、銅層上に、また開口部740’によって露出されている接着/バリア/シード層8021の、銅で作られている、シード層上に、電気メッキすることによって形成されうる。
図18Fを参照すると、次いでフォトレジスト層74が、アミドとともに有機溶液を使用して剥離されることがわかる。しかし、フォトレジスト層74からの一部の残留物が、露出したバルク導電性金属層8022上に、ビアプラグ897上に、金属片897’上に、および接着/バリア/シード層8021のシード層上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、シード層から、ビアプラグ897から、金属片897’から、およびバルク導電性金属層8022から、残留物を除去することができる。
あるいは、バルク導電性金属層8022を、フォトレジスト層73を除去することなく、図18Cに例示されている開口部730によって露出される接着/バリア/シード層8021のシード層上に形成した後、図18Eに示されているフォトレジスト層74を、フォトレジスト層73上に、またバルク導電性金属層8022上に形成することができる。フォトレジスト層74内の開口部740は、それぞれ、ビアプラグ897のパターンを定めるために、バルク導電性金属層8022を露出する。ビアプラグ897を形成するためのプロセスは、上記の開示として参照することができる。最後に、フォトレジスト層73および74は、アミドとともに有機溶液を使用して除去される。しかし、フォトレジスト層73および74からの一部の残留物が、バルク導電性金属層8022上に、またビアプラグ897上に、また接着/バリア/シード層8021のシード層上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、接着/バリア/シード層8021のシード層から、またビアプラグ897から、またバルク導電性金属層8022から、残留物を除去することができる。次に、上記の説明で述べているように、バルク導電性金属層8022の下にない接着/バリア/シード層8021を除去することができる。
図18Gを参照すると、バルク導電性金属層8022の下にない、また金属片897’の下にない、接着/バリア/シード層8021が、除去されうることがわかる。図18Gに示されているようなバルク導電性金属層8022の下にない、また第2の金属片897’の下にない、接着/バリア/シード層8021を除去するプロセスは、図16Dに例示されているようなバルク金属層8012の下にない、また金属片898’の下にない、接着/バリア/シード層8011を除去するプロセスとして参照することができる。
図18Hを参照すると、次に第2の金属間誘電性ポリマー層97が堆積され平坦化されて、第2のビアプラグ897を露出することがわかる。ポリマー層97の材料として、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリウレタン、エポキシ樹脂、パリレン系ポリマー、ハンダマスク材料、エラストマー、シリコーン、または多孔質誘電体が挙げられる。
例えば、ポリマー層97は、好適な1つまたは複数のプロセスによって、例えば、10から120μmまでの範囲の厚さを有する、エステルタイプの前駆体を含む、ネガ型感光性ポリイミド層を、露出されているバルク導電性金属層8022上に、ビアプラグ897上に、金属片897’上に、および露出されているポリマー層98上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、ベークしたポリイミド層を、290から400℃までの範囲のピーク温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に5から60μmまでの範囲の厚さを持たせ、次いで、ポリマー層97の上面を研磨または機械研磨、例えば化学機械研磨してビアプラグ897を露わにし、その上面を平坦化することによって形成されうる。あるいは、ベークしたポリイミド層を、150から290℃までの範囲、好ましくは260から280℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱することができる。
図18Iは、金属層803を最初に形成することによる完成構造は、好適な1つまたは複数のプロセスによって、例えば、図17H〜17Iで説明されているようなシングルエンボス加工プロセスによって形成されることを示している。次に、ポリマー層99をパターン形成回路層803上にスピンコーティングし、ポリマー層99内に開口部990を形成し、外部回路と相互接続するための接点8000を露出する。図18Iに示されている接着/バリア/シード層8031および導電性バルク金属層8032の詳細は、それぞれ図15D〜15Hに例示されている接着/バリア/シード層8011およびバルク金属層8012の詳細として参照することができる。図18Iに示されている接着/バリア/シード層8031を形成するプロセスは、図15D〜15Hに例示されている接着/バリア/シード層8011を形成するプロセスとして参照することができる。図18Iに示されているバルク導電性金属層8032を形成するプロセスは、図15D〜15Hに例示されているバルク金属層8012を形成するプロセスとして参照できる。図18Iに示されているポリマー層99の詳細は、図17Jに例示されているポリマー層99の詳細として参照することができる。図18Iに示されているポリマー層99を形成するプロセスは、図17Jに例示されているポリマー層99および開口部990を形成するプロセスとして参照することができる。
接点8000は、ワイヤボンディングプロセス、ハンダ接合プロセス、またはテープ自動ボンディング(TAB)プロセスを介して外部回路に接続するために使用され、外部回路は、他の半導体チップ、30から200マイクロメートルまでの範囲の厚さを有するポリマー層(ポリイミドなど)を備えるが、グラスファイバーを伴うポリマー層を備えないフレキシブル基板、ガラス基板、セラミック材料を回路層の間の絶縁層として備えるセラミック基板、シリコン基板、有機基板、プリント回路基板(PCB)、またはボールグリッドアレイ(BGA)基板とすることができる。
図18Iに示されているトップポストパッシベーション技術のオーバーパッシベーションスキームを形成した後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。図18Iに示されているオーバーパッシベーションスキーム102は、ポリマー層95、97、98、および99、パターン形成回路層801、802、および803、ビアプラグ897、ならびに金属片897’を備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層98内の開口部980は、パターン形成回路層801の上にあり、ポリマー層99内の開口部990は、パターン形成回路層803の接点8000の上にあり、それを露出する。
図19A〜19Hは、2つの金属層801および802でオーバーパッシベーションスキームを形成するプロセスステップの別の代替的形態を示している。金属層801は、好適な1つまたは複数のプロセス、例えば、ダブルエンボス加工プロセスによって形成されるが、金属層802は、好適な1つまたは複数のプロセス、例えば、シングルエンボス加工プロセスによって形成される。
図19Aを参照すると、ポリマー層95、開口部950、金属層801、ビアプラグ898、金属片898’、およびポリマー層98を形成するための図15C〜15Gおよび16A〜16Fのプロセスステップが完了した後、ポリマー層97がポリマー層98上に形成され、ポリマー層97内の複数の開口部970でビアプラグ898を露出させることができることがわかる。ポリマー層97の材料として、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリウレタン、エポキシ樹脂、パリレン系ポリマー、ハンダマスク材料、エラストマー、シリコーン、または多孔質誘電体が挙げられる。
例えば、ポリマー層97は、好適な1つまたは複数のプロセスによって、例えば、6から50μmまでの範囲の厚さを有する、エステルタイプの前駆体を含む、ネガ型感光性ポリイミド層を、ポリマー層98上に、また露出されているビアプラグ898上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリイミド層を露光し、ベークしたポリイミド層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリイミド層に照射し、次いで、露光したポリイミド層を現像して、露光したビアプラグ898を露出するポリイミド開口部を露光したポリイミド層内に形成し、次いで、現像したポリイミド層を、290から400℃までの範囲のピーク温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に3から25μmまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりポリイミド開口部によって露出されているビアプラグ898の上面から残留ポリマー材料もしくは他の汚染物質を除去して、開口部970によりビアプラグ898が露出している状態でポリマー層97にパターン形成を行えるようにすることによって形成されうる。あるいは、現像されたポリイミド層を、150から290℃までの範囲、好ましくは260から280℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱することができる。図19Bを参照すると、接着/バリア/シード層8021は、例えばスパッタリングによって、ポリマー層97上に、また開口部970によって露出されているビアプラグ898上に堆積されることがわかる。あるいは、接着/バリア/シード層8021は、好適な1つまたは複数のプロセスによって、例えば、蒸着法、蒸着法、CVD法、無電解メッキ法、またはPVD法を含むプロセスによって形成されうる。図19B〜19Iに示されている接着/バリア/シード層8021の詳細は、図15D〜15Kに例示されている接着/バリア/シード層8011の詳細として参照することができる。図19Bに示されている接着/バリア/シード層8021を形成するプロセスは、図15D〜15Kに例示されている接着/バリア/シード層8011を形成するプロセスとして参照することができる。
図19Cを参照すると、ポジ型フォトレジスト層などのフォトレジスト層73は、接着/バリア/シード層8021上に形成されることがわかる。次に、露光および現像プロセスによりフォトレジスト層73のパターン形成を行い、接着/バリア/シード層8021を露出する開口部730をフォトレジスト層73内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層730を露光することができる。図19Cに示されているフォトレジスト層73およびフォトレジスト層73内の開口部730を形成するプロセスは、図18Cに例示されているフォトレジスト層73およびフォトレジスト層73内の開口部730を形成するプロセスとして参照することができる。
図19Dを参照すると、バルク導電性層8022を、開口部730によって露出されている接着/バリア/シード層8021の上に電気メッキおよび/または無電解メッキすることができることがわかる。バルク導電性層8022は、金、銅、銀、パラジウム、白金、ロジウム、ルテニウム、レニウム、もしくはニッケルの単層、または前記の金属から作られた複合層とすることができる。図19D〜19Iに示されているバルク導電性金属層8022の詳細は、図15F〜15Kに例示されているバルク金属層8012の詳細として参照することができる。図19Dに示されているバルク導電性金属層8022を形成するプロセスは、図15F〜15Kに例示されているバルク金属層8012を形成するプロセスとして参照することができる。
図19Eを参照すると、バルク導電性金属層8022が形成された後、フォトレジスト73の大半が、アミドとともに有機溶液を使用して除去されうることがわかる。しかし、フォトレジスト層73からの一部の残留物が、バルク導電性金属層8022上に、また接着/バリア/シード層8021のシード層上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、バルク導電性金属層8022から、またシード層から、残留物を除去することができる。
図19Fを参照すると、バルク導電性金属層8022の下にない接着/バリア/シード層8021が、ドライエッチング法またはウェットエッチング法で除去されることがわかる。ウェットエッチング法に関しては、接着/バリア/シード層8021のシード層が金層である場合に、ヨウ化カリウムを含む溶液などの、ヨウ素含有溶液でエッチングすることができ、接着/バリア/シード層8021のシード層が銅層である場合、これは、NH4OHを含む溶液でエッチングすることができ、接着/バリア/シード層8021の接着/バリア層がチタンタングステン合金層である場合、これは、過酸化水素を含む溶液でエッチングすることができ、接着/バリア/シード層8021の接着/バリア層がチタン層である場合、これは、フッ化水素を含む溶液でエッチングすることができ、接着/バリア/シード層8021の接着/バリア層がクロム層である場合、これは、フェリシアン化カリウムを含む溶液を使用してエッチングすることができる。ドライエッチング法に関しては、接着/バリア/シード層8021のシード層が金層である場合に、イオンミリングプロセスを使って、またはArスパッタリングエッチングプロセスを使って除去することができ、接着/バリア/シード層8021の接着/バリア層がチタン層またはチタンタングステン合金層である場合、これは、塩素含有プラズマエッチングプロセスを使用するか、またはRIEプロセスを使用してエッチングすることができる。一般に、バルク導電性金属層8022の下にない接着/バリア/シード層8021をエッチングするドライエッチング法として、化学プラズマエッチングプロセス、アルゴンスパッタプロセスなどのスパッタリングエッチングプロセス、または化学気相エッチングプロセスが挙げられる。
したがって、第2の金属層802は、ポリマー層97上に、また開口部970によって露出されているビアプラグ898上に形成することができ、第2の金属層802は、接着/バリア/シード層8021および接着/バリア/シード層8021上のバルク導電性金属層8022とともに形成される。
図19Gを参照すると、ポリマー層99は、スピンオンコーティングプロセスを介して、露出されているポリマー層97上に、またバルク金属層8022上に形成されることがわかる。図19Hを参照すると、ポリマー層99は、露光および現像プロセスによりパターン形成され、接点8000を露出する開口部990をポリマー層99内に形成することがわかる。あるいは、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、ラミネート加工プロセスまたはスクリーン印刷プロセスによって形成されうる。ポリマー層99は、ポリイミド(PI)、ベンゾシクロブテン(BCB)、ポリウレタン、エポキシ樹脂、パリレン系ポリマー、ハンダマスク材料、エラストマー、シリコーン、または多孔質誘電体を含みうる。
例えば、ポリマー層99は、好適な1つまたは複数のプロセスによって、例えば、6から50μmまでの範囲の厚さを有する、エステルタイプの前駆体を含む、ネガ型感光性ポリイミド層を、露出されているポリマー層97上に、またバルク導電性金属層8022上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリイミド層を露光し、ベークしたポリイミド層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリイミド層に照射し、次いで、露光したポリイミド層を現像して、接点8000を露出するポリイミド開口部を露光したポリイミド層内に形成し、次いで、現像したポリイミド層を、290から400℃までの範囲のピーク温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に3から25μmまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマによりポリイミド開口部によって露出されている接点8000から残留ポリマー材料もしくは他の汚染物質を除去して、ポリマー層99内の開口部990により接点8000が露出している状態でポリマー層99にパターン形成を行えるようにすることによって形成されうる。あるいは、現像されたポリイミド層を、150から290℃までの範囲、好ましくは260から280℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱することができる。
図19Hに示されているトップポストパッシベーション技術のオーバーパッシベーションスキームを形成した後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。図19Hの接点8000を外部回路に接続する方法は、図15Kの接点8000を外部回路に接続する方法として参照することができる。外部回路は、他の半導体チップ、30から200μmまでの範囲の厚さを有するポリマー層(ポリイミドなど)を備えるが、グラスファイバーを伴うポリマー層を備えないフレキシブル基板、ガラス基板、セラミック材料を回路層の間の絶縁層として備えるセラミック基板、シリコン基板、有機基板、プリント回路基板(PCB)、またはボールグリッドアレイ(BGA)基板とすることができる。例えば、図19Iを参照すると、ワイヤボンディングプロセスを使って、金線、銅線、またはアルミニウム線などのワイヤ89’を、ウェハ10から切り出した半導体チップの接点8000に接合することができることがわかる。
あるいは、図15Lまたは図15Mに例示されている接触構造89を、開口部990によって露出されている接点8000上に形成することができる。接触構造89が図19Hに示されているウェハ10の接点8000上に形成された後、ウェハ10を複数の半導体チップにダイスカットすることができる。図19Hに示されているオーバーパッシベーションスキーム102は、ポリマー層95、97、98、および99、パターン形成回路層801および802、ビアプラグ898、ならびに金属片898’を備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層97内の開口部970は、ビアプラグ898の上にあり、ポリマー層99内の開口部990は、パターン形成回路層802の接点8000の上にあり、それを露出する。
図21および22は、PMOSトランジスタまたはNMOSトランジスタであるものとしてよいMOSトランジスタの上面図である。図21を参照すると、トランジスタは、シリコン基板1の中、またはその上の、活性領域200、拡散領域、シリコン基板1上の、および活性領域200の周りの、フィールド酸化物領域202、フィールド酸化物領域202上の、および活性領域200を横断する、ゲート204、ならびに活性領域200とゲート204との間のゲート酸化物(図示せず)を備えることがわかる。活性領域200は、ゲート204の一方の側にあるソース206、およびゲート204の他方の側にあるドレイン208として定めることができる。ゲート204の材料は、ポリシリコン、金属シリサイド、または前記材料の複合層とすることができ、金属シリサイドは、NiSi、CoS、TiSi2、またはWSiとすることができる。あるいは、ゲート204の材料は、W、WN、TiN、Ta、TaN、Moなどの金属、もしくは合金、または前記材料の複合層とすることができる。ゲート酸化物の材料は、酸化ケイ素またはHf含有酸化物などのHigh−k酸化物とすることができる。Hf含有酸化物は、HfO2、HfSiON、またはHfSiOであるものとしてよい。本開示における前記の物理的チャネル幅および物理的チャネル長は、図21において定義されているものとしてよい。Wの基準マークは、ゲート204の長さが拡散領域200の上で交差する、トランジスタの物理的チャネル幅として定義され、Lの基準マークは、ゲート204の幅が拡散領域200の上にある、トランジスタの物理的チャネル長として定義される。
あるいは、図22を参照すると、トランジスタは、1つまたは複数の拡散領域200の上に複数の部分2041〜204nを持つゲート204を備えることができることがわかる。W1〜Wnの基準マークは、ゲート204のそれぞれの部分2041〜204nの長さが拡散領域200の上で交差する、ゲート204のそれぞれの部分2041〜204nの物理的チャネル幅として定義され、Lの基準マークは、ゲート204の部分2041〜204nのうちの1つの部分の幅が拡散領域200の上にある、ゲート204の部分2041〜204nのうちの1つの部分の物理的チャネル長として定義される。この場合、トランジスタの物理的チャネル幅Wは、ゲート204のそれぞれの部分2041〜204nの物理的チャネル幅W1〜Wnの総和であり、トランジスタの物理的チャネル長Lは、ゲート204の部分2041〜204nのうちの1つの部分の物理的チャネル長Lである。
図23A〜23Mは、前記のプロセスを使用したDRAMチップのパッケージングの断面図であり、図23A〜23Mに図示されている、前記の要素を示す参照番号と同じ参照番号で指示されている要素は、それらに関する上の説明として参照できる。図23Aを参照すると、DRAMウェハ10はシリコン基板1上の、またはシリコン基板1内の、複数のメモリセル(図示せず)、複数のオフチップ回路、および複数の内部回路20を含むことがわかる。DRAMウェハ10は、シリコン基板1の上に、電気ヒューズ25およびレーザーヒューズ26を備えることができ、電気ヒューズ25およびレーザーヒューズ26は、シリコン基板1の上で細線金属層30に接続される。電気ヒューズ25は、200から2,000オングストロームまでの範囲の厚さを有するポリシリコン層251とポリシリコン層251上の1,000から3,000オングストロームまでの範囲の厚さを有する金属シリサイド層252とからなる。金属シリサイド層252は、チタン、コバルト、ニッケル、またはタングステンを含むものとしてよい。非溶断状態の電気ヒューズ25は、1から15オーム/スクエアまでの範囲のシート抵抗を有するものとしてよい。電気ヒューズ25上の、および/または電気ヒューズ25の下に3未満の誘電率を有する酸化物含有絶縁層があってもよい。レーザーヒューズ26は、銅、アルミニウム、またはポリシリコンを含むことができる。パッシベーション層5内の開口部526は、レーザーヒューズ26の上に形成され、レーザーヒューズ26の上で酸化ケイ素層を露出する。
次に、ウェハレベルの電気的検査プロセスを実行して、正常ダイ、修理不可能な不良ダイ、および修理可能な不良ダイを確認することができる。次に、修理可能な不良ダイをレーザー修理するステップを実行することができる。修理可能な不良ダイをレーザー修理するステップにおいて、レーザー光でレーザーヒューズ26を溶断し、図23Bに示されているように、レーザーヒューズ26の両端に接続されている金属トレースが開回路になるようにできる。それにより、場合によっては、修理可能な不良ダイが、正常ダイであると判明することがある。
次に、図23Cを参照すると、ポリマー層95をパッシベーション層5上に、またレーザーヒューズ26上の酸化ケイ素層上に、またレーザーヒューズ26の溶断部分上に形成することができ、ポリマー層95内の複数の開口部950がパッシベーション層5内の複数の開口部50によって露出される、アルミニウムパッドまたは銅パッドなどの、複数のコンタクトパッド600を露出することがわかる。ポリマー層95を形成するステップは、図15Cに示されているようにパッシベーション層5上にポリマー層95を形成するステップとして参照することができる。
次に、図23Dを参照すると、接着/バリア/シード層8011がポリマー層95上に、またコンタクトパッド600上に形成されていることがわかるが、このプロセスは、図15Dに示されているように接着/バリア/シード層8011をポリマー層95上に、またコンタクトパッド600上に形成するステップとして参照することができる。次に、図23Eを参照すると、フォトレジスト層71が接着/バリア/シード層8011上に形成され、フォトレジスト層71内の複数の開口部710が接着/バリア/シード層8011のシード層を露出していることがわかるが、このプロセスは、図15Eに示されているようにフォトレジスト層71を接着/バリア/シード層8011上に形成するステップとして参照することができる。次に、図23Fを参照すると、バルク導電性層8012がフォトレジスト層71内の開口部710によって露出されている接着/バリア/シード層8011の上に形成されていることがわかるが、このプロセスは、図15Fに示されているようにバルク導電性層8012を接着/バリア/シード層8011上に形成するステップとして参照することができる。次に、図23Gを参照すると、フォトレジスト層71が接着/バリア/シード層8011のシード層から除去されることがわかるが、これは図15Gに示されているようにフォトレジスト層71を除去するステップとして参照することができる。次に、図23Hを参照すると、バルク導電性層8012の下にない接着/バリア/シード層8011が除去されることがわかるが、このプロセスは、図15Hに示されているようにバルク導電性層8012の下にない接着/バリア/シード層8011を除去するステップとして参照することができる。したがって、パターン形成回路層801は、接着/バリア/シード層8011および接着/バリア/シード層8011の上のバルク導電性層8012とともに形成されうる。パターン形成回路層801は、ポリマー層99内の開口部を通して外部回路に接続することなく、複数の内部回路20に接続する左側部分とポリマー層99内の開口部990を通してオフチップ回路40を外部回路に容易に接続する、再配置を目的とする、右側部分とを有するものとしてよい。
次に、図23Iを参照すると、ポリマー層99をパターン形成回路層801上に、またポリマー層95上に形成することができ、ポリマー層99内の複数の開口部990がパターン形成回路層801の複数の接点8000を露出することがわかる。ポリマー層99をパターン形成回路層801上に、またポリマー層95上に形成するステップは、図15Kに示されているようにポリマー層99をパターン形成回路層802上に、またポリマー層98上に形成するステップとして参照することができる。パターン形成回路層801は、ポリマー層99内の開口部を通して外部回路に接続することなく、複数の内部回路20に接続する左側部分とポリマー層99内の開口部990を通してオフチップ回路40を外部回路に容易に接続する、再配置を目的とする、右側部分とを有するものとしてよく、この左側部分はすべてポリマー層99によって覆われている。
次に、図23Jを参照すると、ウェハレベルの他の電気的検査プロセスを適宜実行して、正常ダイ、修理不可能な不良ダイ、および修理可能な不良ダイを確認することができることがわかる。次に、修理可能な不良ダイをEヒューズ修理するステップを実行することができる。修理可能な不良ダイをEヒューズ修理するステップにおいて、0.05から2アンペアまでの範囲の電流が50から1,800マイクロ秒までの範囲の時間にわたって電気ヒューズ25中を通り、好ましくは、0.1から1アンペアまでの範囲の電流が100から900マイクロ秒までの範囲の時間にわたって電気ヒューズ25中を通り、電気ヒューズ25が切れる。このときに、電気ヒューズ25は、電流がポリシリコン層251のみを通り、金属シリサイド層252を通らない部分を有する。それにより、場合によっては、修理可能な不良ダイが、正常ダイであると判明することがある。溶断した電気ヒューズ25は、100から10,000オーム/スクエアまでの範囲のシート抵抗を有するものとしてよい。修理可能な不良ダイをEヒューズ修理するステップの後、ウェハレベルの他の電気的検査プロセスを適宜実行して、正常ダイ、修理不可能な不良ダイ、および修理可能な不良ダイを確認することができる。
次に、図23Kに示されているように、機械的切断プロセスを使用するか、またはレーザー切断プロセスを使用して、DRAMウェハ10を複数のDRAMチップ10’に切り分けることができる。修理不可能な不良ダイは、以下のプロセスでパッケージングせずに廃棄することができる。図23Kに示されているオーバーパッシベーションスキーム102は、パターン形成回路層801とポリマー層95および99とを備え、ポリマー層95内の開口部950は、パッシベーション層5内の開口部50によって露出された金属パッドまたはトレース600の領域の上にあり、ポリマー層99内の開口部990は、パターン形成回路層801の接点8000の上にあり、それを露出する。
次に、図23Lを参照すると、DRAMチップ10’のうちの1つのチップをプリント回路基板(PCB)13、ボールグリッドアレイ(BGA)基板に、銀エポキシなどの、接着剤11を使って実装することができることがわかる。次に、金線、銅線、またはアルミニウム線などのワイヤ89’を、ボールボンディングで、ポリマー層99内の開口部990によって露出されている接点8000上に接合し、ウェッジボンディングで、プリント回路基板13の接点15上に接合することができる。次に、エポキシ系材料などのポリマー材料をプリント回路基板(PCB)13の上で成形し、ワイヤ89’とDRAMチップ10’とを封入する。次に、機械的切断プロセスを使用して、プリント回路基板(PCB)13を複数の部分に分離することができる。次に、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含む複数のハンダボール19を、ボール実装により、分離されたプリント基板(PCB)13の底面上に実装することができる。
あるいは、複数のDRAMチップ10’を、図23Mに示されているように、プリント基板(PCB)13の上に積層することができる。DRAMチップ10’のうちの一番下にある1つのチップをプリント回路基板(PCB)13、ボールグリッドアレイ(BGA)基板に、銀エポキシなどの、接着剤11を使って最初に実装することができる。次に、金線、銅線、またはアルミニウム線などのワイヤ89’を、ボールボンディングで、ポリマー層99内の開口部990によって露出されている、DRAMチップ10’のうちの一番下にあるチップの接点8000上に接合し、ウェッジボンディングで、プリント回路基板13の接点15上に接合することができる。次に、シリコンまたは銅などのダンプバンプ(dump bump)を、銀エポキシなどの接着剤11を使って、DRAMチップ10’のうちの一番下のチップの上面に接着することができる。次に、DRAMチップ10’のうちの一番上のチップを、銀エポキシなどの接着剤11を使って、ダミーダンプ19に実装することができる。次に、金線、銅線、またはアルミニウム線などのワイヤ89’を、ボールボンディングで、ポリマー層99内の開口部990によって露出されている、DRAMチップ10’のうちの一番上にあるチップの接点8000上に接合し、ウェッジボンディングで、プリント基板13の接点15上に接合することができる。プリント回路基板(PCB)13のただ1つのコンタクトパッド15が、2つのワイヤボンディング接合ワイヤ89’を通じて一番上と一番下のチップ10’の2つの接点8000に接続されうる。
DRAMチップ10’のチップパッケージの上面を示す図23Nおよび図23A〜23Mを参照すると、パッシベーション層5内の開口部によって露出されている元のパッド600はDRAMチップ10’の中心線に揃えられており、パッシベーション層5の上のパターン形成回路層801を通して元のパッド600に接続されている再配置されたパッド8000は、DRAMチップ10’のエッジに近い位置に配列され、そのため再配置されたパッド8000はワイヤ89’により容易にワイヤボンディングで接合できることがわかる。
1つまたは複数のDRAMチップ10’が図21Lおよび21Mに示されているようにパッケージングされた後、電気的検査プロセスを実行して、正常パッケージ、修理不可能な不良パッケージ、および修理可能な不良パッケージを最初に確認することができる。最初に確認された修理可能な不良パッケージは、前記のEヒューズ修理プロセスによって修理することができる。次に、他の電気的検査プロセスを実行して、修理されたパッケージが正常かどうかをチェックすることができる。次に、最初に確認された正常パッケージおよび修理された正常パッケージのバーンインを行うことができる。次に、他の電気的検査プロセスを実行して、バーンインが行われたパッケージが引き続き正常かどうかをチェックすることができる。
あるいは、図23A〜23Mに示されているようにDRAMチップ10’をパッケージングするためのプロセスを実行するときに、フラッシュメモリチップ、SRAMチップ、または論理チップなどの他のチップをパッケージングすることができる。
上記の説明では、トップポストパッシベーション技術(top post-passivation technology)に注目している、つまり、オーバーパッシベーションスキーム102をシリコン基板1の活性側のパッシベーション層5の上に形成することができる。図1B〜1D、3B〜3D、5B、5K、5S、5U、5V〜5Z、7B〜7D、8A〜8F、10A〜10I、12B〜12D、14B〜14D、15H、15K〜15M、16L、16M、17J、18I、19H、20、および23Kは、シリコン基板1の活性側のパッシベーション層5の上に形成されるさまざまな種類のオーバーパッシベーションスキーム102を示している。以下の説明では、底部構造技術に注目している、つまり、底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。この詳細書において同じ参照番号を有する要素は、互いへの参照であるものとしてよい。
図24Aは、半導体ウェハ10内の上述のようなシリコン基板1および他の要素を示しており、シリコン基板1は、600から1000マイクロメートルまでの範囲、50マイクロメートルから1ミリメートルまでの範囲、または75から250マイクロメートルまでの範囲の厚さt1を有する。あるいは、シリコン基板1を、シリコンゲルマニウム(SiGe)基板またはガリウムヒ素(GaAs)基板などの、他の半導体基板と置き換えることができる。
図24Bを参照すると、半導体ウェハ10は、ひっくり返され、次いで、シリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。あるいは、半導体ウェハ10をひっくり返す前記のステップは、以下のプロセスを実行するためにシリコン基板1を薄化する前記のステップの後に実行することができる。
次に、図24Cを参照すると、複数のシリコン貫通ビア(TSV)11a、11b、11c、11d、および11eが、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成されて、細線金属層60および632cの領域60aを露出し、絶縁層3が、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成されていることがわかる。シリコン貫通ビア11a、11b、11c、11d、および11eは、薄化シリコン基板1および(複数可)誘電体層30を完全に貫通する。シリコン貫通ビア11a、11b、11c、11d、および11eは、5から100マイクロメートルまでの範囲、または3から50マイクロメートルまでの範囲の直径または幅W1、および1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の深さを有するものとしてよい。絶縁層3として、例えば、窒化ケイ素層などの窒化物層、ポリイミド層、ベンゾシクロブテン層、またはポリベンゾオキサゾール層などのポリマー層、オキシ窒化ケイ素層、窒化炭素ケイ素(SiCN)層、オキシ炭化ケイ素(SiOC)層、または酸化ケイ素層が挙げられる。
図24D〜24Hは、本開示によりシリコン貫通ビア11a、11b、11c、11d、および11eならびに絶縁層3を形成するプロセスを示す断面図である。図24Dを参照すると、図24Bに例示されているステップの後に、フォトレジスト層28が薄化シリコン基板1の裏面1a上にスピンオンコーティングまたはラミネート加工され、複数のリング形状の開口部28aがフォトレジスト層28内に形成され、露光プロセスおよび現像プロセスを使用して薄化シリコン基板1の裏面1aが露光されることがわかる。図24Eは、フォトレジスト層28内のリング形状の開口部28aを示す略上面図である。次に、図24Fを参照すると、それぞれが1から20マイクロメートルまでの範囲の横方向幅W2を有する複数のシリコン貫通リング形状開口部11gが、例えば異方性反応性イオンエッチングを使用してフォトレジスト層28内のリング形状の開口部28aの下の薄化シリコン基板1および(複数可)誘電体層30をドライエッチングすることによって、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成され、薄化シリコン基板1および(複数可)誘電体層30を完全に貫通することがわかる。次に、図24Gに示されているように、フォトレジスト層28を除去する。次に、図24Hを参照すると、0.5から20マイクロメートルまでの範囲または0.01から5マイクロメートルまでの範囲の厚さt3を有する、ポリマー層、ポリイミド層、ベンゾシクロブテン(BCB)層、ポリベンゾオキサゾール(PBO)層、窒化ケイ素層、酸化ケイ素層、オキシ窒化ケイ素層、オキシ炭化ケイ素(SiOC)層、または窒化炭素ケイ素(SiCN)層などの絶縁層3がシリコン貫通リング形状開口部11g内に、また薄化シリコン基板1の裏面1a上に形成されることがわかる。次に、シリコン貫通リング形状開口部11gにおいて絶縁層3によって囲まれている薄化シリコン基板1の複数の部分を、フッ素および炭素を利用した異方性反応性イオンエッチングなどのドライエッチングプロセスを使用して除去し、したがって、シリコン貫通ビア11a、11b、11c、11d、および11eは、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成されて、図24Cに示されているように、細線金属層60および632cの領域60aを露出し、絶縁層3は、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成されることがわかる。
図24I〜24Nは、本開示によりシリコン貫通ビア11a、11b、11c、11d、および11eならびに絶縁層3を形成する他のプロセスを示す断面図である。図24Iを参照すると、0.3から40マイクロメートルまでの範囲の厚さt4を有する、窒化ケイ素層、酸化ケイ素層、ポリマー層などの、エッチングストップ層3aが、薄化シリコン基板1の裏面1a上に形成されていることがわかる。例えば、エッチングストップ層3aは、好適な1つまたは複数のプロセスによって、例えば、化学機械蒸着(CVD)プロセスを使用して、0.2から1.2マイクロメートルまでの範囲の厚さを有する窒化ケイ素または窒化炭素ケイ素層を薄化シリコン基板1の裏面1a上に蒸着することによって形成されうる。あるいは、エッチングストップ層3aは、好適な1つまたは複数のプロセスによって、例えば、化学機械蒸着(CVD)プロセスを使用して、0.2から1.2マイクロメートルまでの範囲の厚さを有する酸化ケイ素またはオキシ炭化ケイ素層を薄化シリコン基板1の裏面1a上に蒸着し、次いで、化学機械蒸着(CVD)プロセスを使用して、0.2から1.2マイクロメートルまでの範囲の厚さを有する窒化ケイ素または窒化炭素ケイ素層を酸化ケイ素もしくはオキシ炭化ケイ素層上に蒸着することによって形成されうる。あるいは、エッチングストップ層3aは、好適な1つまたは複数のプロセスによって、例えば、化学機械蒸着(CVD)プロセスを使用して、0.2から1.2マイクロメートルまでの範囲の厚さを有する窒化ケイ素層を薄化シリコン基板1の底部裏面1a上に蒸着し、次いで、2から30マイクロメートルまでの範囲の厚さを有するポリマー層を窒化ケイ素または窒化炭素ケイ素層上にコーティングすることによって形成されうる。
図24Jを参照すると、図24Iに例示されているステップの後に、フォトレジスト層54がエッチングストップ層3a上にスピンオンコーティングまたはラミネート加工され、複数の開口部54aがフォトレジスト層54内に形成され、露光プロセスおよび現像プロセスを使用してエッチングストップ層3aが露光されることがわかることがわかる。次に、図24Kを参照すると、シリコン貫通ビア11a、11b、11c、11d、および11eは、例えばフッ素および炭素を利用する異方性反応性イオンエッチングを使用して、フォトレジスト層54内の開口部54aの下のエッチングストップ層3a、薄化シリコン基板1、および(複数可)誘電体層30をドライエッチングすることによって、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成され、エッチングストップ層3a、薄化シリコン基板1、および(複数可)誘電体層30を完全に貫通し、細線金属層60および632cの領域60aを露出することがわかる。次に、図24Lに示されているように、フォトレジスト層28を除去する。次に、図24Mを参照すると、0.1から10マイクロメートルまでの範囲の厚さt5を有する、ポリマー層、ポリイミド層、ベンゾシクロブテン(BCB)層、ポリベンゾオキサゾール(PBO)層、窒化ケイ素層、酸化ケイ素層、オキシ窒化ケイ素層、オキシ炭化ケイ素(SiOC)層、または窒化炭素ケイ素(SiCN)層などの絶縁層3bがシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に、またエッチングストップ層3a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eによって露出されている細線金属層60および632cの領域60a上に形成されることがわかる。次に、図24Nを参照すると、エッチングストップ層3a上に、また細線金属層60および632cの領域60a上に形成された絶縁層3bは、異方性反応性イオンエッチングなどのドライエッチングプロセスを使用して除去されることがわかる。したがって、細線金属層60および632cの領域60aは、シリコン貫通ビア11a、11b、11c、11d、および11eを通して露出され、エッチングストップ層3aは、絶縁層3bによって露わにされている上面を有する。エッチングストップ層3aおよび絶縁層3bは、絶縁層3を構成する。
説明をわかりやすくするために、以下のプロセスに示されている絶縁層3は、図24D〜24Hに例示されているように作られた絶縁層3または図24I〜24Nに例示されているように作られた、エッチングストップ層3aおよび絶縁層3bからなる、絶縁層3で参照されうる。
図25A〜25Lは、本開示による、半導体ウェハの裏面における底部構造技術の底部スキーム103を形成するためのプロセスを示す断面図である。図25Aを参照すると、絶縁層3およびシリコン貫通ビア11a、11b、11c、11d、および11eを形成した後、金属層4を絶縁層3上に、またシリコン貫通ビア11a、11b、11c、11d、および11eによって露出されている細線金属層60および632cの領域60a上に、またシリコン貫通ビア11a、11b、11c、11d、および11e内に形成することができることがわかる。金属層4は、好適な1つまたは複数のプロセスによって、例えば、接着/バリア層4aを、絶縁層3上に、またシリコン貫通ビア11a、11b、11c、11d、および11e上に、またシリコン貫通ビア11a、11b、11c、11d、および11eによって露出されている細線金属層60および632cの領域60a上に堆積し、次いで、シード層4bを接着/バリア層4a上に堆積することによって形成されうる。
金属層4の底部の接着/バリア層4aは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、窒化チタン層、チタン層、またはチタンタングステン合金層などの、チタン含有層を、絶縁層3上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁に、またシリコン貫通ビア11a、11b、11c、11d、および11eによって露出されている細線金属層60および632cのアルミニウム層、電気メッキされた銅層、窒化タンタル層、窒化チタン層、タンタル層、またはチタン層上にスパッタリングまたは化学気相成長(CVD)法で堆積することによって形成されうる。あるいは、金属層4の底部の接着/バリア層4aは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層などの、クロム含有層を、絶縁層3上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁に、またシリコン貫通ビア11a、11b、11c、11d、および11eによって露出されている細線金属層60および632cのアルミニウム層、電気メッキされた銅層、窒化タンタル層、窒化チタン層、タンタル層、またはチタン層上にスパッタリングまたは化学気相成長法で堆積することによって形成されうる。あるいは、金属層4の底部の接着/バリア層4aは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタル層または窒化タンタル層などの、タンタル含有層を、絶縁層3上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁に、またシリコン貫通ビア11a、11b、11c、11d、および11eによって露出されている細線金属層60および632cのアルミニウム層、電気メッキされた銅層、窒化タンタル層、窒化チタン層、タンタル層、またはチタン層上にスパッタリングまたは化学気相成長法で堆積することによって形成されうる。
金属層4の頂部のシード層4bは、好適な1つまたは複数のプロセスによって、例えば、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、アルミニウム層、ニッケル層、または銀層を、前記の材料の接着バリア層4a上にスパッタリングまたは化学気相成長法で堆積することによって形成されうる。あるいは、金属層4の頂部のシード層4bは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する金層を、前記の材料の接着/バリア層4a上にスパッタリングまたは化学気相成長法で堆積することによって形成されうる。
図25Bを参照すると、金属層4を形成した後、5から50マイクロメートルまでの範囲、好ましくは10から25マイクロメートルまでの範囲など、1.5マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層29が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって金属層4のシード層4b上に形成されることがわかる。次に、図25Cに示されているように、露光および現像のプロセスによりフォトレジスト層29のパターン形成を行い、金属層4のシード層4bを露出する複数の開口部29aをフォトレジスト層29内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層29を露光することができる。
例えば、フォトレジスト層29は、好適な1つまたは複数のプロセスによって、例えば、5から30マイクロメートルまでの範囲、好ましくは10から25マイクロメートルまでの範囲の厚さを有する、ポジ型感光性ポリマー層を、金属層4のシード層4a上にスピンオンコーティングし、次いで、G線、H線、およびI線のうちの少なくとも2つにより1Xステッパーまたはコンタクトアライナーを使用して感光性ポリマー層を露光し、G線は例えば約434から438nmまでの範囲の波長を有し、H線は例えば約403から407nmまでの範囲の波長を有し、I線は例えば約363から367nmまでの範囲の波長を有し、次いで、現像液をフォトレジスト層29にスプレーし、塗るか、またはフォトレジスト層29を現像液中に浸漬することによって露出されているポリマー層を現像し、次いで、脱イオン水を使用して半導体ウェハを洗浄し、半導体ウェハを回転して半導体ウェハを乾燥させることによって形成されうる。現像した後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマを使用することによって、金属層4のシード層4bから残留ポリマー材料もしくは他の汚染物質を除去するスカム除去プロセスを実行することができる。これらのプロセスにより、金属層4のシード層4bを露出する開口部29aで、フォトレジスト層29のパターン形成を行うことができる。
次に、図25Dを参照すると、電気メッキプロセスを含むプロセスにより、バルク金属層9が開口部29a内に、また開口部29aによって露出されている金属層4のシード層4b上に形成されることがわかる。バルク金属層9は、1から50マイクロメートルまでの範囲、2から20マイクロメートルまでの範囲、または5から150マイクロメートルまでの範囲などの1マイクロメートルより大きい厚さ、ならびにそれぞれ、シード層4bの厚さ、接着/バリア層4aの厚さ、および細線金属層60のそれぞれの厚さより大きい厚さを有することができる。バルク金属層9は、銅、金、ニッケル、アルミニウム、銀、白金、ハンダ、または前記の材料の複合材を含むものとしてよい。
例えば、バルク金属層9は、好適な1つまたは複数のプロセスによって、例えば、CuSO4、Cu(CN)2、またはCuHPO4を含む電気メッキ溶液を使って、1から50マイクロメートルまでの範囲、2から20マイクロメートルまでの範囲、または5から150マイクロメートルまでの範囲の厚さになるように、銅層を開口部29a内に、また開口部29aによって露出されている、シード層4b、好ましくは前記の銅層4b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、バルク金属層9は、好適な1つまたは複数のプロセスによって、例えば、1から50マイクロメートルまでの範囲、2から20マイクロメートルまでの範囲、または5から150マイクロメートルまでの範囲の厚さになるように、アルミニウム層を開口部29a内に、また開口部29aによって露出されている、好ましくは好適な1つまたは複数のプロセスによって、例えば、前記のアルミニウム層もしくは銅層4bによって形成された、シード層4b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、バルク金属層9は、好適な1つまたは複数のプロセスによって、例えば、1から20グラム/リットル(g/l)までの範囲、好ましくは5から15g/lまでの範囲の金、および10から120g/lまでの範囲、好ましくは30から90g/lまでの範囲の亜硫酸イオンを含む電気メッキ溶液を使って、0.5から30マイクロメートルまでの範囲、1から10マイクロメートルまでの範囲、または5から150マイクロメートルまでの範囲の厚さになるように、金層を開口部29a内に、また開口部29aによって露出されている、シード層4b、好ましくは前記の金層4b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。電気メッキ溶液は、亜硫酸金ナトリウム(Na3Au(SO32)の溶液に変える、ナトリウムイオンをさらに含むか、または亜硫酸金アンモニウム((NH43[Au(SO32])の溶液に変える、アンモニウムイオンをさらに含みうる。以下では金層を電気メッキする動作パラメータについて説明する。
1.前記の電気メッキ溶液は、金層を開口部29aによって露出されている金属層4のシード層4b上に電気メッキするために、30から70℃までの範囲、好ましくは45から65℃までの範囲の温度にすることができる。
2.前記の電気メッキ溶液を使用し、1から10mA/cm2までの範囲、好ましくは4から6mA/cm2までの範囲の電流密度の電流を流して、金層を開口部29aによって露出されている金属層4のシード層4b上に電気メッキすることができる。
3.前記の電気メッキ溶液は、金層を開口部29aによって露出されている金属層4のシード層4b上に電気メッキするために、6から9までの範囲、好ましくは7から8.5までの範囲のpH値を有することができる。
あるいは、バルク金属層9は、好適な1つまたは複数のプロセスによって、例えば、銅を電気メッキするために前記の電気メッキ溶液を使って、1から50マイクロメートルまでの範囲、2から20マイクロメートルまでの範囲、または5から150マイクロメートルまでの範囲の厚さになるように、銅層を開口部29a内に、また開口部29aによって露出されている、シード層4b、好ましくは前記の銅層4b上に電気メッキし、次いで、NiSO4またはNiスルファミン酸塩またはNi(NH2SO32を含む電気メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層、バルク金属層9の上部金属層を開口部29a内に、また開口部29a内の電気メッキされた銅層上に電気メッキするか、または、NiおよびNaPO22(次亜リン酸ナトリウム)を含む無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層、バルク金属層9の上部金属層を開口部29a内に、また開口部29a内の電気メッキされた銅層上に無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、バルク金属層9は、好適な1つまたは複数のプロセスによって、例えば、ニッケルを電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、2から20マイクロメートルまでの範囲、または5から150マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部29a内に、また開口部29aによって露出されている、好ましくは好適な1つまたは複数のプロセスによって、例えば、前記の銅層もしくはニッケル層4bによって形成された、シード層4b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、バルク金属層9は、好適な1つまたは複数のプロセスによって、例えば、銅を電気メッキするために前記の電気メッキ溶液を使って、1から50マイクロメートルまでの範囲、2から20マイクロメートルまでの範囲、または5から150マイクロメートルまでの範囲の厚さになるように、銅層126を開口部29a内に、また開口部29aによって露出されている、シード層4b、好ましくは前記の銅層4b上に電気メッキし、次に、ニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層127を開口部29a内に、また開口部29a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、金を電気メッキするために前記の電気メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層128、バルク金属層9の上部金属層を開口部29a内に、また開口部29a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または、AuNaSO3またはAuCNなどの金塩および安息香酸塩またはフェニルなどの還元剤を含む無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層128を開口部29a内に、また開口部29a内の電気メッキされた、または無電解メッキされたニッケル層上に無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、バルク金属層9は、好適な1つまたは複数のプロセスによって、例えば、銅を電気メッキするために前記の電気メッキ溶液を使って、1から50マイクロメートルまでの範囲、2から20マイクロメートルまでの範囲、または5から150マイクロメートルまでの範囲の厚さになるように、銅層126を開口部29a内に、また開口部29aによって露出されている、シード層4b、好ましくは前記の銅層4b上に電気メッキし、次に、ニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層127を開口部29a内に、また開口部29a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、パラジウムおよびアンモニアを含む電気メッキ溶液を使用して、0.005から5マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、パラジウム層128、バルク金属層9の上部金属層を開口部29a内に、また開口部29a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または、パラジウム、アンモニア、およびヒドラジンなどの還元剤を含む無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、パラジウム層128、バルク金属層9の上部金属層を開口部29a内に、また開口部29a内の電気メッキされた、または無電解メッキされたニッケル層上に無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、バルク金属層9は、好適な1つまたは複数のプロセスによって、例えば、銅を電気メッキするために前記の電気メッキ溶液を使って、1から50マイクロメートルまでの範囲、2から20マイクロメートルまでの範囲、または5から150マイクロメートルまでの範囲の厚さになるように、銅層を開口部29a内に、また開口部29aによって露出されている、シード層4b、好ましくは前記の銅層4b上に電気メッキし、次に、ニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部29a内に、また開口部29a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次に、パラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液を使用して、0.005から5マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部29a内に、また開口部29a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキし、次いで、金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層、バルク金属層9の上部金属層を開口部29a内に、また開口部29a内の電気メッキされた、または無電解メッキされたパラジウム層上に電気メッキするか、または無電解メッキすることによって形成される4つの金属層からなるものとしてよい。
図25Eを参照すると、バルク金属層9を形成した後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層29が除去されることがわかる。フォトレジスト層29からの一部の残留物が、バルク金属層9の下にない金属層4上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、金属層4から残留物を除去することができる。
次に、図25Fを参照すると、バルク金属層9の下にない金属層4は、バルク金属層9の下にないシード層4bをエッチングし、次いで、バルク金属層9の下にない接着/バリア層4aをエッチングすることによって除去されることがわかる。
ある場合には、バルク金属層9の下にないシード層4bおよび接着/バリア層4aは、その後、ドライエッチング法によって除去されうる。ドライエッチング法に関して、バルク金属層9の下にないシード層4bおよび接着/バリア層4aは両方とも、その後、Arスパッタリングエッチングプロセスによって除去することができ、あるいは、バルク金属層9の下にないシード層4bおよび接着/バリア層4aは両方とも、その後、反応性イオンエッチング(RIE)プロセスによって除去することができ、あるいは、バルク金属層9の下にないシード層4bは、Arスパッタリングエッチングプロセスによって除去することができ、バルク金属層9の下にない接着/バリア層4aは、反応性イオンエッチング(RIE)プロセスによって除去することができる。
他の場合には、バルク金属層9の下にないシード層4bおよび接着/バリア層4aは、その後、ウェットエッチング法によって除去されうる。ウェットエッチング法に関しては、シード層4bが銅層である場合、NH4OHを含む溶液またはH2SO4を含む溶液でエッチングすることができ、シード層4bが金層である場合、ヨウ化カリウムを含む溶液などの、ヨウ素含有溶液でエッチングすることができ、接着/バリア層4aがチタンタングステン合金層である場合、過酸化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができ、接着/バリア層4aがチタン層である場合、フッ化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができ、接着/バリア層4aがクロム層である場合、フェリシアン化カリウムを含む溶液でエッチングすることができる。
他の場合には、バルク金属層9の下にない、銅などのシード層4bは、NH4OHを含む溶液またはH2SO4を含む溶液によって除去することができ、バルク金属層9の下にない接着/バリア層210は、反応性イオンエッチング(RIE)プロセスまたはArスパッタリングエッチングプロセスによって除去することができる。
他の場合には、バルク金属層9の下にない、金などのシード層4bは、ヨウ化カリウムを含む溶液などのヨウ素含有溶液でエッチングすることができ、バルク金属層9の下にない接着/バリア層4aは、反応性イオンエッチング(RIE)プロセスまたはArスパッタリングエッチングプロセスによって除去することができる。
バルク金属層9の下にない接着/バリア層4aをエッチングした後、金属層4および9によって構成される複数の相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、バルク金属層9の側壁は、金属層4によって覆われていない。
図25Gを参照すると、図25Fに例示されているステップの後に、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、さらに硬化プロセスを含むプロセスを使用して、ポリマー層14を、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層上に、また絶縁層3上に形成することができ、ポリマー層14内の開口部14aは、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層の1つの領域の上にあり、それを露出することがわかる。ポリマー層14は、3から25マイクロメートルまでの範囲、好ましくは5から15マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有する。ポリマー層14の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
ある場合には、ポリマー層14は、好適な1つまたは複数のプロセスによって、例えば、6から50マイクロメートルまでの範囲の厚さを有するネガ型感光性ポリイミド層を、絶縁層3上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリイミド層を露光し、ベークしたポリイミド層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリイミド層に照射し、次いで、露光されたポリイミド層を現像してバルク金属層9を露出する開口部を形成し、次いで、現像されたポリイミド層を、180から400℃までの範囲、180から250℃までの範囲、250から290℃までの範囲、290から400℃までの範囲、または200から390℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に3から25マイクロメートルまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマにより露出されたバルク金属層9から残留ポリマー材料もしくは他の汚染物質を除去することによって形成されうる。ところで、ポリマー層14は、絶縁層3上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成することができ、ポリマー層14内に形成された開口部14aは、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域を露出する。
他の場合には、ポリマー層14は、好適な1つまたは複数のプロセスによって、例えば、3から25マイクロメートルまでの範囲の厚さを有するポジ型感光性ポリベンゾオキサゾール層を、絶縁層3上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリベンゾオキサゾール層をベークし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリベンゾオキサゾール層を露光し、ベークしたポリベンゾオキサゾール層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリベンゾオキサゾール層に照射し、次いで、露光されたポリベンゾオキサゾール層を現像してバルク金属層9を露出する開口部を形成し、次いで、現像されたポリベンゾオキサゾール層を、150から250℃までの範囲、好ましくは180から250℃までの範囲、または200から400℃までの範囲、好ましくは250から350℃までの範囲の温度で、5から180分の間、好ましくは30から120分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリベンゾオキサゾール層に3から25μmまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマにより露出されたバルク金属層9から残留ポリマー材料もしくは他の汚染物質を除去することによって形成されうる。ところで、ポリマー層14は、絶縁層3上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成することができ、ポリマー層14内に形成された開口部14aは、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域を露出する。
次に、図25Hを参照すると、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層16を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、ポリマー層14上に、また開口部14aにより露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に形成することができ、次いで、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層18を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層16上に形成することができることがわかる。接着/バリア層16の材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層18の材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
例えば、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成することができる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.01から0.15マイクロメートルまでの範囲の厚さを有するチタン層を含む複合層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上にスパッタリングし、0.1から0.35マイクロメートルまでの範囲の厚さを有するチタンタングステン合金層をチタン層上にスパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタンタングステン合金層上にスパッタリングすることによって形成することができる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成することができる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成することができる。
図25Iを参照すると、シード層18を形成した後、10から150マイクロメートルまでの範囲、好ましくは15から50マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層31が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって前記の材料のシード層18上に形成されることがわかる。次に、露光および現像のプロセスによりフォトレジスト層31のパターン形成を行い、前記の材料のシード層18を露出する開口部31aをフォトレジスト層31内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層31を露光することができる。
例えば、フォトレジスト層31は、好適な1つまたは複数のプロセスによって、例えば、10から150マイクロメートルまでの範囲、好ましくは15から50マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する、ポジ型感光性ポリマー層を、前記の材料のシード層18上にスピンオンコーティングし、次いで、G線、H線、およびI線のうちの少なくとも2つにより1Xステッパーまたはコンタクトアライナーを使用して感光性ポリマー層を露光し、G線は例えば約434から438nmまでの範囲の波長を有し、H線は例えば約403から407nmまでの範囲の波長を有し、I線は例えば約363から367nmまでの範囲の波長を有し、次いで、現像液をフォトレジスト層31にスプレーし、塗るか、またはフォトレジスト層31を現像液中に浸漬することによって露出されているポリマー層を現像し、次いで、脱イオン水を使用して半導体ウェハを洗浄し、半導体ウェハを回転して半導体ウェハを乾燥させることによって形成されうる。現像した後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマを使用することによって、シード層18から残留ポリマー材料もしくは他の汚染物質を除去するスカム除去プロセスを実行することができる。これらのプロセスにより、シード層18を露出する開口部31aで、フォトレジスト層31のパターン形成を行うことができる。
図25Jを参照すると、図25Iに例示されているステップの後に、金属バンプまたはピラー27を、開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成することができることがわかる。金属バンプまたはピラー27は、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、5マイクロメートルより大きい、またそれぞれ、シード層18の厚さ、接着/バリア層16の厚さ、および細線金属層60のそれぞれの厚さより大きい厚さを有することができる。金属バンプまたはピラー27は、5から150マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、1マイクロメートルより大きい、また細線金属層60のそれぞれの厚さより大きい幅を有することができる。金属バンプまたはピラー27の材料として、銅、金、ニッケル、アルミニウム、銀、ハンダ、白金、または前記の材料の複合材が挙げられる。金属バンプまたはピラー27は、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路と接合するために使用されうる。
例えば、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層18上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の金を電気メッキするために前記の電気メッキ溶液を使用して、5から30マイクロメートルまでの範囲、好ましくは10から25マイクロメートルまでの範囲の厚さになるように、金層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の金層18上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするために前記の電気メッキ溶液を使用して、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層またはニッケル層18上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層18上に、電気メッキし、次いで、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、金層を開口部31a内に、また開口部31a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層18上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部31a内に、また開口部31a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層を開口部31a内に、また開口部31a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層18上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部31a内に、また開口部31a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、バルク金属層9のパラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部31a内に、また開口部31a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層18上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部31a内に、また開口部31a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次に、バルク金属層9のパラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部31a内に、また開口部31a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキし、次いで、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層を開口部31a内に、また開口部31a内の電気メッキされた、または無電解メッキされたパラジウム層上に電気メッキするか、または無電解メッキすることによって形成される4つの金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層18上に、電気メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部31a内に、また開口部31a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするために前記の電気メッキ溶液を使用して、5から150マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層またはニッケル層18上に、電気メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部31a内に、また開口部31a内の電気メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層18上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部31a内に、また開口部31a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部31a内に、また開口部31a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から10マイクロメートルまでの範囲、好ましくは3から8マイクロメートルまでの範囲の厚さになるように、銅層を開口部31a内に、また開口部31aによって露出されている、シード層18、好ましくは前記の銅層18上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部31a内に、また開口部31a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、30から250マイクロメートルまでの範囲、好ましくは50から150マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部31a内に、また開口部31a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
次に、図25Kを参照すると、フォトレジスト層31は、無機溶液を使用して、またはアミドとともに有機溶液を使用して、除去されることがわかる。フォトレジスト層31からの一部の残留物が、金属バンプまたはピラー27の下にないシード層18上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、シード層18から残留物を除去することができる。次に、図25Lを参照すると、金属バンプまたはピラー27の下にないシード層18が除去され、次いで、金属バンプまたはピラー27の下にない接着/バリア層16が除去されることがわかる。
ある場合には、金属バンプまたはピラー27の下にないシード層18および接着/バリア層16は、その後、ドライエッチング法によって除去されうる。ドライエッチング法に関して、金属バンプまたはピラー27の下にないシード層18および接着/バリア層16は両方とも、その後、Arスパッタリングエッチングプロセスによって除去することができ、あるいは、金属バンプまたはピラー27の下にないシード層18および接着/バリア層16は両方とも、その後、反応性イオンエッチング(RIE)プロセスによって除去することができ、あるいは、金属バンプまたはピラー27の下にないシード層18は、Arスパッタリングエッチングプロセスによって除去することができ、金属バンプまたはピラー27の下にない接着/バリア層16は、反応性イオンエッチング(RIE)プロセスによって除去することができる。
他の場合には、金属バンプまたはピラー27の下にないシード層18および接着/バリア層16は、その後、ウェットエッチング法によって除去されうる。ウェットエッチング法に関しては、シード層18が銅層である場合、NH4OHを含む溶液またはH2SO4を含む溶液でエッチングすることができ、シード層18が金層である場合、ヨウ化カリウムを含む溶液などの、ヨウ素含有溶液でエッチングすることができ、接着/バリア層16がチタンタングステン合金層である場合、過酸化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができ、接着/バリア層16がチタン層である場合、フッ化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができ、接着/バリア層16がクロム層である場合、フェリシアン化カリウムを含む溶液でエッチングすることができる。
他の場合には、金属バンプまたはピラー27の下にない、銅などのシード層18は、NH4OHを含む溶液またはH2SO4を含む溶液によって除去することができ、金属バンプまたはピラー27の下にない接着/バリア層16は、反応性イオンエッチング(RIE)プロセスまたはArスパッタリングエッチングプロセスによって除去することができる。
他の場合には、金属バンプまたはピラー27の下にない、金などのシード層18は、ヨウ化カリウムを含む溶液などのヨウ素含有溶液でエッチングすることができ、金属バンプまたはピラー27の下にない接着/バリア層16は、反応性イオンエッチング(RIE)プロセスまたはArスパッタリングエッチングプロセスによって除去することができる。
金属バンプまたはピラー27の下にない接着/バリア層16が、ウェットエッチングプロセスを使用して除去される場合、接着/バリア層16の上に覆い被さる金属バンプまたはピラー27の下にアンダーカットが形成される。金属バンプまたはピラー27の下にある接着/バリア層16は、金属バンプまたはピラー27の第2の側壁から凹んでいる第1の側壁を有し、第1の側壁と第2の側壁との間の距離d1は、0.3から2マイクロメートルまでの範囲内である。
したがって、図25Lに示されているように、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、金属層4および9によって形成される相互接続構造88、ポリマー層14、金属層16および18、ならびに金属バンプまたはピラー27とともに形成され、ポリマー層14内の開口部14aは、相互接続構造88の上にある。図25Lに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図25Lに例示されているステップの後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうちのどれか1つを形成するプロセスを、図24B、24C、および25A〜25Lに例示されているステップの前に実行することができる。図24B、24C、および25A〜25Lに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができる。半導体チップは、底部スキーム103を備え、底部スキーム103は、薄化シリコン基板1の裏面1a上の、またシリコン貫通ビア11a、11b、11c、11d、および11e内の絶縁層3、薄化シリコン基板1の裏面1aの、またシリコン貫通ビア11a、11b、11c、11d、および11e内の、また絶縁層3上の相互接続構造88、薄化シリコン基板1の裏面1aの、また相互接続構造88上の、また絶縁層3上のポリマー層14、薄化シリコン基板1の裏面1aの、また相互接続構造88上の、またポリマー層14上の金属バンプまたはピラー27、バルク金属層9と金属バンプまたはピラー27との間の接着/バリア層16、ならびに接着/バリア層16と金属バンプまたはピラー27との間のシード層18を含む。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、オフチップバッファ42を金属バンプまたはピラー27に接続し、内部回路21、22、23、および24を金属バンプまたはピラー27に接続する。オフチップESD(静電放電)回路43は、細線金属トレース639を通してオフチップバッファ42に接続され、細線金属トレース639を通して相互接続構造88に接続される。
図25Mは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、フラックス32を開口部531、532、534、539、および539'内に、また開口部531、532、534、539、および539'によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。次に、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88を含む構造、ポリマー層14、および金属バンプまたはピラー27をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Lに例示されているステップとして参照することができる。したがって、図25Lに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。
図25Mに示されている構造を形成した後に、フラックス32を除去する。次に、説明を簡単にするために逆にした図とともに例示されている以下のステップに従って、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができ、および/またはボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうち1つを通して接続することができる。
図25Nは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、2つの金属層32および34からなる複数の金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。金属層32は、パッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成され、金属層34は金属層32上に形成され、金属層34は金属層32によって覆われていない。
金属パッド、バンプ、またはトレース34aは、1から20マイクロメートルまでの範囲、または5から60マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さ、および金属トレースまたはパッド600の幅より大きい、また5から60マイクロメートルまでの範囲など、3マイクロメートルより大きい幅を有し、金属トレースまたはパッド600は、0.05から0.95マイクロメートルまでの範囲など、1マイクロメートルより小さい幅を有するものとしてよい。金属パッド、バンプ、またはトレース34aは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、金属パッド、バンプ、またはトレース34aを第1の外部回路に接合することによって、またはワイヤボンディングで、金線もしくは銅線を金属パッド、バンプ、またはトレース34aに、また第1の外部回路に接合することによって、接続するために使用されうる。
例えば、金属パッド、バンプ、またはトレース34aは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、窒化チタン層、タンタル層、または窒化タンタル層などの接着/バリア層32を、パッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に、スパッタリングし、次に、0.5から10マイクロメートルまでの範囲、好ましくは1から5マイクロメートルまでの範囲の厚さを有する、アルミニウム層またはアルミニウム銅合金層などのアルミニウム含有層34を接着/バリア層32上にスパッタリングし、次に、パターン形成フォトレジスト層をアルミニウム含有層34上に形成し、次に、パターン形成フォトレジスト層の下にないアルミニウム含有層34をエッチングし、次に、パターン形成フォトレジスト層の下にない接着/バリア層32をエッチングし、次いで、パターン形成フォトレジスト層を除去することを含むプロセスによって形成されうる。したがって、接着/バリア層32とアルミニウム含有層34とによって形成される金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。金属パッド、バンプ、またはトレース34aのアルミニウム含有層34は、金線または銅線をワイヤボンディングでアルミニウム含有層34および第1の外部回路に接合することによって、またはアルミニウム含有層34を第1の外部回路の金層もしくはスズ合金層と接合することによって、第1の外部回路に接続するために使用されうる。
あるいは、金属パッド、バンプ、またはトレース34aは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、窒化チタン層、タンタル層、または窒化タンタル層などの接着/バリア層32を、パッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に、スパッタリングし、次に、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する金のシード層(図示せず)を接着/バリア層32上にスパッタリングし、次に、パターン形成フォトレジスト層を金のシード層上に形成し、パターン形成フォトレジスト層内の複数の開口部で金のシード層の複数の領域を露出し、次に、1から25マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する金層34を、パターン形成フォトレジスト層内の開口部によって露出されている金のシード層の領域上に電気メッキし、次に、フォトレジスト層を除去し、次に、金層34の下にない金のシード層をエッチングし、次いで、金層34の下にない接着/バリア層32をエッチングすることを含むプロセスによって形成されうる。したがって、接着/バリア層32、金のシード層、および金層34によって形成される金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。金属パッド、バンプ、またはトレース34aの金層34は、金線または銅線をワイヤボンディングで金層34および第1の外部回路に接合することによって、または金層34を第1の外部回路の金層もしくはスズ合金層と接合することによって、第1の外部回路に接続するために使用されうる。
あるいは、金属パッド、バンプ、またはトレース34aは、好適な1つまたは複数のプロセスによって、例えば、1から10マイクロメートルまでの範囲、好ましくは2から8マイクロメートルまでの範囲の厚さを有するニッケル層32を、パッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に無電解メッキし、次いで、200オングストロームから2マイクロメートルまでの範囲、好ましくは500オングストロームから5,000オングストロームまでの範囲の厚さを有する、金層またはパラジウム層などの金属層34をニッケル層32上に無電解メッキすることを含むプロセスによって形成されうる。したがって、ニッケル層32と金属層34とによって形成される金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。金属パッド、バンプ、またはトレース34aの金属層34は、金線または銅線をワイヤボンディングで金属層34および第1の外部回路に接合することによって、または金属層34を第1の外部回路の金層もしくはスズ合金層と接合することによって、第1の外部回路に接続するために使用されうる。
あるいは、金属パッド、バンプ、またはトレース34aは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、窒化チタン層、クロム層、タンタル層、または窒化タンタル層などの接着/バリア層32を、パッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に、スパッタリングし、次に、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅のシード層(図示せず)を接着/バリア層32上にスパッタリングし、次に、パターン形成フォトレジスト層を銅のシード層上に形成し、パターン形成フォトレジスト層内の複数の開口部で銅のシード層の複数の領域を露出し、次に、1から100マイクロメートルまでの範囲、好ましくは5から60マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する銅層34を、パターン形成フォトレジスト層内の開口部によって露出されている銅のシード層の領域上に電気メッキし、次に、フォトレジスト層を除去し、次に、銅層34の下にない銅のシード層をエッチングし、次いで、銅層34の下にない接着/バリア層32をエッチングすることを含むプロセスによって形成されうる。したがって、接着/バリア層32、銅のシード層、および銅層34によって形成される金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。金属パッド、バンプ、またはトレース34aの銅層34は、金線または銅線をワイヤボンディングで銅層34および第1の外部回路に接合することによって、または銅層34を第1の外部回路の金層もしくはスズ合金層と接合することによって、第1の外部回路に接続するために使用されうる。
あるいは、金属パッド、バンプ、またはトレース34aは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、窒化チタン層、クロム層、タンタル層、または窒化タンタル層などの接着/バリア層32を、パッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に、スパッタリングし、次に、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅のシード層(図示せず)を接着/バリア層32上にスパッタリングし、次に、パターン形成フォトレジスト層を銅のシード層上に形成し、パターン形成フォトレジスト層内の複数の開口部で銅のシード層の複数の領域を露出し、次に、1から100マイクロメートルまでの範囲、好ましくは5から60マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する銅層34を、パターン形成フォトレジスト層内の開口部によって露出されている銅のシード層の領域上に電気メッキし、次に、0.5から8マイクロメートルまでの範囲、好ましくは1から5マイクロメートルまでの範囲の厚さを有するニッケル層(図示せず)を、パターン形成フォトレジスト層内の開口部内の銅層34上に電気メッキするか、または無電解メッキし、次に、0.1から10マイクロメートルまでの範囲、好ましくは0.5から5マイクロメートルまでの範囲の厚さを有する金層(図示せず)を、パターン形成フォトレジスト層内の開口部内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキし、次に、フォトレジスト層を除去し、次に、銅層34の下にない銅のシード層をエッチングし、次いで、銅層34の下にない接着/バリア層32をエッチングすることを含むプロセスによって形成されうる。したがって、接着/バリア層32、銅のシード層、銅層34、電気メッキされた、または無電解メッキされたニッケル層、および電気メッキされた、または無電解メッキされた金層によって形成される金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。金属パッド、バンプ、またはトレース34aの電気メッキされた、または無電解メッキされた金層は、金線または銅線をワイヤボンディングで電気メッキされた、または無電解メッキされた金層および第1の外部回路に接合することによって、または電気メッキされた、または無電解メッキされた金層を第1の外部回路の金層もしくはスズ合金層と接合することによって、第1の外部回路に接続するために使用されうる。
あるいは、金属パッド、バンプ、またはトレース34aは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、窒化チタン層、クロム層、タンタル層、または窒化タンタル層などの接着/バリア層32を、パッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に、スパッタリングし、次に、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅のシード層(図示せず)を接着/バリア層32上にスパッタリングし、次に、パターン形成フォトレジスト層を銅のシード層上に形成し、パターン形成フォトレジスト層内の複数の開口部で銅のシード層の複数の領域を露出し、次に、1から100マイクロメートルまでの範囲、好ましくは5から60マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する銅層34を、パターン形成フォトレジスト層内の開口部によって露出されている銅のシード層の領域上に電気メッキし、次に、0.5から8マイクロメートルまでの範囲、好ましくは1から5マイクロメートルまでの範囲の厚さを有するニッケル層(図示せず)を、パターン形成フォトレジスト層内の開口部内の銅層34上に電気メッキするか、または無電解メッキし、次に、1から150マイクロメートルまでの範囲、好ましくは5から60マイクロメートルまでの範囲の厚さを有する、スズ鉛合金層、スズ銀合金層、またはスズ銀銅合金層などのスズ含有層(図示せず)をパターン形成フォトレジスト層内の開口部内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキし、次に、フォトレジスト層を除去し、次に、銅層34の下にない銅のシード層をエッチングし、次いで、銅層34の下にない接着/バリア層32をエッチングすることを含むプロセスによって形成されうる。したがって、接着/バリア層32、銅のシード層、銅層34、電気メッキされた、または無電解メッキされたニッケル層、および電気メッキされたスズ含有層によって形成される金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。金属パッド、バンプ、またはトレース34aの電気メッキされたスズ含有層は、電気メッキされたスズ含有層を第1の外部回路の金層またはスズ合金層に接合することによって第1の外部回路に接続するために使用されうる。
金属パッド、バンプ、またはトレース34aを形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14、接着/バリア層16、シード層18、および金属バンプまたはピラー27を薄化シリコン基板1の裏面1aに形成することができ、これは、図25G〜25Lに例示されているステップとして参照することができる。したがって、図25Lに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成するステップを、金属バンプまたはピラー27を形成した後に実行することができる。
図25Nに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、金属パッド、バンプ、またはトレース34aを通して第1の外部回路に、また底部スキーム103の金属バンプまたはピラー27を通してプリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続することができる。
図25Oは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の開口部980は、パターン形成回路層801の金属層8012の接点801aの上にあり、それを露出し、これは図15Iに例示されているプロセスとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801およびポリマー層98とともに形成することができる。次に、フラックス32は、開口部980内に、また開口部980によって露出されている金属層8012の接点801a上に形成される。次に、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14、接着/バリア層16、シード層18、および金属バンプまたはピラー27を薄化シリコン基板1の裏面1aに形成することができ、これは、図25G〜25Lに例示されているステップとして参照することができる。したがって、図25Lに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、パターン形成回路層801、ポリマー層98、およびフラックス32を形成するステップは、図24B、24C、および25A〜25Lに例示されているステップの後に実行することができる。
図25Oに示されている構造を形成した後に、フラックス32を除去する。その後、ダイソーイングプロセスを使用して、半導体ウェハ10を複数の個別半導体チップに切り分けることができる。半導体ウェハ10から切り出された半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、金線または銅線をワイヤボンディングでオーバーパッシベーションスキーム102のパターン形成回路層801の金、銅、またはアルミニウムの接点801aに、また第1の外部回路に、接合することによって、または、オーバーパッシベーションスキーム102のパターン形成回路層801の接点801aを、底部スキーム103の金属バンプまたはピラー27を通して、第1の外部回路の金層もしくはスズ合金層と、またプリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路にハンダ接合することによって、接続されうる。
図25Pは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。図24B、24C、および25A〜25Fに例示されているステップの後に、ポリマー層14を、バルク金属層9上に、また絶縁層3上に形成すると、ポリマー層14内の複数の開口部14aがバルク金属層9の複数の領域の上にあり、それらの領域を露出し、これは、図25Gに例示されているステップとして参照することができる。次に、接着/バリア層16を、ポリマー層14上に、また開口部14aによって露出されているバルク金属層9の領域上に形成し、次いで、シード層18を接着/バリア層16上に形成するが、これは、図25Hに例示されているステップとして参照することができる。次に、フォトレジスト層31をシード層18上に形成し、フォトレジスト層31内の複数の開口部31aによりシード層18を露出させるが、これは、図25Iに例示されているステップとして参照することができる。次に、複数の金属バンプまたはピラー27を開口部31a内に、また開口部31aによって露出されているシード層18上に形成するが、これは、図25Jに例示されているステップとして参照することができる。次に、フォトレジスト層31を除去するが、これは、図25Kに示されているステップとして参照することができる。次に、金属バンプまたはピラー27の下にないシード層18を除去し、次いで、金属バンプまたはピラー27の下にない接着/バリア層16を除去するが、これは、図25Lに例示されているステップとして参照することができる。したがって、金属層4および9によって構成される相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、金属バンプまたはピラー27を相互接続構造88の上に、また薄化シリコン基板1の裏面1aに形成することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続することができ、金属バンプまたはピラー27をオフチップバッファ42に、また内部回路21、22、23、および24に接続することができる。薄化シリコン基板1の裏面1aの、図25Pに示されている、底部スキーム103は、絶縁層3、金属層4および9によって形成される相互接続構造88、ポリマー層14、金属層16および18、ならびに金属バンプまたはピラー27とともに形成され、ポリマー層14内の開口部14aは、相互接続構造88の上にある。図25Pに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図25Pに示されている構造を形成した後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうちのどれか1つを形成するプロセスを、図24B、24C、および25A〜25Lに例示されているステップの前に実行することができる。図24B、24C、および25A〜25Lに例示されているステップの後に、図25Pに例示されているステップを実行する。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができ、半導体チップの底部スキーム103の金属バンプまたはピラー27を、底部スキーム103の相互接続構造88を通して、内部回路21、22、23、および24ならびにオフチップバッファ42に接続することができる。
図25Qは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、フラックス32を開口部531、532、534、539、および539'内に、また開口部531、532、534、539、および539'によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。次に、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14および金属バンプまたはピラー27を含む構造を薄化シリコン基板1の裏面1aに形成することができ、これは、図25Pに例示されているステップとして参照することができる。したがって、図25Pに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。
図25Qに示されている構造を形成した後に、フラックス32を除去する。次に、説明を簡単にするために逆にした図とともに例示されている以下のステップに従って、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができ、および/またはボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうち1つを通して接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図25Rは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、これは、図25Nに例示されているプロセスとして参照することができる。図25Rに示されている金属パッド、バンプ、またはトレース34aの詳細は、図25Nに例示されているような金属パッド、バンプ、またはトレース34aの詳細として参照することができる。金属パッド、バンプ、またはトレースを形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14および金属バンプまたはピラー27を含む構造を薄化シリコン基板1の裏面1aに形成することができ、これは、図25Pに例示されているステップとして参照することができる。したがって、図25Pに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成するステップを、金属バンプまたはピラー27を形成した後に実行することができる。
図25Rに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、金属パッド、バンプ、またはトレース34aを通して、プリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、また、底部スキーム103の金属バンプまたはピラー27を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図25Sは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の開口部980は、パターン形成回路層801の金属層8012の接点801aの上にあり、それを露出し、これは、図15Iに例示されているプロセスとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801およびポリマー層98とともに形成することができる。次に、フラックス32は、開口部980内に、また開口部980によって露出されている金属層8012の接点801a上に形成される。次に、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14および金属バンプまたはピラー27を含む構造を薄化シリコン基板1の裏面1aに形成することができ、これは、図25Pに例示されているステップとして参照することができる。したがって、図25Pに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、パターン形成回路層801およびポリマー層98を形成するステップは、金属バンプまたはピラー27を形成した後に実行することができる。
図25Sに示されている構造を形成した後に、フラックス32を除去することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、プリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、金線または銅線をワイヤボンディングでオーバーパッシベーションスキーム102のパターン形成回路層801の金、銅、またはアルミニウムの接点801aに、また第1の外部回路に、接合することによって、または、オーバーパッシベーションスキーム102のパターン形成回路層801の接点801aを、第1の外部回路の金層もしくはスズ合金層とハンダ接合することによって、接続することができ、また、底部スキーム103の金属バンプまたはピラー27を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図25Tは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の複数の開口部980は、パターン形成回路層801の金属層8012の複数の接点801aの上にあり、それらを露出し、これは、図15Mに例示されているプロセスとして参照することができる。次に、金属層89a、89b、および89cによって形成される複数の金属バンプ89をポリマー層98上に、また開口部980によって露出されている金属層8012の接点801a上に形成することができ、これは、図15Mに例示されているプロセスとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801、ポリマー層98、および金属バンプ89とともに形成することができる。金属バンプ89を形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14および金属バンプまたはピラー27を含む構造を薄化シリコン基板1の裏面1aに形成することができ、これは、図25Pに例示されているステップとして参照することができる。したがって、図25Pに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、パターン形成回路層801、ポリマー層98、金属層89a、および金属バンプ89を形成するステップは、金属バンプまたはピラー27を形成した後に実行することができる。
図25Tに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、オーバーパッシベーションスキーム102の金属バンプ89を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、また、底部スキーム103の金属バンプまたはピラー27を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。パターン形成回路層801は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプ89をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図25Uは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、パッケージを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の複数の開口部980は、パターン形成回路層801の金属層8012の複数の接点801aの上にあり、それらを露出し、これは、図15Iに例示されているプロセスとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801およびポリマー層98とともに形成することができる。次に、フラックスを、開口部980内に、また開口部980によって露出されている金属層8012の接点801a上に形成することができる。
フラックスを形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14を相互接続構造88のバルク金属層9上に、また絶縁層3上に形成することができ、ポリマー層14内の複数の開口部14aは、バルク金属層9の複数の領域の上にあり、それらの領域を露出し、これは、図25Gに例示されているステップとして参照することができる。したがって、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、金属層4および9によって形成される相互接続構造88、およびポリマー層14とともに形成することができ、ポリマー層14内の開口部14aは、相互接続構造88の上にある。次に、フラックスを除去して、開口部980によって露出されている金属層8012の接点801aを露出させる。
あるいは、図24Bに例示されているステップを、パターン形成回路層801およびポリマー層98を形成するステップの前に実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88、ポリマー層14、およびポリマー層14内の開口部14aを含む構造を薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Gに例示されているステップとして参照することができる。次に、フラックスを、開口部14a内に、また開口部14aによって露出されているバルク金属層9の領域上に形成することができる。次に、パターン形成回路層801、ポリマー層98、およびポリマー層98内の開口部980を薄化シリコン基板1の活性側に形成することができ、これは、図15D〜15Iに例示されているステップとして参照することができる。次に、フラックスを除去して、開口部980によって露出されている金属層8012の接点801aを露出させる。
フラックスを除去した後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。その後、ワイヤボンディングプロセスを使用して、ワイヤボンディング接合金線またはワイヤボンディング接合銅線などの複数のワイヤボンディング接合ワイヤ129を、半導体ウェハ10から切り出した半導体チップのスキーム102および103上に接合することができる。例えば、ワイヤボンディング接合ワイヤ129は、開口部14aによって露出されているバルク金属層9の金、銅、アルミニウム、またはパラジウムの領域と、また開口部980によって露出されている金属層8012の金、銅、アルミニウム、またはパラジウムの領域801aと、ボールボンディングで接合された、ワイヤボンディング接合金線とすることができる。あるいは、ワイヤボンディング接合ワイヤ129は、開口部14aによって露出されているバルク金属層9の金、銅、アルミニウム、またはパラジウムの領域と、また開口部980によって露出されている金属層8012の金、銅、アルミニウム、またはパラジウムの領域801aと、ボールボンディングで接合された、ワイヤボンディング接合銅線とすることができる。
図25Vは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、パッケージを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の複数の開口部980は、パターン形成回路層801の金属層8012の複数の接点801aの上にあり、それらを露出し、これは、図15Iに例示されているプロセスとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801およびポリマー層98とともに形成することができる。次に、フラックスを、開口部980内に、また開口部980によって露出されている金属層8012の接点801a上に形成することができる。
フラックスを形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14および金属バンプまたはピラー27を含む構造を薄化シリコン基板1の裏面1aに形成することができ、これは、図25Pに例示されているステップとして参照することができる。したがって、図25Pに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。次に、フラックスを除去して、開口部980によって露出されている金属層8012の接点801aを露出させる。
フラックスを除去した後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、ワイヤボンディングで金線または銅線などの複数のワイヤ129をオーバーパッシベーションスキーム102の金属層8012の領域801aに、また第1の外部回路に接合することによって、接続することができ、また、底部スキーム103の金属バンプまたはピラー27を第2の外部回路と接合することによって、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続することができる。
例えば、ワイヤボンディングプロセスを使用することで、ワイヤボンディング接合ワイヤ129を、開口部980によって露出されている金属層8012の金、銅、アルミニウム、またはパラジウムの領域801aと、ボールボンディングで接合された、ワイヤボンディング接合金線とすることができる。あるいは、ワイヤボンディングプロセスを使用することで、ワイヤボンディング接合ワイヤ129を、開口部980によって露出されている金属層8012の金、銅、アルミニウム、またはパラジウムの領域801aと、ボールボンディングで接合された、ワイヤボンディング接合銅線とすることができる。
あるいは、図24Bに例示されているステップを、パターン形成回路層801およびポリマー層98を形成するステップの前に実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14、開口部14a、および金属バンプまたはピラー27を含む構造を薄化シリコン基板1の裏面1aに形成することができ、これは、図25Pに例示されているステップとして参照することができる。次に、パターン形成回路層801、ポリマー層98、およびポリマー層98内の開口部980を薄化シリコン基板1の活性側に形成することができ、これは、図15D〜15Iに例示されているステップとして参照することができる。次に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。その後、ワイヤボンディングプロセスを使用することで、ワイヤボンディング接合金線またはワイヤボンディング接合銅線などのワイヤボンディング接合ワイヤ129を、半導体チップの開口部980によって露出されている金属層8012の領域801a上に接合することができる。
図25Wは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、パッケージを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の複数の開口部980は、パターン形成回路層801の金属層8012の複数の接点801aの上にあり、それらを露出し、これは、図15Mに例示されているプロセスとして参照することができる。次に、金属層89a、89b、および89cによって形成される複数の金属バンプ89をポリマー層98上に、また開口部980によって露出されている金属層8012の接点801a上に形成することができ、これは、図15Mに例示されているステップとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801、ポリマー層98、および金属バンプ89とともに形成することができる。
金属バンプ89を形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14を相互接続構造88のバルク金属層9上に、また絶縁層3上に形成することができ、ポリマー層14内の複数の開口部14aは、バルク金属層9の複数の領域の上にあり、それらの領域を露出し、これは、図25Gに例示されているステップとして参照することができる。したがって、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、金属層4および9によって形成される相互接続構造88、およびポリマー層14とともに形成され、ポリマー層14内の開口部14aは、相互接続構造88の上にある。底部スキーム103を形成した後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、オーバーパッシベーションスキーム102の金属バンプ89を第1の外部回路と接合することによって、接続することができ、また、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、ワイヤボンディングで金線または銅線などの複数のワイヤ129を底部スキーム103のバルク金属層9に、また第2の外部回路に接合することによって、接続することができる。
例えば、ワイヤボンディングプロセスを使用することで、ワイヤボンディング接合ワイヤ129を、開口部14aによって露出されているバルク金属層9の金、銅、アルミニウム、またはパラジウムの領域と、ボールボンディングで接合された、ワイヤボンディング接合金線とすることができる。あるいは、ワイヤボンディングプロセスを使用することで、ワイヤボンディング接合ワイヤ129を、開口部14aによって露出されているバルク金属層9の金、銅、アルミニウム、またはパラジウムの領域と、ボールボンディングで接合された、ワイヤボンディング接合銅線とすることができる。
あるいは、図24Bに例示されているステップを、パターン形成回路層801、ポリマー層98、および金属バンプ89を形成するステップの前に実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、ポリマー層14およびポリマー層14内の開口部14aを薄化シリコン基板1の裏面1aに形成することができ、これは、図25Gに例示されているステップとして参照することができる。次に、フラックスを、開口部14a内に、また開口部14aによって露出されているバルク金属層9の領域上に形成することができる。次に、パターン形成回路層801、ポリマー層98、および金属バンプ89を含む構造を薄化シリコン基板1の活性側に形成することができ、これは、図15D〜15Hおよび15Mに例示されているステップとして参照することができる。次に、フラックスを除去する。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、ワイヤボンディングプロセスを使用することで、ワイヤボンディング接合金線またはワイヤボンディング接合銅線などのワイヤボンディング接合ワイヤ129を、半導体チップのポリマー層14内の開口部14aによって露出されているバルク金属層9の領域上に接合することができる。
図26A〜26Gは、本開示による、半導体ウェハの裏面における底部構造技術の底部スキーム103を形成するためのプロセスを示す断面図である。図26Aを参照すると、図24B、24C、および25A〜25Dに例示されているステップの後、1から200マイクロメートルまでの範囲、好ましくは2から150マイクロメートルまでの範囲の厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層51が、スピンオンコーティングプロセス、スクリーン印刷プロセス、ラミネート加工プロセス、またはスプレープロセスによって、フォトレジスト層29上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成されうることがわかる。次に、露光および現像のプロセスでフォトレジスト層51のパターン形成を行って、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の複数の領域9aを露出する複数の開口部51aをフォトレジスト層51内に形成する。例えば、1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層51を露光することができる。開口部51aのそれぞれは、例えば、10から30マイクロメートルまでの範囲、20から50マイクロメートルまでの範囲、または50から150マイクロメートルまでの範囲など、5マイクロメートルより大きい所望の幅W3を有する。
例えば、フォトレジスト層51は、好適な1つまたは複数のプロセスによって、例えば、1から200マイクロメートルまでの範囲、好ましくは2から150マイクロメートルまでの範囲の厚さを有するポジ型感光性ポリマー層を、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、またフォトレジスト層29上に、スピンオンコーティングし、次いで、G線、H線、およびI線のうちの少なくとも2つにより1Xステッパーまたはコンタクトアライナーを使用して感光性ポリマー層を露光し、G線は例えば約434から438nmまでの範囲の波長を有し、H線は例えば約403から407nmまでの範囲の波長を有し、I線は例えば約363から367nmまでの範囲の波長を有し、次いで、現像液を半導体ウェハ10にスプレーし、塗るか、または半導体ウェハ10を現像液中に浸漬することによって、露出されているポリマー層を現像し、次いで、脱イオン水を使用して半導体ウェハ10を洗浄し、半導体ウェハ10を回転して半導体ウェハ10を乾燥させることによって形成されうる。現像した後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマを使用することによって、バルク金属層9から残留ポリマー材料もしくは他の汚染物質を除去するスカム除去プロセスを実行することができる。これらのプロセスによって、フォトレジスト層51に、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9aを露出する開口部5aを使用してパターン形成を行うことができる。
図26Bを参照すると、図26Aに例示されているステップの後に、1マイクロメートルより大きい厚さを有する複数の金属バンプまたはピラー27を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に形成することができることがわかる。金属バンプまたはピラー27の材料として、金、ニッケル、スズ、ハンダ、パラジウム、銅、アルミニウム、または前記の材料の複合材が挙げられる。
例えば、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の金を電気メッキするために前記の電気メッキ溶液を使用して、1から30マイクロメートルまでの範囲、好ましくは1から25マイクロメートルまでの範囲の厚さになるように、金層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のパラジウムを電気メッキするために前記の電気メッキ溶液を使用して、1から30マイクロメートルまでの範囲、好ましくは1から25マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするために前記の電気メッキ溶液を使用して、1から100マイクロメートルまでの範囲、好ましくは5から60マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次いで、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、金層を開口部51a内に、また開口部51a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次いで、バルク金属層9のパラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部51a内に、また開口部51a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキするか、または無電解メッキし、次いで、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から10マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層を開口部51a内に、また開口部51a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキするか、または無電解メッキし、次いで、バルク金属層9のパラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から10マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部51a内に、また開口部51a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51a内に、また開口部51a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層を開口部51a内に、また開口部51a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51a内に、また開口部51a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、バルク金属層9のパラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部51a内に、また開口部51a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51a内に、また開口部51a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次に、バルク金属層9のパラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部51a内に、また開口部51a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキし、次いで、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層を開口部51a内に、また開口部51a内の電気メッキされた、または無電解メッキされたパラジウム層上に電気メッキするか、または無電解メッキすることによって形成される4つの金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次いで、5から250マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部51a内に、また開口部51a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするために前記の電気メッキ溶液を使用して、5から150マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次いで、5から250マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部51a内に、また開口部51a内の電気メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51a内に、また開口部51a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部51a内に、また開口部51a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から10マイクロメートルまでの範囲、好ましくは3から8マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51a内に、また開口部51a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、30から250マイクロメートルまでの範囲、好ましくは50から150マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部51a内に、また開口部51a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー27は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部51a内に、また開口部51a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
図26Cを参照すると、金属バンプまたはピラー27を形成した後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層29および51が除去されることがわかる。フォトレジスト層29および51からの一部の残留物が、金属層4のシード層4b上に、またバルク金属層9上に、また金属バンプまたはピラー27上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、シード層4bから、またバルク金属層9から、また金属バンプもしくはピラー27から、残留物を除去することができる。
したがって、フォトレジスト層29および51を除去した後に、金属バンプまたはピラー27をバルク金属層9上に形成することができる。金属バンプまたはピラー27のそれぞれは、10から30マイクロメートルまでの範囲、20から50マイクロメートルまでの範囲、または50から150マイクロメートルまでの範囲など、5マイクロメートルより大きい、また細線金属層60のそれぞれの厚さより大きい幅W3を有し、また、1から300マイクロメートルまでの範囲、5から250マイクロメートルまでの範囲、10から100マイクロメートルまでの範囲、または5から50マイクロメートルまでの範囲など、1マイクロメートルより大きい高さH1を有する。隣接する2つの金属バンプまたはピラー27の間のピッチP1は、10から50マイクロメートルまでの範囲、または50から200マイクロメートルまでの範囲とすることができる。金属バンプまたはピラー27は、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、フレキシブル基板、金属基板、ガラス基板、またはセラミック基板などの外部回路と接合するために使用されうる。
あるいは、金属バンプまたはピラー27をバルク金属層9上に形成するための他のプロセスは、以下のステップで実行することができる。図26Dを参照すると、図24B、24C、および25A〜25Dに例示されているステップの後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層29を除去できることがわかる。フォトレジスト層29を除去した後に、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって、図26Aに例示されているフォトレジスト層51を、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また金属層4のシード層4b上に形成することができる。次に、露光および現像のプロセスでフォトレジスト層51のパターン形成を行って、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9aを露出する開口部51aをフォトレジスト層51内に形成する。開口部51aのそれぞれは、例えば、10から30マイクロメートルまでの範囲、20から50マイクロメートルまでの範囲、または50から150マイクロメートルまでの範囲など、5マイクロメートルより大きい幅W3を有する。図26Dに示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51aを形成するプロセスは、図26Aに例示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51aを形成するプロセスとして参照することができる。
図26Eを参照すると、図26Dに例示されているステップの後に、図26Bに例示されている金属バンプまたはピラー27が開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に形成されることがわかるが、これは、図26Bに例示されているステップとして参照することができる。図26Eに示されている金属バンプまたはピラー27の詳細は、図26Bに例示されている金属バンプまたはピラー27の詳細として参照することができる。図26Eに例示されているように金属バンプまたはピラー27を形成した後、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層51を除去する。したがって、フォトレジスト層51を除去した後に、金属バンプまたはピラー27をバルク金属層9上に形成することができる。
図26Fを参照すると、金属バンプまたはピラー27をバルク金属層9上に形成した後、バルク金属層9の下にない金属層4は、バルク金属層9の下にないシード層4bをエッチングし、次いで、バルク金属層9の下にない接着/バリア層4aをエッチングすることによって除去されることがわかる。図26Fに示されているようにバルク金属層9の下にないシード層4bおよびバルク金属層9の下にない接着/バリア層4aを除去するプロセスは、図25Fに例示されているようにバルク金属層9の下にないシード層4bおよびバルク金属層9の下にない接着/バリア層4aを除去するプロセスとして参照することができる。
したがって、金属層4およびバルク金属層9によって構成される相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、バルク金属層9の側壁は、接着/バリア層4aおよび金属層4のシード層4bによって覆われていない。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、オフチップバッファ42を金属バンプまたはピラー27に接続し、内部回路21、22、23、および24を金属バンプまたはピラー27に接続する。オフチップESD回路43は、細線金属トレース639を通してオフチップバッファ42に接続され、相互接続構造88を通して金属バンプまたはピラー27に接続される。
次に、図26Gを参照すると、ポリマー層14が、相互接続構造88の前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成され、複数の開口部14aがポリマー層14内に形成されて、金属バンプまたはピラー27の上面および側壁を露わにすることがわかる。したがって、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、金属層4および9によって形成される相互接続構造88、金属バンプまたはピラー27、およびポリマー層14とともに形成され、ポリマー層14内の開口部14aは、相互接続構造88の上にある。図26Gに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図26Gに例示されているステップの後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうちのどれか1つを形成するプロセスを、図24B、24C、25A〜25D、および26A〜26Gに例示されているステップの前に実行することができる。図24B、24C、25A〜25D、および26A〜26Gに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができる。半導体チップは、底部スキーム103を備え、底部スキーム103は、薄化シリコン基板1の裏面1a上の、またシリコン貫通ビア11a、11b、11c、11d、および11e内の絶縁層3、薄化シリコン基板1の裏面1aの、またシリコン貫通ビア11a、11b、11c、11d、および11e内の、また絶縁層3上の相互接続構造88、薄化シリコン基板1の裏面1aの、また相互接続構造88上の、また絶縁層3上のポリマー層14、ならびに薄化シリコン基板1の裏面1aの、また相互接続構造88上の金属バンプまたはピラー27を含む。
図26Hは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、フラックス32を開口部531、532、534、539、および539'内に、また開口部531、532、534、539、および539'によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。次に、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、金属バンプまたはピラー27を相互接続構造88上に形成することができるが、これは、図25A〜25Dおよび26A〜26Fに例示されているステップとして参照することができる。次に、ポリマー層14を相互接続構造88上に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成し、開口部14aをポリマー層14内に形成し、金属バンプまたはピラー27の上面および側壁を露わにする。したがって、図26Gに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。
図26Hに示されている構造を形成した後に、フラックス32を除去する。次に、説明を簡単にするために逆にした図とともに例示されている以下のステップに従って、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができ、および/またはボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうち1つを通して接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図26Iは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、これは、図25Nに例示されているプロセスとして参照することができる。図26Iに示されている金属パッド、バンプ、またはトレース34aの詳細は、図25Nに例示されているような金属パッド、バンプ、またはトレース34aの詳細として参照することができる。金属パッド、バンプ、またはトレース34aを形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、金属バンプまたはピラー27を相互接続構造88上に形成することができるが、これは、図25A〜25Dおよび26A〜26Fに例示されているステップとして参照することができる。次に、ポリマー層14を相互接続構造88上に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成し、開口部14aをポリマー層14内に形成し、金属バンプまたはピラー27の上面および側壁を露わにする。したがって、図26Gに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成するステップを、ポリマー層14を形成した後に実行することができる。
図26Iに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、金属パッド、バンプ、またはトレース34aを通して、プリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、また、底部スキーム103の金属バンプまたはピラー27を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図26Jは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の開口部980は、パターン形成回路層801の金属層8012の接点801aの上にあり、それを露出し、これは、図15Iに例示されているプロセスとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801およびポリマー層98とともに形成することができる。次に、フラックス32が、開口部980内に、また開口部980によって露出されている金属層8012の接点801a上に形成される。次に、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、金属バンプまたはピラー27を相互接続構造88上に形成することができるが、これは、図25A〜25Dおよび26A〜26Fに例示されているステップとして参照することができる。次に、ポリマー層14を相互接続構造88上に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成し、開口部14aをポリマー層14内に形成し、金属バンプまたはピラー27の上面および側壁を露わにする。したがって、図26Gに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、パターン形成回路層801およびポリマー層98を形成するステップは、ポリマー層14を形成した後に実行することができる。
図26Jに示されている構造を形成した後に、フラックス32を除去する。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、プリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、金線または銅線をワイヤボンディングでパターン形成回路層801の金、銅、またはアルミニウムの接点801aに、また第1の外部回路に、接合することによって、または、パターン形成回路層801の接点801aを、第1の外部回路の金層もしくはスズ合金層とハンダ接合することによって、接続することができ、また、底部スキーム103の金属バンプまたはピラー27を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図26Kは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、複数の金属バンプまたはピラー141をパターン形成回路層801の金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの複数の領域上に形成することができる。接着/バリア/シード層8011が、パッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成され、金属層8012が、接着/バリア/シード層8011上に形成される。金属バンプまたはピラー141を形成した後に、ポリマー層98を、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成することができ、複数の開口部980がポリマー層98内に形成され、金属バンプまたはピラー141の上面および側壁を露わにする。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801、金属バンプまたはピラー141、およびポリマー層98とともに形成することができる。次に、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、金属バンプまたはピラー27を相互接続構造88上に形成することができるが、これは、図25A〜25Dおよび26A〜26Fに例示されているステップとして参照することができる。次に、ポリマー層14を相互接続構造88上に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成し、開口部14aをポリマー層14内に形成し、金属バンプまたはピラー27の上面および側壁を露わにする。したがって、図26Gに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、パターン形成回路層801、金属バンプまたはピラー141、およびポリマー層98を形成するステップは、ポリマー層14を形成した後に実行することができる。
金属バンプまたはピラー141は、5から100マイクロメートルまでの範囲など、3マイクロメートルより大きい幅、および5から150マイクロメートルまでの範囲、または10から100マイクロメートルまでの範囲など、1マイクロメートルより大きい高さを有する。金属バンプまたはピラー141の材料として、金、銅、ニッケル、アルミニウム、パラジウム、ハンダ、または前記の材料の複合材が挙げられる。金属バンプまたはピラー141は、プリント回路基板、フレキシブル基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路と接合するために使用されうる。
例えば、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、5から100マイクロメートルまでの範囲、10から150マイクロメートルまでの範囲、または20から100マイクロメートルまでの範囲の厚さになるように、銅層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、10から25マイクロメートルまでの範囲、または3から50マイクロメートルまでの範囲の厚さになるように、金層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、10から25マイクロメートルまでの範囲、または3から50マイクロメートルまでの範囲の厚さになるように、パラジウム層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、5から100マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲の厚さになるように、ニッケル層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、5から100マイクロメートルまでの範囲、10から150マイクロメートルまでの範囲、または20から100マイクロメートルまでの範囲の厚さになるように、銅層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキし、次いで、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、金層またはパラジウム層を電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキするか、または無電解メッキし、次いで、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、金層またはパラジウム層を電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、5から100マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキし、次に、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層またはパラジウム層を電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、5から100マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキし、次に、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次に、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、パラジウム層を電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキし、次いで、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層を電気メッキされた、または無電解メッキされたパラジウム層上に電気メッキするか、または無電解メッキすることによって形成される4つの金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、5から100マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、ニッケル層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキし、次いで、5から250マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を電気メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキし、次に、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、1から10マイクロメートルまでの範囲、好ましくは3から8マイクロメートルまでの範囲の厚さになるように、銅層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキし、次に、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、30から250マイクロメートルまでの範囲、好ましくは50から150マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属バンプまたはピラー141は、好適な1つまたは複数のプロセスによって、例えば、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲の厚さになるように、銅層を金属層8012の金、銅、ニッケル、アルミニウム、またはパラジウムの領域上に電気メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲の厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
図26Kに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、オーバーパッシベーションスキーム102の金属バンプまたはピラー141を通して、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続することができ、また、底部スキーム103の金属バンプまたはピラー27を通して、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続することができる。薄化シリコン基板1の活性側の金属層8011および8012からなるパターン形成回路層801は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー141を通してオフチップバッファ42および内部回路21、22、23、および24を第1の外部回路に接続する。薄化シリコン基板1の裏面1aにおいて金属層4および9により構成される相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27を通してオフチップバッファ42および内部回路21、22、23、および24を第2の外部回路に接続する。オフチップESD回路43は、細線金属トレース639を通してパターン形成回路層801に接続され、細線金属トレース639を通して相互接続構造88に接続されうる。
図27A〜27Qは、本開示による、半導体ウェハの裏面における底部構造技術の底部スキーム103を形成するためのプロセスを示す断面図である。図27Aを参照すると、図24B、24C、および25A〜25Dに例示されているステップの後、1から120マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層51が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって、フォトレジスト層29上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成されうることがわかる。次に、露光および現像のプロセスでフォトレジスト層51のパターン形成を行って、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の複数の領域9aを露出する複数の開口部51bをフォトレジスト層51内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層51を露光することができる。開口部51bのそれぞれは、3から10マイクロメートルまでの範囲、または10から30マイクロメートルまでの範囲の幅W4を有する。図27Aに示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51bを形成するプロセスは、図26Aに例示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51aを形成するプロセスとして参照することができる。
次に、図27Bを参照すると、複数のビアプラグ58が、開口部51b内に、また開口部51bによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に形成されうることがわかる。ビアプラグ58の材料として、金、ニッケル、スズ、パラジウム、銀、アルミニウム、銅、または前記の材料の複合材が挙げられる。
例えば、ビアプラグ58は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から100マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲の厚さになるように、銅層を開口部51b内に、また開口部51bによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、ビアプラグ58は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から100マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲の厚さになるように、金層を開口部51b内に、また開口部51bによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキするか、または無電解メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、ビアプラグ58は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のパラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から100マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部51b内に、また開口部51bによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキするか、または無電解メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、ビアプラグ58は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から100マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51b内に、また開口部51bによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキするか、または無電解メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、ビアプラグ58は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から100マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲の厚さになるように、銅層を開口部51b内に、また開口部51bによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキし、次いで、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、金層またはパラジウム層を開口部51b内に、また開口部51b内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、ビアプラグ58は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部51b内に、また開口部51bによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に、電気メッキするか、または無電解メッキし、次いで、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、金層またはパラジウム層を開口部51b内に、また開口部51b内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
図27Cを参照すると、ビアプラグ58を形成した後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層29および51が除去されることがわかる。フォトレジスト層29および51からの一部の残留物が、バルク金属層9上に、またビアプラグ58上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、バルク金属層9から、またビアプラグ58から、残留物を除去することができる。したがって、フォトレジスト層29および51を除去した後に、ビアプラグ58をバルク金属層9上に形成することができる。ビアプラグ58のそれぞれは、3から10マイクロメートルまでの範囲または10から30マイクロメートルまでの範囲の幅W4、および1から100マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲の高さを有する。
あるいは、ビアプラグ58をバルク金属層9上に形成するための他のプロセスは、以下のステップで実行することができる。図27Dを参照すると、図24B、24C、および25A〜25Dに例示されているステップの後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層29を除去できることがわかる。フォトレジスト層29が除去された後、1から120マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲の厚さを有する、前記のフォトレジスト層51を、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって、金属層4のシード層4b上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成することができる。次に、露光および現像のプロセスでフォトレジスト層51のパターン形成を行って、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9aを露出する開口部51bをフォトレジスト層51内に形成する。図27Dに示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51bを形成するプロセスは、図26Aに例示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51aを形成するプロセスとして参照することができる。
図27Eを参照すると、図27Dに例示されているステップの後に、図27Bに例示されているビアプラグ58が、開口部51b内に、また開口部51bによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に形成されることがわかる。ビアプラグ58を開口部51b内に、また図27Eに示されているような前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に形成するプロセスは、ビアプラグ58を開口部51b内に、また図27Bに例示されているような開口部51bによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に形成するプロセスとして参照することができる。図27Eに示されているビアプラグ58の詳細は、図27Bに例示されているビアプラグ58の詳細として参照することができる。ビアプラグ58を形成した後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層51を除去する。したがって、フォトレジスト層51を除去した後に、ビアプラグ58をバルク金属層9上に形成することができる。
図27Fを参照すると、ビアプラグ58をバルク金属層9上に形成した後、バルク金属層9の下にない金属層4は、エッチング法によって除去されることがわかる。図27Fに示されているバルク金属層9の下にない金属層4を除去するプロセスは、図25Fに例示されているようなバルク金属層9の下にない金属層4を除去するプロセスとして参照することができる。したがって、金属層4およびバルク金属層9によって構成される複数の相互接続構造88を、薄化シリコン基板1内に、また薄化シリコン基板1の裏面1aに形成することができ、バルク金属層9の側壁は、金属層4によって覆われていない。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、オフチップバッファ42をビアプラグ58に接続することができる。
次に、図27Gを参照すると、ポリマー層142は、スピンオンコーティングプロセス、ラミネート加工プロセス、またはスクリーン印刷プロセスによって、絶縁層3上に、またビアプラグ58上に、またバルク金属層9上に形成することができ、ビアプラグ58およびバルク金属層9を取り囲むことがわかる。ポリマー層142は、10から200マイクロメートルまでの範囲、好ましくは15から50マイクロメートルまでの範囲の厚さt6を有する。ポリマー層142の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
例えば、ポリマー層142は、好適な1つまたは複数のプロセスによって、例えば、20から400マイクロメートルまでの範囲、好ましくは30から100マイクロメートルまでの範囲の厚さを有する、エステルタイプの前駆体を含む、ネガ型感光性ポリイミド層を、絶縁層3に、またビアプラグ58上に、またバルク金属層9上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、ベークしたポリイミド層を、180から400℃までの範囲、180から250℃までの範囲、250から290℃までの範囲、290から400℃までの範囲、または200から390℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に10から200マイクロメートルまでの範囲、好ましくは15から50マイクロメートルまでの範囲の厚さを持たせることによって形成することができる。ところで、ポリマー層142は、絶縁層3上に、またビアプラグ58上に、またバルク金属層9上に形成することができ、ビアプラグ58およびバルク金属層9を取り囲む。
図27Hを参照すると、ポリマー層142を形成した後、研磨または機械研磨プロセス、好ましくは化学機械研磨(CMP)プロセスを使用してポリマー層142の表面を平坦化し、これによりビアプラグ58を露出させることがわかる。ポリマー層142は、平坦化された後、7から80マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲の厚さt7を有するものとしてよい。ビアプラグ58の上面58aは、実質的に、ポリマー層142の上面142aと同一平面上にあるものとすることができる。
次に、図27Iを参照すると、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層64を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスによって、ポリマー層142の上面142a上に、またビアプラグ58の上面58a上に形成することができ、次いで、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層65を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスによって、接着/バリア層64上に形成することができることがわかる。接着/バリア層64の材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層65の材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
例えば、接着/バリア層64が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層142の上面142a上に、またビアプラグ58の上面58a上に、スパッタリングすることによって形成される場合、シード層65は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層64が、好適な1つまたは複数のプロセスによって、例えば、0.01から0.15マイクロメートルまでの範囲の厚さを有するチタン層を含む複合層をポリマー層142の上面142a上に、またビアプラグ58の上面58a上にスパッタリングし、0.1から0.35マイクロメートルまでの範囲の厚さを有するチタンタングステン合金層をチタン層上にスパッタリングすることによって形成される場合、シード層65は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタンタングステン合金層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層64が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層142の上面142a上に、またビアプラグ58の上面58a上に、スパッタリングすることによって形成される場合、シード層65は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層64が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、ポリマー層142の上面142a上に、またビアプラグ58の上面58a上に、スパッタリングすることによって形成される場合、シード層65は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成されうる。
図27Jを参照すると、シード層65を形成した後、5から50マイクロメートルまでの範囲、好ましくは10から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層67が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって前記の材料のシード層65上に形成されることがわかる。次に、露光および現像のプロセスによりフォトレジスト層67のパターン形成を行い、前記の材料のシード層65を露出する複数の開口部67aをフォトレジスト層67内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層67を露光することができる。
例えば、フォトレジスト層67は、好適な1つまたは複数のプロセスによって、例えば、5から50マイクロメートルまでの範囲、好ましくは10から25マイクロメートルまでの範囲の厚さを有する、ポジ型感光性ポリマー層を、シード層65上にスピンオンコーティングし、次いで、G線、H線、およびI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用して感光性ポリマー層を露光し、G線は例えば約434から438nmまでの範囲の波長を有し、H線は例えば約403から407nmまでの範囲の波長を有し、I線は例えば約363から367nmまでの範囲の波長を有し、次いで、現像液を半導体ウェハ10にスプレーし、塗るか、または半導体ウェハ10を現像液中に浸漬することによって、露出されているポリマー層を現像し、次いで、脱イオン水を使用して半導体ウェハ10を洗浄し、半導体ウェハ10を回転して半導体ウェハ10を乾燥させることによって形成されうる。現像した後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマを使用することによって、シード層65から残留ポリマー材料もしくは他の汚染物質を除去するスカム除去プロセスを実行することができる。これらのプロセスにより、シード層65を露出する開口部67aで、フォトレジスト層67のパターン形成を行うことができる。
次に、図27Kを参照すると、1から50マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する金属層68が、開口部67a内に、また開口部67aによって露出されている前記の材料のシード層65上に電気メッキされうることがわかる。金属層68は、金、銅、銀、パラジウム、白金、ロジウム、ルテニウム、レニウム、もしくはニッケルの単層、または前記の金属から作られた複合層とすることができる。
例えば、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の金を電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲の厚さになるように、金層を開口部67a内に、また開口部67aによって露出されている、シード層65、好ましくは前記の金層65上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲の厚さになるように、銅層を開口部67a内に、また開口部67aによって露出されている、シード層65、好ましくは前記の銅層65上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のパラジウムを電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部67a内に、また開口部67aによって露出されている、シード層65、好ましくは前記のパラジウム層65上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部67a内に、また開口部67aによって露出されている、シード層65、好ましくは前記の銅層またはニッケル層65上に、電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から15マイクロメートルまでの範囲の厚さになるように、銅層を開口部67a内に、また開口部67aによって露出されている、シード層65、好ましくは前記の金層65上に、電気メッキし、次いで、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、金層を開口部67a内に、また開口部67a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から15マイクロメートルまでの範囲の厚さになるように、銅層を開口部67a内に、また開口部67aによって露出されている、シード層65、好ましくは前記の銅層65上に、電気メッキし、次いで、バルク金属層9のパラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部67a内に、また開口部67a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から15マイクロメートルまでの範囲の厚さになるように、銅層を開口部67aによって露出されている、シード層65、好ましくは前記の銅層65上に、電気メッキし、次いで、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から5マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部67a内に、また開口部67a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9のニッケルを電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から15マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部67a内に、また開口部67aによって露出されている、シード層65、好ましくは前記の銅層またはニッケル層65上に、電気メッキし、次いで、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲の厚さになるように、金層またはパラジウム層を開口部67a内に、また開口部67a内の電気メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される二重金属層からなるものとしてよい。
あるいは、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から15マイクロメートルまでの範囲の厚さになるように、銅層を開口部67aによって露出されている、シード層65、好ましくは前記の銅層65上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から5マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部67a内に、また開口部67a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、バルク金属層9の金を電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、金層を開口部67a内に、また開口部67a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属層68は、好適な1つまたは複数のプロセスによって、例えば、バルク金属層9の銅を電気メッキするために前記の電気メッキ溶液を使用して、1から50マイクロメートルまでの範囲、好ましくは2から15マイクロメートルまでの範囲の厚さになるように、銅層を開口部67aによって露出されている、シード層65、好ましくは前記の銅層65上に、電気メッキし、次に、バルク金属層9のニッケルを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、1から15マイクロメートルまでの範囲、好ましくは2から5マイクロメートルまでの範囲の厚さになるように、ニッケル層を開口部67a内に、また開口部67a内の電気メッキされた銅層上に電気メッキするか、または無電解メッキし、次いで、バルク金属層9のパラジウムを電気メッキするか、または無電解メッキするために前記の電気メッキ溶液または無電解メッキ溶液を使用して、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さになるように、パラジウム層を開口部67a内に、また開口部67a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
図27Lを参照すると、金属層68を形成した後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層67を除去することができることがわかる。フォトレジスト層67からの一部の残留物が、金属層68上に、また金属層68の下にないシード層65上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、金属層68から、またシード層65から、残留物を除去することができる。
次に、図27Mを参照すると、バルク金属層68の下にないシード層65および接着/バリア層64は、その後、エッチング法によって除去されることがわかる。ある場合には、金属層68の下にないシード層65および接着/バリア層65は、その後、ドライエッチング法によって除去されうる。ドライエッチング法に関して、金属層68の下にないシード層65および接着/バリア層64は両方とも、その後、Arスパッタリングエッチングプロセスによって除去することができ、あるいは、金属層68の下にないシード層65および接着/バリア層64は両方とも、その後、反応性イオンエッチング(RIE)プロセスによって除去することができ、あるいは、金属層68の下にないシード層65は、Arスパッタリングエッチングプロセスによって除去することができ、次いで、金属層68の下にない接着/バリア層64は、反応性イオンエッチング(RIE)プロセスによって除去することができる。他の場合には、金属層68の下にないシード層65および接着/バリア層64は、その後、ウェットエッチング法によって除去されうる。ウェットエッチング法に関しては、シード層65が銅層である場合、NH4OHを含む溶液またはH2SO4を含む溶液でエッチングすることができ、接着/バリア層64がチタンタングステン合金層である場合、過酸化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができ、接着/バリア層64がチタン層である場合、フッ化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができ、接着/バリア層64がクロム層である場合、フェリシアン化カリウムを含む溶液でエッチングすることができる。他の場合には、金属層68の下にない、銅などのシード層65は、NH4OHを含む溶液またはH2SO4を含む溶液によって除去することができ、次いで、金属層68の下にない接着/バリア層64は、反応性イオンエッチング(RIE)プロセスによって除去することができる。他の場合には、金属層68の下にない、銅などのシード層65は、NH4OHを含む溶液またはH2SO4を含む溶液によって除去することができ、次いで、金属層68の下にない接着/バリア層64は、Arスパッタリングエッチングプロセスによって除去することができる。
次に、図27Nを参照すると、ポリマー層14は、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、さらに硬化プロセスを含むプロセスによって、ポリマー層142の上面142a上に、また前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層上に形成することができ、ポリマー層14内の複数の開口部14aは、前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の複数の領域の上にあり、それを露出することがわかる。ポリマー層14は、3から50マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有する。ポリマー層14の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
ある場合には、ポリマー層14は、好適な1つまたは複数のプロセスによって、例えば、6から100マイクロメートルまでの範囲の厚さを有するネガ型感光性ポリイミド層を、ポリマー層142の上面142a上に、また前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベークし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリイミド層を露光し、ベークしたポリイミド層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリイミド層に照射し、次いで、露光されたポリイミド層を現像して金属層68を露出する複数の開口部を形成し、次いで、現像されたポリイミド層を、180から400℃までの範囲、180から250℃までの範囲、250から290℃までの範囲、290から400℃までの範囲、または200から390℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に3から50マイクロメートルまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマを使い、開口部14aによって露出されている金属層68から残留ポリマー材料もしくは他の汚染物質を除去することによって形成されうる。ところで、ポリマー層14は、ポリマー層142の上面142a上に、また前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層上に形成することができ、ポリマー層14内に形成された開口部14aは、前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の領域を露出する。
他の場合には、ポリマー層14は、好適な1つまたは複数のプロセスによって、例えば、3から50マイクロメートルまでの範囲の厚さを有するポジ型感光性ポリベンゾオキサゾール層を、ポリマー層142の上面142a上に、また前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリベンゾオキサゾール層をベークし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリベンゾオキサゾール層を露光し、ベークしたポリベンゾオキサゾール層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリベンゾオキサゾール層に照射し、次いで、露光されたポリベンゾオキサゾール層を現像して金属層68を露出する複数の開口部を形成し、次いで、現像されたポリベンゾオキサゾール層を、150から250℃までの範囲、好ましくは180から250℃までの範囲、または200から400℃までの範囲、好ましくは250から350℃までの範囲の温度で、5から180分の間、好ましくは30から120分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリベンゾオキサゾール層に3から50マイクロメートルまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマを使い、開口部14aによって露出されている金属層68から残留ポリマー材料もしくは他の汚染物質を除去することによって形成されうる。ところで、ポリマー層14は、ポリマー層142の上面142a上に、また前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層上に形成することができ、ポリマー層14内に形成された開口部14aは、前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の領域を露出する。
次に、図27Oを参照すると、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層16を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、ポリマー層14上に、また開口部14aにより露出されている前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の領域上に形成することができ、次いで、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層18を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層16上に形成することができることがわかる。接着/バリア層16の材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層18の材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
例えば、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.01から0.15マイクロメートルまでの範囲の厚さを有するチタン層を含む複合層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の領域上にスパッタリングし、0.1から0.35マイクロメートルまでの範囲の厚さを有するチタンタングステン合金層をチタン層上にスパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタンタングステン合金層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成されうる。
図27Pを参照すると、図27Oに例示されているステップの後に、フォトレジスト層31を前記の材料のシード層18上に形成することができ、フォトレジスト層31内の複数の開口部31aが前記の材料のシード層18を露出するが、これは、図25Iに例示されているステップとして参照することができる。次に、複数の金属バンプまたはピラー27を、開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成することができる。図27Pに示されているような金属バンプまたはピラー27を開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成するプロセスは、図25Jに例示されているような金属バンプまたはピラー27を開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成するプロセスとして参照することができる。図27Pに示されている金属バンプまたはピラー27の詳細は、図25Jに例示されているような金属バンプまたはピラー27の詳細として参照することができる。
次に、図27Qを参照すると、フォトレジスト層31が除去されることがわかるが、これは、図25Kに例示されているステップとして参照することができる。次に、金属バンプまたはピラー27の下にないシード層18を除去し、次いで、金属バンプまたはピラー27の下にない接着/バリア層16を除去するが、これは、図25Lに例示されているステップとして参照することができる。したがって、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、金属層4および9によって形成される相互接続構造88、ビアプラグ58、ポリマー層14および142、金属層16、18、64、65、および68、ならびに金属バンプまたはピラー27とともに形成され、ポリマー層14内の開口部14aは、金属層68の上にある。図27Qに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図27Qに例示されているステップの後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうちのどれか1つを形成するプロセスを、図24B、24C、25A〜25D、および27A〜27Qに例示されているステップの前に実行することができる。図24B、24C、25A〜25D、および27A〜27Qに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができる。半導体チップの金属バンプまたはピラー27を、金属層68、ビアプラグ58、およびバルク金属層9を通して、オフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続することができる。金属層4、バルク金属層9、ビアプラグ58、接着/バリア層64、シード層65、および金属層68によって構成される複数の相互接続構造を、半導体チップの薄化シリコン基板1の裏面1aに形成することができ、この相互接続構造は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27を通してオフチップバッファ42ならびに内部回路21、22、23、および24を外部回路に接続することができる。
図27Rは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、フラックス32を開口部531、532、534、539、および539'内に、また開口部531、532、534、539、および539'によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。次に、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、ビアプラグ58を相互接続構造88上に、また薄化シリコン基板1の裏面1aに形成することができるが、これは、図25A〜25Dおよび27A〜27Fに例示されているステップとして参照することができる。次に、ポリマー層142および14、接着/バリア層16および64、シード層18および65、金属層68、ならびに金属バンプまたはピラー27を薄化シリコン基板1の裏面1aに形成することができ、これは、図27G〜27Qに例示されているステップとして参照することができる。したがって、図27Qに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。
図27Rに示されている構造を形成した後に、フラックス32を除去する。次に、説明を簡単にするために逆にした図とともに例示されている以下のステップに従って、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができ、および/またはボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうち1つを通して接続することができる。
図27Sは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、これは、図25Nに例示されているプロセスとして参照することができる。図27Sに示されている金属パッド、バンプ、またはトレース34aの詳細は、図25Nに例示されているような金属パッド、バンプ、またはトレース34aの詳細として参照することができる。金属パッド、バンプ、またはトレース34aを形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、ビアプラグ58を相互接続構造88上に、また薄化シリコン基板1の裏面1aに形成することができるが、これは、図25A〜25Dおよび27A〜27Fに例示されているステップとして参照することができる。次に、ポリマー層142および14、接着/バリア層16および64、シード層18および65、金属層68、ならびに金属バンプまたはピラー27を薄化シリコン基板1の裏面1aに形成することができ、これは、図27G〜27Qに例示されているステップとして参照することができる。したがって、図27Qに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、金属パッド、バンプ、またはトレース34aを開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成するステップを、金属バンプまたはピラー27を形成した後に実行することができる。
図27Sに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、金属パッド、バンプ、またはトレース34aを通して、プリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、また、底部スキーム103の金属バンプまたはピラー27を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、接続することができる。
図27Tは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の複数の開口部980は、パターン形成回路層801の金属層8012の複数の接点801aの上にあり、それらを露出し、これは、図15Mに例示されているプロセスとして参照することができる。次に、金属層89a、89b、および89cによって形成される複数の金属バンプ89をポリマー層98上に、また開口部980によって露出されている金属層8012の接点801a上に形成することができ、これは、図15Mに例示されているプロセスとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801、ポリマー層98、および金属バンプ89とともに形成することができる。金属バンプ89を形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88を、シリコン貫通ビア11a、11b、11c、11d、および11e内に、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、ビアプラグ58を相互接続構造88上に、また薄化シリコン基板1の裏面1aに形成することができるが、これは、図25A〜25Dおよび27A〜27Fに例示されているステップとして参照することができる。次に、ポリマー層142および14、接着/バリア層16および64、シード層18および65、金属層68、ならびに金属バンプまたはピラー27を薄化シリコン基板1の裏面1aに形成することができ、これは、図27G〜27Qに例示されているステップとして参照することができる。したがって、図27Qに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、パターン形成回路層801、ポリマー層98、金属層89a、および金属バンプ89を形成するステップは、金属バンプまたはピラー27を形成した後に実行することができる。
図27Tに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、オーバーパッシベーションスキーム102の金属バンプ89を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、また、底部スキーム103の金属バンプまたはピラー27を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、接続することができる。
図28A〜28Fは、本開示による、半導体ウェハの裏面における底部構造技術の底部スキーム103を形成するためのプロセスを示す断面図である。図28Aを参照すると、図24B、24C、および25A〜25Fに例示されているステップの後、0.2から1.5マイクロメートルまでの範囲、好ましくは0.3から1マイクロメートルまでの範囲など、0.1マイクロメートルより大きい厚さt8を有する絶縁層46が、化学気相成長(CVD)プロセスを使用することによって、絶縁層3上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成されうることがわかる。絶縁層46は、酸化ケイ素、オキシ窒化ケイ素、または窒化ケイ素(Si34など)の単層、前記の材料から作られた複合層とすることができる。
例えば、絶縁層46は、絶縁層3上の、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上の、0.2から1.5マイクロメートルまでの範囲、好ましくは0.3から1マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する、酸化ケイ素層、オキシ窒化ケイ素層、または窒化ケイ素層などの無機層とすることができる。あるいは、絶縁層46は、絶縁層3上の、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上の、0.2から1.5マイクロメートルまでの範囲、好ましくは0.3から1マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する、窒化ケイ素層またはオキシ窒化ケイ素層などの絶縁窒化物層とすることができる。あるいは、絶縁層46は、絶縁層3上の、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上の、0.2から1.5マイクロメートルまでの範囲、好ましくは0.3から1マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する、酸化ケイ素層またはオキシ窒化ケイ素層などの酸化物層とすることができる。あるいは、絶縁層46は、絶縁層3上の、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上の、0.2から1.5マイクロメートルまでの範囲、好ましくは0.3から1マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する、窒化ケイ素層またはオキシ窒化ケイ素層などの絶縁窒化物層と、絶縁窒化物層上の、0.2から1.5マイクロメートルまでの範囲、好ましくは0.3から1マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する、酸化ケイ素層またはオキシ窒化ケイ素層などの酸化物層とを含む二重層からなるものとしてよい。
図28Bを参照すると、絶縁層46を形成した後、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを使用して、ポリマー層14を絶縁層46上に形成することができ、ポリマー層14内の複数の開口部14aが、バルク金属層9の上の絶縁層46の複数の領域を露出することがわかる。ポリマー層14は、3から50マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲の厚さを有し、ポリマー層14は、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂の単層とすることができる。図28Bに示されているようなポリマー層14およびポリマー層14内の開口部14aを形成するプロセスは、図25Gに例示されているようなポリマー層14およびポリマー層14内の開口部14aを形成するプロセスとして参照することができる。
図28Cを参照すると、図28Bに例示されているステップの後に、開口部14aによって露出されている絶縁層46の領域を、助長プラズマエッチング法などの、ドライエッチング法により除去できることがわかる。したがって、ポリマー層14内の開口部14aは、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の複数の領域の上にあり、それらを露出することがわかる。
次に、図28Dを参照すると、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層16を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、ポリマー層14上に、また開口部14aにより露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に形成することができ、次いで、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層18を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層16上に形成することができることがわかる。接着/バリア層16の材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、または窒化タンタルが挙げられ、シード層18の材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
例えば、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.01から0.15マイクロメートルまでの範囲の厚さを有するチタン層を含む複合層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上にスパッタリングし、0.1から0.35マイクロメートルまでの範囲の厚さを有するチタンタングステン合金層をチタン層上にスパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタンタングステン合金層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成されうる。
図28Eを参照すると、図28Dに例示されているステップの後に、フォトレジスト層31をシード層18上に形成することができ、フォトレジスト層31内の複数の開口部31aによりシード層18を露出させることがわかるが、これは、図25Iに例示されているステップとして参照することができる。次に、複数の金属バンプまたはピラー27を、開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成することができる。図28Eに示されているような金属バンプまたはピラー27を開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成するプロセスは、図25Jに例示されているような金属バンプまたはピラー27を開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成するプロセスとして参照することができる。図28Eに示されている金属バンプまたはピラー27の詳細は、図25Jに例示されているような金属バンプまたはピラー27の詳細として参照することができる。
次に、図28Fを参照すると、フォトレジスト層31が除去されることがわかるが、これは、図25Kに例示されているステップとして参照することができる。次に、金属バンプまたはピラー27の下にないシード層18を除去し、次いで、金属バンプまたはピラー27の下にない接着/バリア層16を除去するが、これは、図25Lに例示されているステップとして参照することができる。したがって、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、金属層4および9によって形成される相互接続構造88、絶縁層46、ポリマー層14、金属層16および18、ならびに金属バンプまたはピラー27とともに形成され、ポリマー層14内の開口部14aは、相互接続構造88の上にある。図28Fに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図28Fに例示されているステップの後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうちのどれか1つを形成するプロセスを、図24B、24C、25A〜25F、および28A〜28Fに例示されているステップの前に実行することができる。図24B、24C、25A〜25F、および28A〜28Fに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができる。金属層4および9によって構成される相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。オフチップESD回路43は、細線金属トレース639を通してオフチップバッファ42に接続される。
図28Gは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、フラックス32を開口部531、532、534、539、および539'内に、また開口部531、532、534、539、および539'によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。次に、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、絶縁層46、ポリマー層14、接着/バリア層16、シード層18、および金属バンプまたはピラー27を薄化シリコン基板1の裏面1aに形成することができ、これは、図28A〜28Fに例示されているステップとして参照することができる。したがって、図28Fに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。
図28Gに示されている構造を形成した後に、フラックス32を除去する。次に、説明を簡単にするために逆にした図とともに例示されている以下のステップに従って、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、底部スキーム103の金属バンプまたはピラー27を通して接続することができ、および/またはボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうち1つを通して接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図28Hは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、これは、図25Nに例示されているプロセスとして参照することができる。図28Hに示されている金属パッド、バンプ、またはトレース34aの詳細は、図25Nに例示されているような金属パッド、バンプ、またはトレース34aの詳細として参照することができる。金属パッド、バンプ、またはトレース34aを形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、絶縁層46、ポリマー層14、接着/バリア層16、シード層18、および金属バンプまたはピラー27を薄化シリコン基板1の裏面1aに形成することができ、これは、図28A〜28Fに例示されているステップとして参照することができる。したがって、図28Fに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、金属パッド、バンプ、またはトレース34aを開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成するステップを、金属バンプまたはピラー27を形成した後に実行することができる。
図28Hに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、金属パッド、バンプ、またはトレース34aを通して、プリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、また、底部スキーム103の金属バンプまたはピラー27を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図28Iは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の複数の開口部980は、パターン形成回路層801の金属層8012の複数の接点801aの上にあり、それらを露出し、これは、図15Mに例示されているプロセスとして参照することができる。次に、金属層89a、89b、および89cによって形成される複数の金属バンプ89をポリマー層98上に、また開口部980によって露出されている金属層8012の接点801a上に形成することができ、これは、図15Mに例示されているプロセスとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801、ポリマー層98、および金属バンプ89とともに形成することができる。金属バンプ89を形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、絶縁層46、ポリマー層14、接着/バリア層16、シード層18、および金属バンプまたはピラー27を薄化シリコン基板1の裏面1aに形成することができ、これは、図28A〜28Fに例示されているステップとして参照することができる。したがって、図28Fに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、パターン形成回路層801、ポリマー層98、金属層89a、および金属バンプ89を形成するステップは、金属バンプまたはピラー27を形成した後に実行することができる。
図28Iに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、オーバーパッシベーションスキーム102の金属バンプ89を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、また、底部スキーム103の金属バンプまたはピラー27を通して、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、接続することができる。相互接続構造88は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプまたはピラー27をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。パターン形成回路層801は、オフチップバッファ42を内部回路21、22、23、および24に接続し、金属バンプ89をオフチップバッファ42に、またオフチップESD回路43に、また内部回路21、22、23、および24に接続する。
図28Jは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、パッケージを示す断面図である。第1に、図24Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部531、532、534、539、および539’を備える。開口部531、532、534、539、および539’は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部531、532、534、539、および539’の底部にある。次に、接着/バリア/シード層8011および金属層8012からなるパターン形成回路層801をパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出される金属トレースまたはパッド600の領域600a上に形成することができ、これは図15D〜15Hに例示されているステップとして参照することができる。次に、ポリマー層98が、パターン形成回路層801の金属層8012上に、またパッシベーション層5上に形成され、ポリマー層98内の複数の開口部980は、パターン形成回路層801の金属層8012の複数の接点801aの上にあり、それらを露出し、これは、図15Iに例示されているプロセスとして参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801およびポリマー層98とともに形成することができる。次に、フラックスを、開口部980内に、また開口部980によって露出されている金属層8012の接点801a上に形成することができる。
フラックスを形成した後、図24Bに例示されているステップを実行することができる。次に、シリコン貫通ビア11a、11b、11c、11d、および11eを、薄化シリコン基板1内に形成することができ、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、相互接続構造88をシリコン貫通ビア11a、11b、11c、11d、および11e内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。次に、絶縁層46、ポリマー層14、接着/バリア層16、シード層18、および金属バンプまたはピラー27を薄化シリコン基板1の裏面1aに形成することができ、これは、図28A〜28Fに例示されているステップとして参照することができる。したがって、図28Fに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。次に、フラックスを除去して、開口部980によって露出されている金属層8012の接点801aを露出させる。
フラックスを除去した後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。チップパッケージにおいて、半導体チップは、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、ワイヤボンディングで金線または銅線などの複数のワイヤ129をオーバーパッシベーションスキーム102の金属層8012の領域801aに、また第1の外部回路に接合することによって、接続することができ、また、底部スキーム103の金属バンプまたはピラー27を第2の外部回路と接合することによって、プリント回路基板、ボールグリッドアレイ(BGA)基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続することができる。例えば、ワイヤボンディング接合ワイヤ129を、開口部980によって露出されている金属層8012の金、銅、アルミニウム、またはパラジウムの領域801aと、ボールボンディングで接合された、ワイヤボンディング接合金線とすることができる。あるいは、ワイヤボンディング接合ワイヤ129を、開口部980によって露出されている金属層8012の金、銅、アルミニウム、またはパラジウムの領域801aと、ボールボンディングで接合された、ワイヤボンディング接合銅線とすることができる。
図29A〜図29Tは、半導体チップの簡略化された回路図を示しており、2本の点線は、半導体チップのパッシベーション層5、および半導体チップの薄化シリコン基板1の裏面1aを示し、粗いトレースは、パッシベーション層5の上に形成され、また裏面1aの下に形成されている金属構造を示し、細いトレースは、パッシベーション層5とシリコン基板1との間の金属トレースを示している。
図29Aは図1Cから得られる。半導体チップは、パッシベーション層5の上の、電源バス、電源トレース、または電源線などの、電源相互接続部81および81P、パッシベーション層5の上の、グランドバス、グランドトレース、またはグランド線などの、グランド相互接続部82、パッシベーション層5と薄化シリコン基板1の裏面1aとの間の電圧レギュレータまたはコンバータ回路41、パッシベーション層5と薄化シリコン基板1の裏面1aとの間の複数の内部回路21、22、23、および24、パッシベーション層5と薄化シリコン基板1の裏面1aとの間の複数の細線金属トレース611、612a、612b、612c、614、619、619’、621、622a、622b、622c、624、および629、パッシベーション層5内の複数の開口部511、512、514、519、519’、521、522、524、および529、薄化シリコン基板1内の複数のシリコン貫通ビア11a、11b、11c、11d、11e、および11f、薄化シリコン基板1の裏面1aにある複数の相互接続構造88、薄化シリコン基板1の裏面1aにあるインダクタ36、ならびに薄化シリコン基板1の裏面1aにあるキャパシタ87を備える。半導体チップにおける電源電圧Vccを調整するレギュレータの機能を改善するために、インダクタ36およびキャパシタ87を備えて、電圧レギュレータまたはコンバータ回路41内に組み込むことができる。図29Aに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができる。図29Aに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29Aに示されているようなシリコン貫通ビア11a、11b、11c、11d、11e、および11fを形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
電源相互接続部81Pは、電圧レギュレータまたはコンバータ41の電源ノードを、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路から入力される電源電圧Vddを供給するように接続することができる。電源相互接続部81は、調整された、または変換された電源電圧Vccを分配するように、電圧レギュレータまたはコンバータ回路41のノードPと内部回路21、22、23、および24の電源ノードTp、Up、Vp、およびWpを接続することができる。グランド相互接続部82は、グランド基準電圧Vssを分配するように、電圧レギュレータまたはコンバータ回路41のグランドノードRsと内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsを接続することができる。
薄化シリコン基板1の裏面1aにある相互接続構造88は、グランド基準電圧Vssを供給するために使用される、グランドバス、グランドトレース、またはグランド線などの、グランド相互接続部88a、外部回路から入力される電源電圧Vddを供給するために使用される、電源バス、電源トレース、または電源線などの、電源相互接続部88b、電圧レギュレータまたはコンバータ回路41のノードPから出力される調整された、または変換された電源電圧Vccを供給するために使用される、電源バス、電源トレース、または電源線などの、電源相互接続部88cを備える。
グランド相互接続部88aは、薄化シリコン基板1の裏面1aの下に形成されているキャパシタ87の端子に、またシリコン貫通ビア11bおよび細線金属トレース629を通して電圧レギュレータまたはコンバータ回路41のグランドノードRsに、またシリコン貫通ビア11b、11c、11d、および11eおよび細線金属トレース629、621、622a、および624を通してグランド相互接続部82に、またシリコン貫通ビア11c、11d、および11eおよび細線金属トレース621、622b、622c、および624を通して内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに、接続されうる。
電源相互接続部88bは、シリコン貫通ビア11aおよび細線金属トレース619を通してパッシベーション層5の上の電源相互接続部81Pに、またシリコン貫通ビア11aおよび細線金属トレース619を通して電圧レギュレータまたはコンバータ回路41の電源ノードに、また薄化シリコン基板1の裏面1aの下に形成されているインダクタ36の端子に、接続されうる。
電源相互接続部88cは、シリコン貫通ビア11fおよび細線金属トレース619‘を通して電源相互接続部81に、またビア11fおよび細線金属トレース619’を通して電圧レギュレータまたはコンバータ回路41のノードPに、またインダクタ36の他の端子に、またキャパシタ87の他の端子に、接続されうる。
図29Bは図29Aおよび1Dから得られる。図29Aの構造に加えて、電源相互接続部88bを、図29Bに示されているように、シリコン貫通ビア11hを通して、また細線金属トレース649を通してESD回路44のノードDpにさらに接続することができる。電源相互接続部81Pを、パッシベーション層5内の開口部549を通して、また細線金属トレース649を通して、ESD回路44のノードDpにさらに接続することができる。グランド相互接続部88aを、シリコン貫通ビア11gを通して、また細線金属トレース649’を通して、ESD回路44のノードDgにさらに接続することができる。グランド相互接続部82を、パッシベーション層5内の開口部549’を通して、また細線金属トレース649’を通して、ESD回路44のノードDgにさらに接続することができる。図29Bに示されているようなシリコン貫通ビア11a、11b、11c、11d、11e、11f、11g、および11hを形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29Cは図1Bから得られる。相互接続構造88は、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができる。相互接続構造88は、グランド電圧Vssを供給するために使用され、シリコン貫通ビア11を通してグランドノードRs、Ts、Us、Vs、およびWsに接続されている、グランドバス、グランドトレース、またはグランド線などのグランド相互接続部である。図29Cに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができる。図29Cに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29Cに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29Dは図12Bから得られる。相互接続構造88は、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができる。図32A〜32N、図33A〜33K、図33N〜33U、図33Vおよび33W、図33Xおよび33Y、または図35A〜35Dに例示されているステップを使用して、キャパシタ87を薄化シリコン基板1の裏面1aに備えることができる。相互接続構造88は、グランドバス、グランドトレース、またはグランド線などのグランド相互接続部88a、および電源バス、電源トレース、または電源線などの電源相互接続部88bを備える。グランド電圧Vssを供給するために使用されるグランド相互接続部88aは、ESD回路44のノードに、またシリコン貫通ビア11を通してグランドノードTs、Us、Vs、およびWsに、またキャパシタ87の端子に接続することができる。ボールグリッドアレイ(BGA)基板、プリント回路基板、他の半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路から入力される電源電圧Vddを供給するために使用される電源相互接続部88bは、パッシベーション層5の上の電源相互接続部81Pに、またシリコン貫通ビア11および細線金属トレース649を通してESD回路44のノードDpに、接続することができる。電源相互接続部88bは、キャパシタ87の他の端子にも接続することができる。図29Dに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができる。図29Dに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29Dに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29Eを参照すると、グランド相互接続部88aおよび電源相互接続部88bを含む相互接続構造88を、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができることがわかる。パッシベーション層5の上の、図12Cに示されている、金属トレース、バス、またはプレーン82は、薄化シリコン基板1の裏面1aの、グランドバス、グランドトレース、またはグランド線などの、グランド相互接続部88aと置き換えることができ、パッシベーション層5の上の、図12Cに示されている、電源バス81Pは、薄化シリコン基板1の裏面1aの、電源バス、電源トレース、または電源線などの、電源相互接続部88bと置き換えることができる。図32A〜32N、図33A〜33K、図33N〜33U、図33Vおよび33W、図33Xおよび33Y、または図35A〜35Dに例示されているステップを使用して、キャパシタ87を薄化シリコン基板1の裏面1aに備えることができる。グランド電圧Vssを供給するために使用されるグランド相互接続部88aは、シリコン貫通ビア11を通して、ESD回路44のノードDgに、またグランドノードTs、Us、Vs、およびWsに接続することができる。グランド相互接続部88aは、キャパシタ87の端子にも接続することができる。ボールグリッドアレイ(BGA)基板、プリント回路基板、他の半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路から入力される電源電圧Vddを供給するために使用される電源相互接続部88bは、シリコン貫通ビア11を通して、ESD回路44のノードDpに、また電源ノードTp、Up、Vp、およびWpに接続することができる。電源相互接続部88bは、キャパシタ87の他の端子にも接続することができる。図29Eに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができる。図29Eに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29Eに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29Fを参照すると、グランド相互接続部88aおよび電源相互接続部88bを含む相互接続構造88を、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができることがわかる。パッシベーション層5の上の、図12Dに示されている、金属トレース、バス、またはプレーン82は、薄化シリコン基板1の裏面1aの、グランドバス、グランドトレース、またはグランド線などの、グランド相互接続部88aと置き換えることができ、パッシベーション層5の上の、図12Dに示されている、電源金属トレース、バス、またはプレーン81は、薄化シリコン基板1の裏面1aの、電源バス、電源トレース、または電源線などの、電源相互接続部88bと置き換えることができる。図32A〜32N、図33A〜33K、図33N〜33U、図33Vおよび33W、図33Xおよび33Y、または図35A〜35Dに例示されているステップを使用して、キャパシタ87を薄化シリコン基板1の裏面1aに備えることができる。グランド電圧Vssを供給するために使用されるグランド相互接続部88aは、シリコン貫通ビア11を通して、ESD回路44および45のノードDgおよびDg’に、またグランドノードTs、Us、Vs、およびWsに接続することができる。グランド相互接続部88aは、キャパシタ87の端子にも接続することができる。ボールグリッドアレイ(BGA)基板、プリント回路基板、他の半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路から入力される電源電圧Vddを供給するために使用される電源相互接続部88bは、シリコン貫通ビア11を通して、ESD回路44および45のノードDpおおびDp’に、また電源ノードTp、Up、Vp、およびWpに接続することができる。電源相互接続部88bは、キャパシタ87の他の端子にも接続することができる。図29Fに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができる。図29Fに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29Fに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29G、29H、または29Kを参照すると、2つの金属相互接続部88cおよび88dを備える相互接続構造88を、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができることがわかる。パッシベーション層5の上の、図8B、8C、または8Fに示されている、金属トレース、バス、またはプレーン83は、薄化シリコン基板1の裏面1aの、金属トレースまたは金属線などの、金属相互接続部88cと置き換えることができ、パッシベーション層5の上の、図8B、8C、または8Fに示されている、再分配された金属トレース83rは、薄化シリコン基板1の裏面1aの、金属トレースまたは金属線などの、金属相互接続部88dと置き換えることができる。前記の金属バンプまたはピラー27をその金属相互接続部88d上に構成することができる。パッシベーション層5内の一方の開口部50を通してオフチップESD回路43のノードに接続されている、電源バス、電源トレース、または電源線などの、前記の電源相互接続部81Pを、前記のトップポストパッシベーション技術を使用してパッシベーション層5の上に構成することができる。パッシベーション層5内の他方の開口部50を通してオフチップESD回路43の他のノードに接続されている、グランドバス、グランドトレース、またはグランド線などの、前記のグランド相互接続部82を、前記のトップポストパッシベーション技術を使用してパッシベーション層5の上に構成することができる。図29G、29H、または29Kに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができ、図29G、29H、または29Kに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29G、29H、または29Kに例示されている金属バンプまたはピラー27の詳細は、図25Jに例示されているような金属バンプまたはピラー27の詳細として参照することができ、図29G、29H、または29Kに示されているような金属バンプまたはピラー27を形成するプロセスは、図25G〜25Lに例示されているような金属バンプまたはピラー27を形成するプロセスとして参照することができる。図29G、29H、または29Kに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29Iを参照すると、2つの金属相互接続部88cおよび88dを備える相互接続構造88を、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができることがわかる。パッシベーション層5の上の、図8Dに示されている、金属トレース、バス、またはプレーン83は、薄化シリコン基板1の裏面1aの、金属トレースまたは金属線などの、金属相互接続部88cと置き換えることができ、パッシベーション層5の上の、図8Dに示されている、再分配された金属トレース83rは、薄化シリコン基板1の裏面1aの、金属トレースまたは金属線などの、金属相互接続部88dと置き換えることができる。前記の金属バンプまたはピラー27をその金属相互接続部88d上に構成することができる。パッシベーション層5内の2つの開口部50を通して2つのオフチップESD回路43の2つのノードに接続されている、電源バス、電源トレース、または電源線などの、前記の電源相互接続部81Pを、前記のトップポストパッシベーション技術を使用してパッシベーション層5の上に構成することができる。パッシベーション層5内の他方の2つの開口部50を通して2つのオフチップESD回路43の他の2つのノードに接続されている、グランドバス、グランドトレース、またはグランド線などの、前記のグランド相互接続部82を、前記のトップポストパッシベーション技術を使用してパッシベーション層5の上に構成することができる。図29Iに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができ、図29Iに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29Iに例示されている金属バンプまたはピラー27の詳細は、図25Jに例示されているような金属バンプまたはピラー27の詳細として参照することができ、図29Iに示されているような金属バンプまたはピラー27を形成するプロセスは、図25G〜25Lに例示されているような金属バンプまたはピラー27を形成するプロセスとして参照することができる。図29Iに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29Jを参照すると、3つの金属相互接続部88c、88d、および88eを備える相互接続構造88を、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができることがわかる。パッシベーション層5の上の、図8Eに示されている、金属トレース、バス、またはプレーン83は、薄化シリコン基板1の裏面1aの、金属トレースまたは金属線などの、金属相互接続部88cと置き換えることができ、パッシベーション層5の上の、図8Eに示されている、再分配された金属トレース83rは、薄化シリコン基板1の裏面1aの、金属トレースまたは金属線などの、金属相互接続部88dと置き換えることができ、パッシベーション層5の上の、図8Eに示されている、金属トレース、バス、またはプレーン83sは、薄化シリコン基板1の裏面1aの、金属トレースまたは金属線などの、金属相互接続部88eと置き換えることができる。前記の金属バンプまたはピラー27をその金属相互接続部88d上に構成することができる。パッシベーション層5内の一方の開口部50を通してオフチップESD回路43のノードに接続されている、電源バス、電源トレース、または電源線などの、前記の電源相互接続部81Pを、前記のトップポストパッシベーション技術を使用してパッシベーション層5の上に構成することができる。パッシベーション層5内の他方の開口部50を通してオフチップESD回路43の他のノードに接続されている、グランドバス、グランドトレース、またはグランド線などの、前記のグランド相互接続部82を、前記のトップポストパッシベーション技術を使用してパッシベーション層5の上に構成することができる。図29Jに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができ、図29Jに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29Jに例示されている金属バンプまたはピラー27の詳細は、図25Jに例示されているような金属バンプまたはピラー27の詳細として参照することができ、図29Jに示されているような金属バンプまたはピラー27を形成するプロセスは、図25G〜25Lに例示されているような金属バンプまたはピラー27を形成するプロセスとして参照することができる。図29Jに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29Lまたは29Mを参照すると、相互接続構造88は、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができることがわかる。パッシベーション層5の上の、図5Bまたは5Sに示されている、金属トレース、バス、またはプレーン83は、薄化シリコン基板1の裏面1aの相互接続部88と置き換えることができる。金属相互接続部、金属トレース、または金属線などの相互接続構造88は、シリコン貫通ビア11を通して、金属バスまたは金属トレースなどの、金属相互接続部631、632、および634に接続することができる。図29Lまたは29Mに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができる。図29Lまたは29Mに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29Lまたは29Mに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29Nを参照すると、相互接続構造88は、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができることがわかる。パッシベーション層5の上の、図5Kに示されている、金属トレース、バス、またはプレーン83’は、薄化シリコン基板1の裏面1aの相互接続部88と置き換えることができる。金属相互接続部、金属トレース、または金属線などの相互接続構造88は、シリコン貫通ビア11を通して、金属バスまたは金属トレースなどの、金属相互接続部631’、632’、および634’に接続することができる。図29Nに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができる。図29Nに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29Nに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
図29O、29P、29Q、29R、29S、または29Tを参照すると、2つの金属相互接続部88fおよび88gを備える相互接続構造88を、薄化シリコン基板1の裏面1aに、また薄化シリコン基板1内のシリコン貫通ビア11内に構成することができることがわかる。パッシベーション層5の上の、図5U、5V、5W、5X、5Y、または5Zに示されている、金属トレース、バス、またはプレーン83は、薄化シリコン基板1の裏面1aの、金属トレースまたは金属線などの、金属相互接続部88fと置き換えることができ、パッシベーション層5の上の、図5U、5V、5W、5X、5Y、または5Zに示されている、アドレスバス85は、薄化シリコン基板1の裏面1aの、金属トレースまたは金属線などの、金属相互接続部88gと置き換えることができる。図29O、29P、29Q、29R、29S、または29Tに例示されている相互接続構造88の詳細は、図25A〜25Fに例示されているような相互接続構造88の詳細として参照することができる。図29O、29P、29Q、29R、29S、または29Tに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図29O、29P、29Q、29R、29S、または29Tに示されているようなシリコン貫通ビア11を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。
以下では、図29Aおよび29Bに例示されているようなインダクタ36およびグランドトレース88aを形成するために、図30A〜30Fに例示されているプロセスを導入する。図30Aは、半導体ウェハ10内の上述のようなシリコン基板1および他の要素を示す断面図であり、シリコン基板1は、600から1000マイクロメートルまでの範囲、50マイクロメートルから1ミリメートルまでの範囲、または75から250マイクロメートルまでの範囲の厚さt1を有する。あるいは、シリコン基板1は、シリコンゲルマニウム(SiGe)基板またはガリウムヒ素(GaAs)基板などの、他の半導体基板で置き換えることもできる。
図30Bを参照すると、図30Aに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。次に、複数のシリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の複数の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。シリコン貫通ビア11a、11d、11e、および11fは、薄化シリコン基板1および(複数可)誘電体層30を完全に貫通する。図30Bに示されているような、薄化シリコン基板1内に、また誘電体層30内にシリコン貫通ビア11fを形成し、シリコン貫通ビア11fの側壁上に絶縁層3を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているような、薄化シリコン基板1内に、また(複数可)誘電体層30内にシリコン貫通ビア11a、11b、11c、11d、および11eを形成し、シリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に絶縁層3を形成するプロセスとして参照することができる。次に、接着/バリア層4aおよびシード層4bからなる金属層4を、シリコン貫通ビア11a、11d、11e、および11f内に、また絶縁層3上に形成することができ、これは、図25Aに例示されているステップとして参照することができる。
金属層4のシード層4bを形成した後、5から50マイクロメートルまでの範囲、好ましくは10から25マイクロメートルまでの範囲の厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層29を、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって、金属層4のシード層4b上に形成することができる。次に、露光および現像のプロセスによりフォトレジスト層29のパターン形成を行い、金属層4のシード層4bを露出する、開口部29aおよびコイル形状の開口部29bをフォトレジスト層29内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層29を露光することができる。図30Bに示されているような、フォトレジスト層29をシード層4b上に形成し、開口部29aをフォトレジスト層29内に形成し、コイル形状の開口部29bをフォトレジスト層29内に形成するプロセスは、図25B〜25Cに例示されているような、フォトレジスト層29をシード層4b上に形成し、開口部29aをフォトレジスト層29内に形成するプロセスとして参照することができる。
次に、図30Cを参照すると、電気メッキプロセスを含むプロセスにより、バルク金属層9を開口部29aおよび29b内に、また開口部29aおよび29bによって露出されている金属層4のシード層4b上に形成することができることがわかる。図30Cに示されているような、バルク金属層9を開口部29aおよび29b内に、また開口部29aおよび29bによって露出されている金属層4のシード層4b上に形成するプロセスは、図25Dに例示されているような、バルク金属層9を開口部29a内に、また開口部29aによって露出されている金属層4のシード層4b上に形成するプロセスとして参照することができる。図30Cに示されているバルク金属層9の詳細は、図25Dに例示されているようなバルク金属層9の詳細として参照することができる。
図30Dを参照すると、図30Cに例示されているステップの後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層29が除去されることがわかる。フォトレジスト層29からの一部の残留物が、バルク金属層9上に、また金属層4のシード層4b上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、バルク金属層9から、また金属層4のシード層4bから、残留物を除去することができる。
図30Eを参照すると、図30Dに例示されているステップの後、バルク金属層9の下にない金属層4は、バルク金属層9の下にないシード層4bをエッチングし、次いで、バルク金属層9の下にない接着/バリア層4aをエッチングすることによって除去されることがわかる。図30Eに示されているようなバルク金属層9の下にないシード層4bを除去し、バルク金属層9の下にない接着/バリア層4aを除去するプロセスは、図25Fに例示されているようなバルク金属層9の下にないシード層4bを除去し、バルク金属層9の下にない接着/バリア層4aを除去するプロセスとして参照することができる。
したがって、コイル36および金属相互接続部88aが、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成される。薄化シリコン基板1の裏面1aで金属層4および9によって構成されるコイル36は、シリコン貫通ビア11f内のバルク金属層9を通して、また細線金属構造619’を通して電圧レギュレータまたはコンバータ回路41のノードPに接続されている第1の接点36aとシリコン貫通ビア11a内のバルク金属層9を通して、また細線金属構造619を通して電圧レギュレータまたはコンバータ回路41の電源ノードに接続されている第2の接点36bとを有する。図30Fは、コイル36の上面図を示している。コイル36は、インダクタに使用されうる。金属相互接続部88aは、薄化シリコン基板1の裏面1aにおいて金属層4および9により構成され、内部回路22および23を内部回路24に接続することができる。内部回路22および23は、金属相互接続部88aならびに細線金属トレース622および624を通して内部回路24に接続されうる。
図30Eに例示されているステップの後に、ダイソーイングプロセスを使用して、半導体ウェハ10を複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。このチップパッケージでは、例えば、コイル36または金属相互接続部88aを外部回路に接続するために半導体チップのバルク金属層9上に形成された金属バンプまたはワイヤボンディングで接合されたワイヤはない。
あるいは、このチップパッケージでは、コイル36をボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続するために半導体チップのバルク金属層9上に接合された、ワイヤボンディングで接合された金線またはワイヤボンディングで接合された銅線などのワイヤボンディングで接合されたワイヤがあり、また、金属相互接続部88aを第1の外部回路に、またはボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続するために半導体チップの金属相互接続部88aの領域上に接合された、ワイヤボンディングで接合された金線またはワイヤボンディングで接合された銅線などの他のワイヤボンディングで接合されたワイヤがある。
あるいは、このチップパッケージでは、コイル36の接点36bをボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続し、第1の外部回路から外部電源電圧Vddを受け取るために半導体チップのバルク金属層9上に接合された、ワイヤボンディングで接合された金線またはワイヤボンディングで接合された銅線などの第1のワイヤボンディングで接合されたワイヤがあり、また、コイル36の接点36aを第1の外部回路に、またはボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第1の外部回路または第2の外部回路に出力するために半導体チップのバルク金属層9上に接合された、ワイヤボンディングで接合された金線またはワイヤボンディングで接合された銅線などの第2のワイヤボンディングで接合されたワイヤがある。金属相互接続部88aを第1の外部回路または第2の外部回路のグランドバスまたはプレーンに接続するために半導体チップのバルク金属層9上に接合される、ワイヤボンディングで接合された金線またはワイヤボンディングで接合された銅線などの、第3のワイヤボンディングで接合されたワイヤがある。
あるいは、このチップパッケージでは、コイル36の接点36bをボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続し、第1の外部回路から外部電源電圧Vddを受け取るために半導体チップのバルク金属層9上に、金バンプ、銅バンプ、ニッケルバンプ、またはハンダバンプなどの、第1の金属バンプがあり、また、コイル36の接点36aを第1の外部回路に、またはボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第1の外部回路または第2の外部回路に出力するために半導体チップのバルク金属層9上に、金バンプ、銅バンプ、ニッケルバンプ、またはハンダバンプなどの、第2の金属バンプがある。金属相互接続部88aを第1の外部回路または第2の外部回路のグランドバスまたはプレーンに接続するために半導体チップのバルク金属層9上に、金バンプ、銅バンプ、ニッケルバンプ、またはハンダバンプなどの、第3の金属バンプがある。
以下では、図30G、30H、および30Iは、コイル36を第1の外部回路および/または第2の外部回路に接続し、金属相互接続部88aを第1の外部回路または第2の外部回路に接続するために使用することを目的として半導体ウェハ10のバルク金属層9の上に複数の金属バンプまたはピラー27を形成するためのプロセスを示している。
図30Gを参照すると、図30Eに例示されているステップの後に、ポリマー層14を、絶縁層3上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成することができ、ポリマー層14内の複数の開口部14aが、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の複数の領域の上にあり、それらを露出することがわかるが、これは図25Gに例示されているステップとして参照することができる。ポリマー層14は、3から50マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有する。ポリマー層14の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
次に、図30Hを参照すると、接着/バリア層16を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に形成することができ、次いで、シード層18を接着/バリア層16上に形成することができるが、これは、図25Hに例示されているステップとして参照することができる。次に、フォトレジスト層31をシード層18上に形成することができ、フォトレジスト層31内の複数の開口部31aによりシード層18が露出することがわかるが、これは、図25Iに例示されているステップとして参照することができる。次に、金属バンプまたはピラー27を、開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成することができる。図30Hに示されているような金属バンプまたはピラー27を開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成するプロセスは、図25Jに例示されているような金属バンプまたはピラー27を開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成するプロセスとして参照することができる。図30Hに示されている金属バンプまたはピラー27の詳細は、図25Jに例示されているような金属バンプまたはピラー27の詳細として参照することができる。
次に、図30Iを参照すると、フォトレジスト層31を除去することができることがわかるが、これは、図25Kに例示されているステップとして参照することができる。次に、金属バンプまたはピラー27の下にないシード層18を除去することができ、次いで、金属バンプまたはピラー27の下にない接着/バリア層16を除去することができるが、これは、図25Lに例示されているステップとして参照することができる。したがって、金属バンプまたはピラー27がバルク金属層9の上に形成されてバルク金属層9と接続し、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、コイル36、金属相互接続部88a、金属層16および18、金属バンプまたはピラー27、ならびにポリマー層14とともに形成され、ポリマー層14内の開口部14aは、バルク金属層9の上にある。
図30Iに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。あるいは、図30Iに示されている構造を形成した後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうちのどれか1つを形成するプロセスを、図30B〜30Eおよび30G〜30Iに例示されているステップの前に実行することができ、図30B〜30Eおよび30G〜30Iに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。このチップパッケージでは、例えば、半導体チップのバルク金属層9に接続されている第1の金属バンプまたはピラー27は、コイル36の接点36bを第1の外部回路の電源バスまたはプレーンに接続して、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップのバルク金属層9に接続されている第2の金属バンプまたはピラー27は、コイル36の接点36aを第1の外部回路または第2の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路または第2の外部回路に出力することができる。半導体チップのバルク金属層9に接続されている第3の金属バンプまたはピラー27は、金属相互接続部88aを第1の外部回路または第2の外部回路のグランドバスまたはプレーンに接続することができる。
図30J、30K、および30Lは、本開示により半導体ウェハを形成するためのプロセスを示している。図30Jを参照すると、図30Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部519、519’、521、522、および524を備え、フラックス32は、開口部519、519’、521、522、および524内に、また開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成することができることがわかる。開口部519、519’、521、522、および524は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部519、519’、521、522、および524の底部にある。
図30Kを参照すると、フラックス32を形成した後、シリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。次に、シリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、コイル36を含む構造、金属相互接続部88a、ポリマー層14、および金属バンプまたはピラー27をシリコン貫通ビア11a、11d、11e、および11f内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図30B〜30Eおよび30G〜30Iに例示されているステップとして参照することができる。したがって、図30Iに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。
図30Lを参照すると、図30Kに示されている構造を形成した後に、フラックス32が除去されることがわかる。次に、図15D〜15Hに例示されているステップを使用して、接着/バリア/シード層8121および金属層8122からなる複数のパターン形成回路層812をパッシベーション層5上に、また開口部519および519’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、接着/バリア/シード層8211および金属層8212からなるパターン形成回路層821をパッシベーション層5上に、また開口部521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。次に、ポリマー層98を、パターン形成回路層812の金属層8122上に、またパターン形成回路層821の金属層8212上に、またパッシベーション層5上に形成し、すると、ポリマー層98内の複数の開口部980は、金属層8122および8212の複数の接点の上に置かれ、これによりそれらの領域を露出し、これは、図15Iに例示されているステップとして参照することができる。次に、三重金属層89a、89b、および89cによって構成される複数の金属バンプ89をポリマー層98上に、また開口部980によって露出されている金属層8122および8212の接点上に形成する。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層812および821、ポリマー層98、ならびに金属バンプ89とともに形成される。あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。
例えば、金属層89aは、ポリマー層98上の、また開口部980によって露出されている金属層8122および8212の接点上の、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、もしくは窒化チタン層などのチタン含有層、タンタル層もしくは窒化タンタル層などのタンタル含有層、またはクロム層などのクロム含有層であるものとしてよい、接着/バリア層とすることができる。金属層89bは、接着/バリア層89aの、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅シード層とすることができる。金属層89cは、銅シード層89b上の、また開口部980によって露出されている金属層8122および8212の接点の上の、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さを有する電気メッキされた銅層とすることができる。電気メッキされた銅層89cの側壁は、接着/バリア層89aおよび銅シード層89bによって覆われていない。
あるいは、金属層89aは、ポリマー層98上の、また開口部980によって露出されている金属層8122および8212の接点上の、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、もしくは窒化チタン層などのチタン含有層、タンタル層もしくは窒化タンタル層などのタンタル含有層、またはクロム層などのクロム含有層であるものとしてよい、接着/バリア層とすることができる。金属層89bは、接着/バリア層89aの、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する金シード層とすることができる。金属層89cは、金シード層89b上の、また開口部980によって露出されている金属層8122および8212の接点の上の、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さを有する電気メッキされた金層とすることができる。電気メッキされた金層89cの側壁は、接着/バリア層89aおよび金シード層89bによって覆われていない。
あるいは、金属層89aは、ポリマー層98上の、また開口部980によって露出されている金属層8122および8212の接点上の、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、もしくは窒化チタン層などのチタン含有層、タンタル層もしくは窒化タンタル層などのタンタル含有層、またはクロム層などのクロム含有層であるものとしてよい、接着/バリア層とすることができる。金属層89bは、接着/バリア層89aの、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅シード層とすることができる。金属層89cは、銅シード層89b上の、また開口部980によって露出されている金属層8122および8212の接点の上の、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さを有する電気メッキされたニッケル層とすることができる。電気メッキされたニッケル層89cの側壁は、接着/バリア層89aおよび銅シード層89bによって覆われていない。
あるいは、金属層89aは、ポリマー層98上の、また開口部980によって露出されている金属層8122および8212の接点上の、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、もしくは窒化チタン層などのチタン含有層、タンタル層もしくは窒化タンタル層などのタンタル含有層、またはクロム層などのクロム含有層であるものとしてよい、接着/バリア層とすることができる。金属層89bは、接着/バリア層89aの、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅シード層とすることができる。金属層89cは、銅シード層89b上の、また開口部980によって露出されている金属層8122および8212の接点の上の、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さを有する電気メッキされた銅層、電気メッキされた銅層上の、また開口部980によって露出されている金属層8122および8212の接点の上の、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する電気メッキされた、または無電解メッキされたニッケル層、および電気メッキされた、または無電解メッキされたニッケル層上の、また開口部980によって露出されている金属層8122および8212の接点の上の、0.005から1マイクロメートルまでの範囲、好ましくは0.05から0.1マイクロメートルまでの範囲の厚さを有する電気メッキされた、または無電解メッキされた金層を含む三重金属層とすることができる。金属層89cの側壁は、接着/バリア層89aおよび銅シード層89bによって覆われていない。
あるいは、金属層89aは、ポリマー層98上の、また開口部980によって露出されている金属層8122および8212の接点上の、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタン層、チタンタングステン合金層、もしくは窒化チタン層などのチタン含有層、タンタル層もしくは窒化タンタル層などのタンタル含有層、またはクロム層などのクロム含有層であるものとしてよい、接着/バリア層とすることができる。金属層89bは、接着/バリア層89aの、0.005から0.9マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅シード層とすることができる。金属層89cは、銅シード層89b上の、また開口部980によって露出されている金属層8122および8212の接点の上の、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さを有する電気メッキされた銅層、電気メッキされた銅層上の、また開口部980によって露出されている金属層8122および8212の接点の上の、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する電気メッキされた、または無電解メッキされたニッケル層、および電気メッキされた、または無電解メッキされたニッケル層上の、また開口部980によって露出されている金属層8122および8212の接点の上の、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有するビスマス含有バンプ、インジウム含有バンプ、またはスズ鉛合金、スズ銀合金、もしくはスズ銀銅合金のスズ含有バンプであるものとしてよいハンダバンプを含む三重金属層とすることができる。金属層89cの側壁は、接着/バリア層89aおよび銅シード層89bによって覆われていない。
図30Lに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、半導体チップのバルク金属層9に接続されている第1の金属バンプまたはピラー27は、コイル36の接点36bをボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップのバルク金属層9に接続されている第2の金属バンプまたはピラー27は、コイル36の接点36aを第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップのバルク金属層9に接続されている第3の金属バンプまたはピラー27は、金属相互接続部88aを第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップの金属トレース、バス、またはプレーン81に接続されている第1の金属バンプ89を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップのグランドプレーン、バス、またはトレース82に接続されている複数の第2の金属バンプ89を第2の外部回路のグランドバスまたはプレーンに接続することができる。
あるいは、このチップパッケージでは、半導体チップの金属トレース、バス、またはプレーン81Pに接続されている第1の金属バンプ89を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップの金属トレース、バス、またはプレーン81に接続されている第2の金属バンプ89を、第1の外部回路に接続し、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップのグランドプレーン、バス、またはトレース82に接続されている複数の第3の金属バンプ89を第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップのバルク金属層9に接続されている第1の金属バンプまたはピラー27は、コイル36の接点36aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップのバルク金属層9に接続されている第2の金属バンプまたはピラー27は、金属相互接続部88aを第2の外部回路のグランドバスまたはプレーンに接続することができる。
図30Mおよび30Nは、本開示により半導体ウェハを形成するためのプロセスを示している。図30Mを参照すると、図30Aに例示されている半導体ウェハ10は、金属トレースまたはパッド600の複数の領域600aを露出するために、パッシベーション層5内に複数の開口部519、519’、521、522、および524を備え、2つの金属層32および34によって構成される複数の金属パッド、バンプ、またはトレース34aを、パッシベーション層5上に、また開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、これは、図25Nに例示されているステップとして参照することができる。開口部519、519’、521、522、および524は、金属トレースまたはパッド600の領域600aの上にあり、金属トレースまたはパッド600の領域600aは、開口部519、519’、521、522、および524の底部にある。
図30Nを参照すると、金属パッド、バンプ、またはトレース34aを形成した後、シリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。次に、シリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、コイル36を含む構造、金属相互接続部88a、ポリマー層14、および金属バンプまたはピラー27をシリコン貫通ビア11a、11d、11e、および11f内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図30B〜30Eおよび30G〜30Iに例示されているステップとして参照することができる。したがって、図30Iに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成するステップを、金属バンプまたはピラー27を形成した後に実行することができる。
図30Nに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、半導体チップのバルク金属層9に接続されている第1の金属バンプまたはピラー27は、コイル36の接点36bをボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップのバルク金属層9に接続されている第2の金属バンプまたはピラー27は、コイル36の接点36aを第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップのバルク金属層9に接続されている第3の金属バンプまたはピラー27は、金属相互接続部88aを第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップの細線金属トレース619’に接続されている第1の金属パッド、バンプ、またはトレース34aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップの細線金属トレース621、622、および624に接続されている複数の第2の金属パッド、バンプ、またはトレース34aを第2の外部回路のグランドバスまたはプレーンに接続することができる。
あるいは、このチップパッケージでは、半導体チップの細線金属トレース619に接続されている第1の金属パッド、バンプ、またはトレース34aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップの細線金属トレース619’に接続されている第2の金属パッド、バンプ、またはトレース34aを、第1の外部回路に接続し、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップの細線金属トレース621、622、および624に接続されている複数の第3の金属パッド、バンプ、またはトレース34aを第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップのバルク金属層9に接続されている第1の金属バンプまたはピラー27は、コイル36の接点36aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップのバルク金属層9に接続されている第2の金属バンプまたはピラー27は、金属相互接続部88aを第2の外部回路のグランドバスまたはプレーンに接続することができる。
以下では、図31A〜31Fは、コイル36を第1の外部回路および/または第2の外部回路に接続し、金属相互接続部88aを第1の外部回路または第2の外部回路に接続するために使用することを目的として半導体ウェハ10のバルク金属層9上に複数の金属バンプまたはピラー27を形成するためのプロセスを示している。
図31Aを参照すると、図30Bおよび30Cに例示されているステップの後、1から200マイクロメートルまでの範囲、好ましくは2から150マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層51が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって、フォトレジスト層29上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成されうることがわかる。次に、露光および現像のプロセスでフォトレジスト層51のパターン形成を行って、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の複数の領域を露出する複数の開口部51aをフォトレジスト層51内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層51を露光することができる。3つの開口部51aのそれぞれは、例えば、10から30マイクロメートルまでの範囲、20から50マイクロメートルまでの範囲、または50から150マイクロメートルまでの範囲など、5マイクロメートルより大きい幅W3を有する。図31Aに示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51aを形成するプロセスは、図26Aに例示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51aを形成するプロセスとして参照することができる。
図31Bを参照すると、図31Aに例示されているステップの後に、1マイクロメートルより大きい厚さを有する金属バンプまたはピラー27を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に形成することがわかる。3つの金属バンプまたはピラー27の材料として、金、ニッケル、スズ、ハンダ、パラジウム、銅、アルミニウム、または前記の材料の複合材が挙げられる。図31Bに示されているように金属バンプまたはピラー27を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に形成するプロセスは、図26Bに例示されているように金属バンプまたはピラー27を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に形成するプロセスとして参照することができる。図31Bに示されている金属バンプまたはピラー27の詳細は、図26Bに例示されているような金属バンプまたはピラー27の詳細として参照することができる。
図31Cを参照すると、金属バンプまたはピラー27を形成した後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層29および51が除去されることがわかる。フォトレジスト層29および51からの一部の残留物が、金属層4のシード層4b上に、またバルク金属層9上に、また金属バンプまたはピラー27上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、金属層4のシード層4bから、またバルク金属層9から、また金属バンプもしくはピラー27から、残留物を除去することができる。
したがって、フォトレジスト層29および51を除去した後に、金属バンプまたはピラー27がバルク金属層9上に形成され、バルク金属層9と接続する。金属バンプまたはピラー27のそれぞれは、10から30マイクロメートルまでの範囲、20から50マイクロメートルまでの範囲、または50から150マイクロメートルまでの範囲など、5マイクロメートルより大きい、また細線金属層60のそれぞれの厚さより大きい幅W3を有し、また、1から300マイクロメートルまでの範囲、5から250マイクロメートルまでの範囲、10から100マイクロメートルまでの範囲、または5から50マイクロメートルまでの範囲など、1マイクロメートルより大きい高さH1を有する。
あるいは、金属バンプまたはピラー27をバルク金属層9上に形成するための他のプロセスは、以下のステップで実行することができる。図31Dを参照すると、図30B〜30Dに例示されているステップの後に、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって、図31Aに例示されているフォトレジスト層51を、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また金属層4のシード層4b上に形成することができる。次に、露光および現像のプロセスでフォトレジスト層51のパターン形成を行って、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域を露出する開口部51aをフォトレジスト層51内に形成する。開口部51aのそれぞれは、例えば、10から30マイクロメートルまでの範囲、20から50マイクロメートルまでの範囲、または50から150マイクロメートルまでの範囲など、5マイクロメートルより大きい幅W3を有する。図31Dに示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51aを形成するプロセスは、図26Aに例示されているようなフォトレジスト層51およびフォトレジスト層51内の開口部51aを形成するプロセスとして参照することができる。
図31Eを参照すると、図31Dに例示されているステップの後に、1マイクロメートルより大きい厚さを有する金属バンプまたはピラー27を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に形成することがわかる。金属バンプまたはピラー27の材料として、金、ニッケル、スズ、ハンダ、パラジウム、銅、アルミニウム、または前記の材料の複合材が挙げられる。図31Eに示されているように金属バンプまたはピラー27を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域上に形成するプロセスは、図26Bに例示されているように金属バンプまたはピラー27を開口部51a内に、また開口部51aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層の領域9a上に形成するプロセスとして参照することができる。図31Eに示されている金属バンプまたはピラー27の詳細は、図26Bに例示されているような金属バンプまたはピラー27の詳細として参照することができる。
図31Eに例示されているように金属バンプまたはピラー27を形成した後、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層51を除去する。したがって、フォトレジスト層51を除去した後に、金属バンプまたはピラー27をバルク金属層9上に形成することができる。
図31Fを参照すると、フォトレジスト層29および51を除去した後、バルク金属層9の下にない金属層4は、バルク金属層9の下にないシード層4bをエッチングし、次いで、バルク金属層9の下にない接着/バリア層4aをエッチングすることによって除去されることがわかる。図31Fに示されているようにバルク金属層9の下にないシード層4bおよびバルク金属層9の下にない接着/バリア層4aを除去するプロセスは、図25Fに例示されているようにバルク金属層9の下にないシード層4bおよびバルク金属層9の下にない接着/バリア層4aを除去するプロセスとして参照することができる。
したがって、コイル36および金属相互接続部88aが、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成され、3つの金属バンプまたはピラー27が、バルク金属層9上に直接形成される。図31Fに示されているコイル36および金属相互接続部88aの詳細は、それぞれ図30Eに例示されているようなコイル36および金属相互接続部88aの詳細として参照することができる。図30Fは、図31Fに示されているコイル36の上面図を示している。バルク金属層9上の金属バンプまたはピラー27を使用して、コイル36を第1の外部回路および/または第2の外部回路に接続し、金属相互接続部88aを第1の外部回路または第2の外部回路に接続することができる。
図31Gを参照すると、図31Fに例示されているステップの後に、ポリマー層14が、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成され、複数の開口部14aがポリマー層14内に形成され、金属バンプまたはピラー27の上面および側壁を露わにすることがわかる。したがって、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、コイル36、金属相互接続部88a、ポリマー層14、および金属バンプまたはピラー27とともに形成され、ポリマー層14内の開口部14aは、バルク金属層9の上にある。図31Gに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図31Gに例示されているステップの後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうちのどれか1つを形成するプロセスを、図30B、30C、および31A〜31Gに例示されているステップの前に実行することができる。図30B、30C、および31A〜31Gに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。このチップパッケージでは、例えば、半導体チップのバルク金属層9上の第1の金属バンプまたはピラー27は、コイル36の接点36bを第1の外部回路の電源バスまたはプレーンに接続して、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップのバルク金属層9上の第2の金属バンプまたはピラー27は、コイル36の接点36aを第1の外部回路または第2の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路または第2の外部回路に出力することができる。半導体チップのバルク金属層9上の第3の金属バンプまたはピラー27は、金属相互接続部88aを第1の外部回路または第2の外部回路のグランドバスまたはプレーンに接続することができる。
図31Hおよび31Iは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを形成するためのプロセスを示す断面図である。図31Hを参照すると、図30Jに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。次に、シリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、コイル36を含む構造、金属相互接続部88a、ポリマー層14、および金属バンプまたはピラー27をシリコン貫通ビア11a、11d、11e、および11f内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図30B、30C、および31A〜31Gに例示されているステップとして参照することができる。したがって、図31Gに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。
図31Iを参照すると、図31Hに示されている構造を形成した後に、フラックス32が除去されることがわかる。次に、図15D〜15Hに例示されているステップを使用して、接着/バリア/シード層8121および金属層8122からなる複数のパターン形成回路層812をパッシベーション層5上に、また開口部519および519’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、接着/バリア/シード層8211および金属層8212からなるパターン形成回路層821をパッシベーション層5上に、また開口部521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。次に、ポリマー層98を、パターン形成回路層812の金属層8122上に、またパターン形成回路層821の金属層8212上に、またパッシベーション層5上に形成し、すると、ポリマー層98内の複数の開口部980は、金属層8122および8212の複数の接点の上に置かれ、これによりそれらの領域を露出し、これは、図15Iに例示されているステップとして参照することができる。次に、三重金属層89a、89b、および89cによって形成される複数の金属バンプ89をポリマー層98上に、また開口部980によって露出されている金属層8122および8212の接点上に形成することができ、これは、図30Lに例示されているステップとして参照することができる。図31Iに示されている金属バンプ89の詳細は、図30Lに例示されているような金属バンプ89の詳細として参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層812および821、ポリマー層98、ならびに金属バンプ89とともに形成される。あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。
図31Iに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、半導体チップのバルク金属層9上の第1の金属バンプまたはピラー27は、コイル36の接点36bをボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップのバルク金属層9上の第2の金属バンプまたはピラー27は、コイル36の接点36aを第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップのバルク金属層9上の第3の金属バンプまたはピラー27は、金属相互接続部88aを第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップの金属トレース、バス、またはプレーン81に接続されている第1の金属バンプ89を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップのグランドプレーン、バス、またはトレース82に接続されている複数の第2の金属バンプ89を第2の外部回路のグランドバスまたはプレーンに接続することができる。
あるいは、このチップパッケージでは、半導体チップの金属トレース、バス、またはプレーン81Pに接続されている第1の金属バンプ89を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップの金属トレース、バス、またはプレーン81に接続されている第2の金属バンプ89を、第1の外部回路に接続し、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップのグランドプレーン、バス、またはトレース82に接続されている複数の第3の金属バンプ89を第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップのバルク金属層9上の第1の金属バンプまたはピラー27は、コイル36の接点36aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップのバルク金属層9上の第2の金属バンプまたはピラー27は、金属相互接続部88aを第2の外部回路のグランドバスまたはプレーンに接続することができる。
図31Jは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを形成するためのプロセスを示す断面図である。まず最初に、図30Mに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化される。次に、シリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、コイル36を含む構造、金属相互接続部88a、ポリマー層14、および金属バンプまたはピラー27をシリコン貫通ビア11a、11d、11e、および11f内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図30B、30C、および31A〜31Gに例示されているステップとして参照することができる。したがって、図31Gに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成するステップを、ポリマー層14を形成した後に実行することができる。
図31Jに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、半導体チップのバルク金属層9上の第1の金属バンプまたはピラー27は、コイル36の接点36bをボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップのバルク金属層9上の第2の金属バンプまたはピラー27は、コイル36の接点36aを第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップのバルク金属層9上の第3の金属バンプまたはピラー27は、金属相互接続部88aを第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップの細線金属トレース619’に接続されている第1の金属パッド、バンプ、またはトレース34aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップの細線金属トレース621、622、および624に接続されている複数の第2の金属パッド、バンプ、またはトレース34aを第2の外部回路のグランドバスまたはプレーンに接続することができる。
あるいは、このチップパッケージでは、半導体チップの細線金属トレース619に接続されている第1の金属パッド、バンプ、またはトレース34aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップの細線金属トレース619’に接続されている第2の金属パッド、バンプ、またはトレース34aを、第1の外部回路に接続し、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップの細線金属トレース621、622、および624に接続されている複数の第3の金属パッド、バンプ、またはトレース34aを第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップのバルク金属層9上の第1の金属バンプまたはピラー27は、コイル36の接点36aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップのバルク金属層9上の第2の金属バンプまたはピラー27は、金属相互接続部88aを第2の外部回路のグランドバスまたはプレーンに接続することができる。
以下では、図29A、29B、および29Dのキャパシタ87を形成するために、図32A〜32Nに例示されているプロセスを導入する。図32Aを参照すると、図30Aに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。次に、複数のシリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の複数の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。シリコン貫通ビア11a、11d、11e、および11fは、薄化シリコン基板1および(複数可)誘電体層30を完全に貫通する。図32Aに示されているような、薄化シリコン基板1内に、また誘電体層30内にシリコン貫通ビア11fを形成し、シリコン貫通ビア11fの側壁上に絶縁層3を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているような、薄化シリコン基板1内に、また(複数可)誘電体層30内にシリコン貫通ビア11a、11b、11c、11d、および11eを形成し、シリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に絶縁層3を形成するプロセスとして参照することができる。次に、接着/バリア層4aおよびシード層4bからなる金属層4を、シリコン貫通ビア11a、11d、11e、および11f内に、また絶縁層3上に形成することができ、これは、図25Aに例示されているステップとして参照することができる。
金属層4のシード層4bを形成した後、5から50マイクロメートルまでの範囲、好ましくは10から25マイクロメートルまでの範囲など、1.5マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層29を、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって、金属層4のシード層4b上に形成することができる。次に、露光および現像のプロセスによりフォトレジスト層29のパターン形成を行い、金属層4のシード層4bを露出する複数の開口部29aをフォトレジスト層29内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層29を露光することができる。図32Aに示されているような、フォトレジスト層29をシード層4b上に形成し、開口部29aをフォトレジスト層29内に形成するプロセスは、図25B〜25Cに例示されているような、フォトレジスト層29をシード層4b上に形成し、開口部29aをフォトレジスト層29内に形成するプロセスとして参照することができる。
次に、図32Bを参照すると、電気メッキプロセスを含むプロセスにより、バルク金属層9を開口部29a内に、また開口部29aによって露出されている金属層4のシード層4b上に形成することができることがわかる。図32Bに示されているような、バルク金属層9を開口部29a内に、また開口部29aによって露出されている金属層4のシード層4b上に形成するプロセスは、図25Dに例示されているような、バルク金属層9を開口部29a内に、また開口部29aによって露出されている金属層4のシード層4b上に形成するプロセスとして参照することができる。図32Bに示されているバルク金属層9の詳細は、図25Dに例示されているようなバルク金属層9の詳細として参照することができる。
図32Cを参照すると、図32Bに例示されているステップの後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層29が除去されることがわかる。フォトレジスト層29からの一部の残留物が、バルク金属層9上に、また金属層4のシード層4b上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、バルク金属層9から、また金属層4のシード層4bから、残留物を除去することができる。
図32Dを参照すると、図32Cに例示されているステップの後、バルク金属層9の下にない金属層4は、バルク金属層9の下にないシード層4bをエッチングし、次いで、バルク金属層9の下にない接着/バリア層4aをエッチングすることによって除去されることがわかる。図32Dに示されているようなバルク金属層9の下にないシード層4bを除去し、バルク金属層9の下にない接着/バリア層4aを除去するプロセスは、図25Fに例示されているようなバルク金属層9の下にないシード層4bを除去し、バルク金属層9の下にない接着/バリア層4aを除去するプロセスとして参照することができる。
次に、図32Eを参照すると、誘電体層85が、化学気相成長(CVD)プロセスによって、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また金属層4および9の下にない絶縁層3上に形成されることがわかる。誘電体層85は、酸化チタン(TiO2)、五酸化タンタル(Ta25)、窒化ケイ素(Si34)、二酸化ケイ素(SiO2)、もしくはポリマーの単層、または前記の材料から作られた複合層とすることができる。誘電体層85は、10から50オングストロームまでの範囲、50から1,000オングストロームまでの範囲、または100から10,000オングストロームまでの範囲など、10オングストロームより大きい厚さt8を有する。
次に、図32Fを参照すると、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって、ポジ型フォトレジスト層またはネガ型フォトレジスト層などのフォトレジスト層86が絶縁層85上に形成されることがわかる。次に、露光および現像のプロセスにより、フォトレジスト層86のパターン形成を行い、1Xステッパーまたは1Xコンタクトアライナーを使用して、露光プロセスにおいてフォトレジスト層86を露光することができる。次に、図32Gを参照すると、フォトレジスト層86の下にない誘電体層85は、助長プラズマエッチング法などのドライエッチング法で除去されることがわかる。
次に、図32Hを参照すると、フォトレジスト層86は、無機溶液を使用して、またはアミドとともに有機溶液を使用して、除去されることがわかる。フォトレジスト層86からの一部の残留物が、バルク金属層9上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、バルク金属層9から残留物を除去することができる。
図32Iを参照すると、ポリマー層86を除去した後に、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、さらに硬化プロセスを含むプロセスを使用して、ポリマー層14が、絶縁層3上に、また誘電体層85上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成され、ポリマー層14内の複数の開口部14aにより誘電体層85およびバルク金属層9が露出されることがわかる。ポリマー層14は、3から50マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有するものとしてよい。ポリマー層14の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。図32Iに示されているようなポリマー層14およびポリマー層14内の開口部14aを形成するプロセスは、図25Gに例示されているようなポリマー層14およびポリマー層14内の開口部14aを形成するプロセスとして参照することができる。
次に、図32Jを参照すると、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層64を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、ポリマー層14上に、また開口部14aにより露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また開口部14aによって露出されている誘電体層85上に形成することができ、次いで、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層65を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層64上に形成することができることがわかる。接着/バリア層64の材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層65の材料として、銅、ニッケル、アルミニウム、金、白金、銀、またはパラジウムが挙げられる。
例えば、接着/バリア層64が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また開口部14aによって露出されている誘電体層85上にスパッタリングすることによって形成される場合、シード層65は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層64が、好適な1つまたは複数のプロセスによって、例えば、0.01から0.15マイクロメートルまでの範囲の厚さを有するチタン層を含む複合層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また開口部14aによって露出されている誘電体層85上にスパッタリングし、0.1から0.35マイクロメートルまでの範囲の厚さを有するチタンタングステン合金層をチタン層上にスパッタリングすることによって形成される場合、シード層65は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタンタングステン合金層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層64が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また開口部14aによって露出されている誘電体層85上にスパッタリングすることによって形成される場合、シード層65は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層64が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、ポリマー層14上に、また開口部14aによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に、また開口部14aによって露出されている誘電体層85上にスパッタリングすることによって形成される場合、シード層65は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成されうる。
図32Kを参照すると、シード層65を形成した後、5から50マイクロメートルまでの範囲、好ましくは10から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層67が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって前記の材料のシード層65上に形成されることがわかる。次に、露光および現像のプロセスによりフォトレジスト層67のパターン形成を行い、前記の材料のシード層65を露出する2つの開口部67aをフォトレジスト層67内に形成する。図32Kに示されているようにフォトレジスト層67を形成し、フォトレジスト層67内に2つの開口部67aを形成するプロセスは、図27Jに例示されているようにフォトレジスト層67を形成し、フォトレジスト層67内に開口部67aを形成するプロセスとして参照することができる。
次に、図32Lを参照すると、1から50マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する金属層68が、2つの開口部67a内に、またこの2つの開口部67aによって露出されている前記の材料のシード層65上に電気メッキされうることがわかる。金属層68は、金、銅、銀、パラジウム、白金、ロジウム、ルテニウム、レニウム、もしくはニッケルの単層、または前記の金属から作られた複合層とすることができる。図32Lに示されているようなバルク金属層68を形成するプロセスは、図27Kに例示されているような金属層68を形成するプロセスとして参照することができる。図32Lに示されている金属層68の詳細は、図27Kに例示されているような金属層68の詳細として参照することができる。
図32Mを参照すると、金属層68が形成された後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層67を除去することができることがわかる。フォトレジスト層67からの一部の残留物が、金属層68上に、また金属層68の下にないシード層65上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、金属層68から、またシード層65から、残留物を除去することができる。
次に、図32Nを参照すると、バルク金属層68の下にないシード層65および接着/バリア層64は、その後、エッチング法によって除去されることがわかるが、これは図27Mに例示されているステップとして参照することができる。したがって、キャパシタ87および金属相互接続部88bを、半導体ウェハ10の絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができる。薄化シリコン基板1の裏面1aの金属相互接続部88bは、金属層9および68によって構成されるか、または金属層9によって構成されうる。金属相互接続部88bは、シリコン貫通ビア11a内のバルク金属層9を通して、また細線金属構造619を通して電圧レギュレータまたはコンバータ回路41に接続することができ、さらに前記のインダクタ36に接続することができる。
薄化シリコン基板1の裏面1aのキャパシタ87は、下側プレート87a、下側プレート87aの上にある上側プレート87b、および下側プレート87aと上側プレート87bとの間の誘電体層85からなるものとすることができる。金属層4およびバルク金属層9からなる下側プレート87aは、シリコン貫通ビア11f内のバルク金属層9を通して、また細線金属トレース619’を通して、電圧レギュレータまたはコンバータ回路41に接続することができる。接着/バリア層64、シード層65、および金属層68からなる上側プレート87bは、シリコン貫通ビア11d内のバルク金属層9を通して、また細線金属トレース622を通して内部回路22および23に接続することができ、シリコン貫通ビア11e内のバルク金属層9を通して、また細線金属トレース624を通して内部回路24に接続することができる。
図32Nにおいて、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、キャパシタ87、金属相互接続部88b、ポリマー層14、および誘電体層85とともに形成され、ポリマー層14内の開口部14aは、バルク金属層9の上にある。
図32Nに示されている構造を形成した後に、ダイソーイングプロセスを使用して、半導体ウェハ10を複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。このチップパッケージでは、例えば、キャパシタ87または金属相互接続部88bを外部回路に接続するために半導体チップの金属層68上に形成された金属バンプまたはワイヤボンディングで接合されたワイヤはない。
あるいは、このチップパッケージでは、金属相互接続部88bをボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続し、第1の外部回路から外部電源電圧Vddを受け取るために半導体チップの金属相互接続部88bの金属層68上に接合された、ワイヤボンディングで接合された金線またはワイヤボンディングで接合された銅線などの第1のワイヤボンディングで接合されたワイヤがあり、また、キャパシタ87を第1の外部回路のグランドバスまたはプレーンに、またはプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路のグランドバスまたはプレーンに接続するために半導体チップの金属層68上に接合された、ワイヤボンディングで接合された金線またはワイヤボンディングで接合された銅線などの第2のワイヤボンディングで接合されたワイヤがある。
あるいは、このチップパッケージでは、金属相互接続部88bをボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続し、第1の外部回路から外部電源電圧Vddを受け取るために半導体チップの金属相互接続部88bの金属層68に接続されている、金バンプ、銅バンプ、ニッケルバンプ、またはハンダバンプなどの、第1の金属バンプがあり、また、キャパシタ87を第1の外部回路のグランドバスまたはプレーンに、またはプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路のグランドバスまたはプレーンに接続するために半導体チップの金属層68に接続されている、金バンプ、銅バンプ、ニッケルバンプ、またはハンダバンプなどの第2の金属バンプがある。
以下において、図32O〜32Rは、金属相互接続部88bをプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続し、キャパシタ87を第1の外部回路に、またはプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続するために使用されることを目的として半導体ウェハ10の金属層68の上に2つの金属バンプまたはピラー27を形成するためのプロセスを示している。
図32Oを参照すると、図32Nに例示されているステップの後に、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、さらに硬化プロセスを含むプロセスによって、ポリマー層143を、ポリマー層14上に、また前記のさまざまなバルク金属層68の金、銅、ニッケル、またはパラジウムの最上層上に形成することができ、ポリマー層143内の2つの開口部143aは、前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の2つの領域の上にあり、それらを露出することがわかる。ポリマー層143は、3から50マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有する。ポリマー層143の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。図32Oに示されているようなポリマー層143およびポリマー層143内の2つの開口部143aを形成するプロセスは、図27Nに例示されているようなポリマー層14およびポリマー層14内の開口部14aを形成するプロセスとして参照することができる。図32Oに示されているポリマー層143の詳細は、図27Nに例示されているようなポリマー層14の詳細として参照することができる。
次に、図32Pを参照すると、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層16を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、ポリマー層143上に、また2つの開口部143aにより露出されている前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の2つの領域上に形成することができ、次いで、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層18を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層16上に形成することができることがわかる。接着/バリア層16の材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層18の材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。図32Pに示されているように接着/バリア層16をポリマー層143上に、また2つの開口部143aによって露出されている前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の2つの領域上に形成し、シード層18を接着/バリア層16上に形成するプロセスは、図27Oに例示されているように接着/バリア層16をポリマー層14上に、また開口部14aによって露出されている前記のさまざまな金属層68の金、銅、ニッケル、またはパラジウムの最上層の領域上に形成し、シード層18を接着/バリア層16上に形成するプロセスとして参照することができる。図32Pに示されているような接着/バリア層16およびシード層18の詳細は、それぞれ図27Oに例示されているような接着/バリア層16およびシード層18の詳細として参照することができる。
図32Qを参照すると、図32Pに例示されているステップの後に、フォトレジスト層31を前記の材料のシード層18上に形成することができ、フォトレジスト層31内の2つの開口部31aが前記の材料のシード層18を露出するが、これは、図25Iに例示されているステップとして参照することができる。次に、2つの金属バンプまたはピラー27を、2つの開口部31aによって露出されている前記の材料のシード層18上に、また2つの開口部31a内に形成することができる。図32Qに示されているような2つの金属バンプまたはピラー27を2つの開口部31aによって露出されている前記の材料のシード層18上に、また2つの開口部31a内に形成するプロセスは、図25Jに例示されているような金属バンプまたはピラー27を開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成するプロセスとして参照することができる。図32Qに示されている2つの金属バンプまたはピラー27の詳細は、図25Jに例示されているような金属バンプまたはピラー27の詳細として参照することができる。
次に、図32Rを参照すると、フォトレジスト層31が除去されることがわかるが、これは、図25Kに例示されているステップとして参照することができる。次に、2つの金属バンプまたはピラー27の下にないシード層18を除去し、次いで、2つの金属バンプまたはピラー27の下にない接着/バリア層16を除去するが、これは、図25Lに例示されているステップとして参照することができる。したがって、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、キャパシタ87、金属相互接続部88b、ポリマー層14および143、誘電体層85、金属層16および18、ならびに金属バンプまたはピラー27とともに形成され、ポリマー層14内の開口部14aは、バルク金属層9の上にあり、ポリマー層143内の開口部143aは、バルク金属層68の上にある。図32Rに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図32Rに例示されているステップの後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうちのどれか1つを形成するプロセスを、図32A〜32Rに例示されているステップの前に実行することができる。図32A〜32Rに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。このチップパッケージでは、例えば、半導体チップの金属相互接続部88bの金属層68に接続されている第1の金属バンプまたはピラー27は、金属相互接続部88bおよび前記のインダクタ36を第1の外部回路の電源バスまたはプレーンに接続して、外部回路から外部電源電圧Vddを受け取ることができ、半導体チップの金属層68に接続されている第2の金属バンプまたはピラー27は、キャパシタ87を第1または第2の外部回路のグランドバスまたはプレーンに接続することができる。
図32Sおよび32Tは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを形成するためのプロセスを示す断面図である。図32Sを参照すると、図30Jに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。次に、シリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、キャパシタ87を含む構造、金属相互接続部88b、2つの金属バンプまたはピラー27、ならびにポリマー層14および143をシリコン貫通ビア11a、11d、11e、および11f内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図32A〜32Rに例示されているステップとして参照することができる。したがって、図32Rに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。
図32Tを参照すると、図32Sに示されている構造を形成した後に、フラックス32が除去されることがわかる。次に、図15D〜15Hに例示されているステップを使用して、接着/バリア/シード層8121および金属層8122からなる複数のパターン形成回路層812をパッシベーション層5上に、また開口部519および519’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、接着/バリア/シード層8211および金属層8212からなるパターン形成回路層821をパッシベーション層5上に、また開口部521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。次に、ポリマー層98を、パターン形成回路層812の金属層8122上に、またパターン形成回路層821の金属層8212上に、またパッシベーション層5上に形成し、すると、ポリマー層98内の複数の開口部980は、金属層8122および8212の複数の接点の上に置かれ、これによりそれらの領域を露出し、これは、図15Iに例示されているステップとして参照することができる。次に、三重金属層89a、89b、および89cによって形成される複数の金属バンプ89をポリマー層98上に、また開口部980によって露出されている金属層8122および8212の接点上に形成し、これは、図30Lに例示されているステップとして参照することができる。図32Tに示されている金属バンプ89の詳細は、図30Lに例示されているような金属バンプ89の詳細として参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層812および821、ポリマー層98、ならびに金属バンプ89とともに形成される。あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。
図32Tに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、半導体チップの金属相互接続部88bの金属層68に接続されている第1の金属バンプまたはピラー27は、金属相互接続部88bおよび前記のインダクタ36をプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続して第1の外部回路から外部電源電圧Vddを受け取ることができ、半導体チップの金属層68に接続されている第2の金属バンプまたはピラー27は、キャパシタ87を第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップの金属トレース、バス、またはプレーン81に接続されている第1の金属バンプ89を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップのグランドプレーン、バス、またはトレース82に接続されている複数の第2の金属バンプ89を第2の外部回路のグランドバスまたはプレーンに接続することができる。
あるいは、このチップパッケージでは、半導体チップの金属トレース、バス、またはプレーン81Pに接続されている第1の金属バンプ89を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップの金属トレース、バス、またはプレーン81に接続されている第2の金属バンプ89を、第1の外部回路に接続し、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップのグランドプレーン、バス、またはトレース82に接続されている複数の第3の金属バンプ89を第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップの金属層68に接続されている金属バンプまたはピラー27は、キャパシタ87を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路のグランドバスまたはプレーンに接続することができる。
図32Uは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを形成するためのプロセスを示す断面図である。まず最初に、図30Mに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化される。次に、シリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、キャパシタ87を含む構造、金属相互接続部88b、2つの金属バンプまたはピラー27、ならびにポリマー層14および143をシリコン貫通ビア11a、11d、11e、および11f内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図32A〜32Rに例示されているステップとして参照することができる。したがって、図32Rに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成するステップを、2つの金属バンプまたはピラー27を形成した後に実行することができる。
図32Uに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、半導体チップの金属相互接続部88bの金属層68に接続されている第1の金属バンプまたはピラー27は、金属相互接続部88bおよび前記のインダクタ36をプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続して第1の外部回路から外部電源電圧Vddを受け取ることができ、半導体チップの金属層68に接続されている第2の金属バンプまたはピラー27は、キャパシタ87を第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップの細線金属トレース619’に接続されている第1の金属パッド、バンプ、またはトレース34aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続し、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。半導体チップの細線金属トレース621、622、および624に接続されている複数の第2の金属パッド、バンプ、またはトレース34aを第2の外部回路のグランドバスまたはプレーンに接続することができる。
あるいは、このチップパッケージでは、半導体チップの細線金属トレース619に接続されている第1の金属パッド、バンプ、またはトレース34aを、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続し、第1の外部回路から外部電源電圧Vddを受け取ることができる。半導体チップの細線金属トレース619’に接続されている第2の金属パッド、バンプ、またはトレース34aを、第1の外部回路に接続し、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。半導体チップの細線金属トレース621、622、および624に接続されている複数の第3の金属パッド、バンプ、またはトレース34aを第1の外部回路のグランドバスまたはプレーンに接続することができる。半導体チップの金属層68に接続されている金属バンプまたはピラー27は、キャパシタ87を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路のグランドバスまたはプレーンに接続することができる。
以下では、図29A、29B、および29Dのキャパシタ87を形成するために、図33A〜33Yに例示されている他のプロセスを導入する。図33Aを参照すると、図30Aに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。次に、複数のシリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の複数の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。シリコン貫通ビア11a、11d、11e、および11fは、薄化シリコン基板1および(複数可)誘電体層30を完全に貫通する。図33Aに示されているような、薄化シリコン基板1内に、また誘電体層30内にシリコン貫通ビア11fを形成し、シリコン貫通ビア11fの側壁上に絶縁層3を形成するプロセスは、図24C〜24Hまたは図24I〜24Nに例示されているような、薄化シリコン基板1内に、また(複数可)誘電体層30内にシリコン貫通ビア11a、11b、11c、11d、および11eを形成し、シリコン貫通ビア11a、11b、11c、11d、および11eの側壁上に絶縁層3を形成するプロセスとして参照することができる。次に、接着/バリア層4aおよびシード層4bからなる金属層4を、シリコン貫通ビア11a、11d、11e、および11f内に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25Aに例示されているステップとして参照することができる。
金属層4を形成した後、アノード91およびカソード92を金属層4のシード層4b上に形成する。アノード91の材料として、ポリアニリン、活性炭、グラファイト、ポリピロール、カーボンナノチューブ、NiCo合金、またはRuO2が挙げられる。あるいは、アノード91は、金属層4のシード層4b上のニッケル層ならびにニッケル層の上面および側壁上のカーボン層を含む複合層とすることができる。カソード92の材料として、ポリアニリン、活性炭、グラファイト、カーボンナノチューブ、またはポリピロールが挙げられる。例えば、アノード91がNiCo合金である場合、カソード92は、活性炭またはカーボンナノチューブとすることができる。あるいは、アノード91およびカソード92は多孔質であってもよい。アノード91は、1から20マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さt9を有し、1から20マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい幅d2を有する。カソード92は、1から20マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さt10を有し、1から20マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい幅d3を有する。
図33Bを参照すると、アノード91およびカソード92を形成した後、5から50マイクロメートルまでの範囲、好ましくは10から25マイクロメートルまでの範囲など、1.5マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層29を、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによって金属層4のシード層4b上に、またアノード91上に、またカソード92上に形成することができることがわかる。次に、露光および現像のプロセスによりフォトレジスト層29のパターン形成を行い、金属層4のシード層4bを露出する複数の開口部29aをフォトレジスト層29内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層29を露光することができる。図33Bに示されているような、フォトレジスト層29を金属層4のシード層4b上に、またアノード91上に、またカソード92上に形成し、開口部29aをフォトレジスト層29内に形成するプロセスは、図25B〜25Cに例示されているような、フォトレジスト層29をシード層4b上に形成し、開口部29aをフォトレジスト層29内に形成するプロセスとして参照することができる。
次に、図33Cを参照すると、電気メッキプロセスを含むプロセスにより、バルク金属層9を開口部29a内に、また開口部29aによって露出されている金属層4のシード層4b上に形成することができることがわかる。図33Cに示されているような、バルク金属層9を開口部29a内に、また開口部29aによって露出されている金属層4のシード層4b上に形成するプロセスは、図25Dに例示されているような、バルク金属層9を開口部29a内に、また開口部29aによって露出されている金属層4のシード層4b上に形成するプロセスとして参照することができる。図33Cに示されているバルク金属層9の詳細は、図25Dに例示されているようなバルク金属層9の詳細として参照することができる。
図33Dを参照すると、図33Cに例示されているステップの後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層29を除去することができることがわかる。フォトレジスト層29からの一部の残留物は、バルク金属層9上に、またアノード91上に、またカソード92上に、またバルク金属層9、アノード91、およびカソード92の下にない金属層4のシード層4b上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、バルク金属層9から、またアノード91から、またカソード92から、また金属層4のシード層4bから、残留物を除去することができる。
次に、図33Eを参照すると、バルク金属層9、アノード91、およびカソード92の下にない金属層4は、バルク金属層9、アノード91、およびカソード92の下にないシード層4bをエッチングし、次いで、バルク金属層9、アノード91、およびカソード92の下にない接着/バリア層4aをエッチングすることによって除去されることがわかる。図33Eに示されているようなバルク金属層9、アノード91、およびカソード92の下にないシード層4bを除去し、バルク金属層9、アノード91、およびカソード92の下にない接着/バリア層4aを除去するプロセスは、図25Fに例示されているようなバルク金属層9の下にないシード層4bを除去し、バルク金属層9の下にない接着/バリア層4aを除去するプロセスとして参照することができる。
したがって、アノード91およびカソード92は、絶縁層3の上に、また薄化シリコン基板1の裏面1aに形成され、金属層4および9によって構成される前記の金属トレース88a、88b、および88cは、絶縁層3上に、また薄化シリコン基板1の裏面1aに形成される。図33Fは、図33Eの上図面である。図33Eおよび33Fを参照すると、カソード92は、アノード91の複数の平行線124の間の間隙内に延入する複数の平行線125を有することがわかる。アノード91は、金属トレース88cを通して、電圧レギュレータまたはコンバータ回路41のノードPおよび前記のインダクタ36に接続される。カソード92は、金属トレース88aを通して、電圧レギュレータまたはコンバータ回路41のグランドノードRsと内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに接続される。カソード92とアノード91の隣接する線124と125との間の水平方向の空間は、0.1から10マイクロメートルまでの範囲、好ましくは1から5マイクロメートルまでの範囲など、0.1マイクロメートルより広いものとしてよい。
図33Gを参照すると、図33Eに例示されているステップの後に、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、さらに硬化プロセスを含むプロセスによって、ポリマー層14を、絶縁層3上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上層上に形成することができることがわかる。ポリマー層14内の開口部14bは、アノード91およびカソード92を露出し、アノード91およびカソード92は、絶縁層3上に、また開口部14b内にある。ポリマー層14は、3から25マイクロメートルまでの範囲、好ましくは5から15マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有するものとしてよい。ポリマー層14の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。図33Gに示されているようなポリマー層14およびポリマー層14内の開口部14bを形成するプロセスは、図25Gに例示されているようなポリマー層14およびポリマー層14内の開口部14aを形成するプロセスとして参照することができる。
図33Iは、図33Hの上図面である。図33Hおよび33Iを参照すると、ポリマーおよびLi+、Ni+、またはCu+などの金属イオンを含む、ゼリー状電解質93が、ポリマー層14内の開口部14b内に、また開口部14bによって露出されている絶縁層3上に、また平行線124と125との間の間隙内に形成され、アノード91およびカソード92の上面を覆うことがわかる。ゼリー状電解質93を形成した後、アノード91、カソード92、およびゼリー状電解質93を収納したスーパーキャパシタ87を絶縁層3上に、またポリマー層14内の開口部14b内に形成することができる。薄化シリコン基板1の裏面1aのスーパーキャパシタ87は、0.01から100マイクロファラッドまでの範囲内の静電容量を有し、0.1から10マイクロファラッド/平方メートル(μF/mm2)までの範囲内のエネルギー貯蔵能力を有する。図33Iに示されている太線で囲まれている、スーパーキャパシタ87の面積は、0.1から10平方メートルまでの範囲内である。あるいは、ゼリー状電解質93は、Li+、Ni+、またはCu+などの、ポリマーおよび金属イオンを含む液体電解質で置き換えることができる。キャパシタ87は、可逆的に充放電が可能である。
図33Jを参照すると、ポリマー層133は、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、また硬化プロセスを含むプロセスによって、ポリマー層14上に形成され、ゼリー状電解質93を覆うことがわかる。ポリマー層133は、3から25マイクロメートルまでの範囲、好ましくは5から15マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有するものとしてよい。ポリマー層133の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
図33Kを参照すると、ポリマー層133の上面は、化学機械研磨(CMP)プロセスまたは機械研磨プロセスによって適宜研磨することができ、これにより、ポリマー層133はポリマー層14の上面14sと実質的に同一平面の上面133sを有することがわかる。したがって、底部スキーム103が、薄化シリコン基板1の裏面1aの下に形成され、絶縁層3、アノード91、カソード92、およびゼリー状電解質93からなるキャパシタ87、金属トレース88a、88b、および88c、ならびにポリマー層14および133を備え、ポリマー層14内の開口部14bは、絶縁層3の上にある。
図33Kに例示されているステップの後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
図33Lは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、薄化シリコン基板1の活性側においてパッシベーション層5の上にオーバーパッシベーションスキーム102がある、図33Kに例示されている半導体ウェハ10を示す断面図である。まず最初に、図33Kに例示されているステップの後に、金属トレースまたはパッド600の複数の領域600aを露出するために、複数の開口部519、519’、521、522、および524’をパッシベーション層5内に形成する。開口部519、519’、521、522、および524は、領域600aの上にあり、領域600aは、開口部519、519’、521、522、および524の底部にある。次に、図15D〜15Hに例示されているステップを使用して、接着/バリア/シード層8121および金属層8122からなる複数のパターン形成回路層812をパッシベーション層5上に、また開口部519および519’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、接着/バリア/シード層8211および金属層8212からなるパターン形成回路層821をパッシベーション層5上に、また開口部521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。次に、ポリマー層98が、パターン形成回路層812の金属層8122上に、またパターン形成回路層821の金属層8212上に、またパッシベーション層5上に形成され、ポリマー層98内の複数の開口部980が、金属層8122および8212の複数の接点の上に置かれ、これによりそれらの領域を露出し、これは、図15Iに例示されているステップとして参照することができる。次に、三重金属層89a、89b、および89cによって形成される複数の金属バンプ89をポリマー層98上に、また開口部980によって露出されている金属層8122および8212の接点上に形成することができ、これは、図30Lに例示されているステップとして参照することができる。図33Lに示されている金属バンプ89の詳細は、図30Lに例示されているような金属バンプ89の詳細として参照することができる。したがって、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層812および821、ポリマー層98、ならびに金属バンプ89とともに形成される。
図33Lに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。このチップパッケージでは、例えば、第1の金属バンプ89は、半導体チップの金属トレース、バス、またはプレーン81Pをプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの外部回路に接続して、外部回路から外部電源電圧Vddを受け取ることができ、薄化シリコン基板1の活性側の金属トレース、バス、またはプレーン81Pを通して、また細線金属トレース619を通して、薄化シリコン基板1の裏面1aの金属トレース88bおよび電圧レギュレータまたはコンバータ回路41に接続されうる。第2の金属バンプ89は、半導体チップの金属トレース、バス、またはプレーン81を外部回路に接続して、調整された、または変換された電源電圧Vccを外部回路に出力することができ、薄化シリコン基板1の活性側の金属トレース、バス、またはプレーン81を通して、また細線金属トレース619’を通して、薄化シリコン基板1の裏面1aの金属トレース88cおよび電圧レギュレータまたはコンバータ回路41に接続されうる。複数の第3の金属バンプ89は、半導体チップのグランドプレーン、バス、またはトレース82を外部回路のグランドバスまたはプレーンに接続することができ、また薄化シリコン基板1の活性側のグランドプレーン、バス、またはトレース82を通して薄化シリコン基板1の裏面1aの金属トレース88aならびに内部回路21、22、23、および24に接続されうる。
図33Mは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、薄化シリコン基板1の活性側に金属パッド、バンプ、またはトレースがある、図33Kに例示されている半導体ウェハ10を示す断面図である。まず最初に、図33Kに例示されているステップの後に、金属トレースまたはパッド600の複数の領域600aを露出するために、複数の開口部519、519’、521、522、および524’をパッシベーション層5内に形成する。開口部519、519’、521、522、および524は、領域600aの上にあり、領域600aは、開口部519、519’、521、522、および524の底部にある。次に、2つの金属層32および34によって構成される複数の金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。図33Mに示されているような金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成するプロセスは、図25Nに例示されているような金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部531、532、534、539、および539’によって露出されている金属トレースまたはパッド600の領域600a上に形成するプロセスとして参照することができる。図33Mに示されている金属パッド、バンプ、またはトレース34aの詳細は、図25Nに例示されているような金属パッド、バンプ、またはトレース34aの詳細として参照することができる。
図33Mに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。このチップパッケージでは、例えば、第1の金属パッド、バンプ、またはトレース34aは、半導体チップの細線金属トレース619を半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの外部回路に接続して、外部回路から外部電源電圧Vddを受け取ることができ、細線金属トレース619を通して、薄化シリコン基板1の裏面1aの金属トレース88bおよび電圧レギュレータまたはコンバータ回路41に接続されうる。第2の金属パッド、バンプ、またはトレース34aは、半導体チップの細線金属トレース619’を外部回路に接続して、調整された、または変換された電源電圧Vccを外部回路に出力することができ、細線金属トレース619’を通して、薄化シリコン基板1の裏面1aの金属トレース88cおよび電圧レギュレータまたはコンバータ回路41に接続されうる。複数の第3の金属パッド、バンプ、またはトレース34aは、半導体チップの細線金属トレース621、622、および624を外部回路のグランドバスまたはプレーンに接続することができ、細線金属トレース621、622、および624を通して、薄化シリコン基板1の裏面1aの金属トレース88aに接続されうる。
図33N〜33Sは、図33Eに例示されている半導体ウェハ10の薄化シリコン基板1の裏面1aにスーパーキャパシタ(super capacitor)87および複数の金属バンプまたはピラー27を形成するステップを示している。
図33Nを参照すると、図33Eに例示されているステップの後に、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、さらに硬化プロセスを含むプロセスによって、ポリマー層14を、絶縁層3上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層上に形成することができることがわかる。ポリマー層14内の開口部14aは、金属トレース88bの前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層の1つの領域の上にあり、それを露出し、ポリマー層14内の開口部14cは、金属トレース88aの前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層の1つの領域の上にあり、それを露出し、ポリマー層14内の開口部14dは、金属トレース88cの前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層の1つの領域の上にあり、それを露出する。ポリマー層14内の開口部14bは、アノード91およびカソード92を露出し、アノード91およびカソード92は、開口部14b内に、また絶縁層3の上にある。ポリマー層14は、3から25マイクロメートルまでの範囲、好ましくは5から15マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有するものとしてよい。ポリマー層14の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。図33Nに示されているようなポリマー層14およびポリマー層14内の開口部14a、14b、14c、および14dを形成するプロセスは、図25Gに例示されているようなポリマー層14およびポリマー層14内の開口部14aを形成するプロセスとして参照することができる。
図33Pは、図33Oの上面図である。図33Oおよび33Pを参照すると、ポリマーおよびLi+、Ni+、またはCu+などの金属イオンを含む、ゼリー状電解質93が、ポリマー層14内の開口部14b内に、また開口部14bによって露出されている絶縁層3上に、また平行線124と125との間の間隙内に形成され、アノード91およびカソード92の上面を覆うことがわかる。ゼリー状電解質93を形成した後、アノード91、カソード92、およびゼリー状電解質93を収納したスーパーキャパシタ87を絶縁層3上に、またポリマー層14内の開口部14b内に形成することができる。薄化シリコン基板1の裏面1aのスーパーキャパシタ87は、0.01から100マイクロファラッドまでの範囲内の静電容量を有し、0.1から10マイクロファラッド/平方メートル(μF/mm)までの範囲内のエネルギー貯蔵能力を有する。図33Pに示されている太線で囲まれている、スーパーキャパシタ87の面積は、0.1から10平方メートルまでの範囲内である。あるいは、ゼリー状電解質93は、Li+、Ni+、またはCu+などの、ポリマーおよび金属イオンを含む液体電解質で置き換えることができる。キャパシタ87は、可逆的に充放電が可能である。
次に、図33Qを参照すると、ポリマー層133は、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、また硬化プロセスを含むプロセスによって、ポリマー層14上に形成され、ゼリー状電解質93を覆い、ポリマー層133内の複数の開口部133aは、開口部14a、14b、14c、および14dによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層の領域の上にあり、それらを露出することがわかる。ポリマー層133は、3から25マイクロメートルまでの範囲、好ましくは5から15マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さを有する。ポリマー層133の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
ある場合には、ポリマー層133は、好適な1つまたは複数のプロセスによって、例えば、6から50マイクロメートルまでの範囲の厚さを有するネガ型感光性ポリイミド層を、開口部14a、14c、および14dによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層の領域上に、またポリマー層14上に、またゼリー状電解質93上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリイミド層をベーク(bake)し、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリイミド層を露光し、ベークしたポリイミド層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリイミド層に照射し、次いで、露光したポリイミド層を現像して、開口部14a、14c、および14dによって露出されているバルク金属層9の領域を露出する複数の開口部を形成し、次いで、現像されたポリイミド層を、100から150℃までの範囲の温度で、20から150分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリイミド層に3から25マイクロメートルまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマを使い、金属トレース130のバルク金属層9から残留ポリマー材料もしくは他の汚染物質を除去することによって形成されうる。ところで、ポリマー層133は、ポリマー層14上に形成されて、ゼリー状電解質93を覆い、ポリマー層133内に形成された開口部133aは、開口部14a、14c、および14dによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層を露出する。あるいは、現像されたポリイミド層を、紫外線を使って硬化させるか、または加熱することができる。
他の場合には、ポリマー層133は、好適な1つまたは複数のプロセスによって、例えば、3から25マイクロメートルまでの範囲の厚さを有するポジ型感光性ポリベンゾオキサゾール層を、開口部14a、14c、および14dによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層の領域上に、またポリマー層14上に、またゼリー状電解質93上にスピンオンコーティングし、次いで、スピンオンコーティングされたポリベンゾオキサゾール層をベークし、次いで、例えば約434から438nmまでの範囲の波長を有するG線、例えば約403から407nmまでの範囲の波長を有するH線、および例えば約363から367nmまでの範囲の波長を有するI線のうちの少なくとも2つにより1Xステッパーまたは1Xコンタクトアライナーを使用してベークしたポリベンゾオキサゾール層を露光し、ベークしたポリベンゾオキサゾール層に光を照射し、つまり、G線とH線、G線とI線、H線とI線、またはG線、H線、およびI線をベークしたポリベンゾオキサゾール層に照射し、次いで、露光したポリベンゾオキサゾール層を現像して、開口部14a、14c、および14dによって露出されているバルク金属層9の領域を露出する複数の開口部を形成し、次いで、現像されたポリベンゾオキサゾール層を、100から150℃までの範囲の温度で、5から180分の間、好ましくは30から120分の間、窒素雰囲気中または無酸素雰囲気中において硬化させるか、または加熱して、硬化したポリベンゾオキサゾール層に3から25マイクロメートルまでの範囲の厚さを持たせ、次いで、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマを使い、金属トレース130のバルク金属層9から残留ポリマー材料もしくは他の汚染物質を除去することによって形成されうる。ところで、ポリマー層133は、ポリマー層14上に形成されて、ゼリー状電解質93を覆い、ポリマー層133内に形成された開口部133aは、開口部14a、14c、および14dによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層を露出する。あるいは、現像されたポリベンゾオキサゾール層を、紫外線を使って硬化させるか、または加熱することができる。
図33Rを参照すると、図33Qに例示されているステップの後に、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層16を、スパッタリングプロセスまたは蒸着プロセス(evaporation process)などの物理的気相成長(PVD)プロセスを使用することによって、ポリマー層133上に、またポリマー層14上に、また開口部14a、14c、および14dにより露出されているバルク金属層9の領域上に形成することができることがわかる。次に、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層18を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層16上に形成することができる。接着/バリア層16の材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層18の材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
例えば、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層133上に、またポリマー層14上に、また開口部14a、14c、および14dによって露出されているバルク金属層9の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.01から0.15マイクロメートルまでの範囲の厚さを有するチタン層を含む複合層を、ポリマー層133上に、またポリマー層14上に、また開口部14a、14c、および14dによって露出されているバルク金属層9の領域上にスパッタリングし、0.1から0.35マイクロメートルまでの範囲の厚さを有するチタンタングステン合金層をチタン層上にスパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタンタングステン合金層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層133上に、またポリマー層14上に、また開口部14a、14c、および14dによって露出されているバルク金属層9の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16が、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、ポリマー層133上に、またポリマー層14上に、また開口部14a、14c、および14dによって露出されているバルク金属層9の領域上に、スパッタリングすることによって形成される場合、シード層18は、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成されうる。
シード層18を形成した後、フォトレジスト層31を前記の材料のシード層18上に形成することができ、フォトレジスト層31内の複数の開口部31aが前記の材料のシード層18を露出するが、これは、図25Iに例示されているステップとして参照することができる。開口部31aは、開口部14a、14c、および14dによって露出されている前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層の領域の上にある。次に、金属バンプまたはピラー27を、開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成することができる。図33Rに示されているような金属バンプまたはピラー27を開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成するプロセスは、図25Jに例示されているような金属バンプまたはピラー27を開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成するプロセスとして参照することができる。図33Rに示されている金属バンプまたはピラー27の明細は、図25Jに例示されているような金属バンプまたはピラー27の明細として参照することができる。
次に、図33Sを参照すると、フォトレジスト層31が除去されることがわかるが、これは、図25Kに例示されているステップとして参照することができる。次に、金属バンプまたはピラー27の下にないシード層18を除去し、次いで、金属バンプまたはピラー27の下にない接着/バリア層16を除去するが、これは、図25Lに例示されているステップとして参照することができる。したがって、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、アノード91、カソード92、およびゼリー状電解質93からなるキャパシタ87、金属トレース88a、88b、および88c、ポリマー層14および133、金属層16および18、ならびに金属バンプまたはピラー27とともに形成され、ポリマー層14内の開口部14a、14c、および14dは、バルク金属層9の上にあり、ポリマー層14内の開口部14bは、絶縁層3の上にあり、ポリマー層133内の開口部133aは、バルク金属層9の上にある。図33Sに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図33Sに例示されているステップの後に、説明を簡単にするため逆にした図とともに例示されている以下のステップに従い、パッシベーション層5内に複数の開口部50を形成して金属トレースまたはパッド600を露出し、次いで、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のそれぞれを、代替的に、薄化シリコン基板1の活性側でパッシベーション層5の上に形成することができる。その後、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
あるいは、図15H、15K〜15M、16L、16M、17J、18I、19H、および20に例示されているオーバーパッシベーションスキーム102のうちのどれか1つを形成するプロセスを、図33A〜33Eおよび33N〜33Sに例示されているステップの前に実行することができる。図33A〜33Eおよび33N〜33Sに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。
ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。このチップパッケージでは、例えば、第1の金属バンプまたはピラー27は、半導体チップの金属トレース88bおよび前記のインダクタ36をプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの外部回路に接続し、外部回路から外部電源電圧Vddを受け取ることができ、金属トレース88bを通して、前記のインダクタ36および電圧レギュレータまたはコンバータ回路41に接続することができる。第2の金属バンプまたはピラー27は、半導体チップの金属トレース88cを外部回路に接続し、調整された、または変換された電源電圧Vccを外部回路に出力することができる。第2の金属バンプまたはピラー27は、金属トレース88cおよび細線金属トレース619’を通して電圧レギュレータまたはコンバータ回路41に、金属トレース88cを通して前記のインダクタ36およびキャパシタ87のアノード91に接続することができる。第3の金属バンプまたはピラー27は、半導体チップの金属層88aおよびキャパシタ87を外部回路のグランドバスまたはプレーンに接続することができ、また金属トレース88aを通して、キャパシタ87のカソード92ならびに内部回路21、22、23、および24に接続することができる。
図33Tは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを形成するためのプロセスを示す断面図である。まず、図30Jに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化される。次に、シリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、キャパシタ87を含む構造、金属相互接続部88a、88b、および88c、金属バンプまたはピラー27、ならびにポリマー層14および143をシリコン貫通ビア11a、11d、11e、および11f内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図33A〜33Eおよび33N〜33Sに例示されているステップとして参照することができる。したがって、図33Sに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。
図33Tに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップのフラックス(flux)32を除去し、次いで、半導体チップをチップパッケージ用にパッケージする。チップパッケージにおいて、半導体チップの底部スキーム103の金属バンプまたはピラー27を、プリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続することができ、ワイヤボンディング接合金線、ワイヤボンディング接合アルミニウム線、またはワイヤボンディング接合銅線などの複数のワイヤボンディング接合ワイヤを、開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の銅またはアルミニウムの領域600aと接合することができる。ワイヤボンディング接合ワイヤは、開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600aを、第1の外部回路、または半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続することができる。
このチップパッケージでは、例えば、第1の金属バンプまたはピラー27は、半導体チップの金属トレース88b、電圧レギュレータまたはコンバータ回路41、および前記のインダクタ36をプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続して第1の外部回路から外部電源電圧Vddを受け取ることができ、第1の金属バンプまたはピラー27は、金属トレース88bおよび細線金属トレース619を通して電圧レギュレータまたはコンバータ回路41に接続される。第2の金属バンプまたはピラー27は、半導体チップの金属トレース88cを第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。第2の金属バンプまたはピラー27は、金属トレース88cおよび細線金属トレース619’を通して電圧レギュレータまたはコンバータ回路41に、金属トレース88cを通して前記のインダクタ36およびキャパシタ87のアノード91に接続することができる。第3の金属バンプまたはピラー27は、半導体チップの金属トレース88a、キャパシタ87、ならびに内部回路21、22、23、および24を第1の外部回路のグランドバスまたはプレーンに接続することができ、また第3の金属バンプ27は、金属トレース88aを通して、キャパシタ87のカソード92ならびに内部回路21、22、23、および24に接続される。ワイヤボンディング接合金線、ワイヤボンディング接合アルミニウム線、またはワイヤボンディング接合銅線などの第1のワイヤボンディング接合ワイヤは、開口部519’によって露出されている金属トレースまたはパッド600の銅またはアルミニウムの領域600aと接合され、半導体チップの細線金属トレース619’および電圧レギュレータまたはコンバータ回路41を、第1の外部回路、または半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続して、調整された、または変換された電源電圧Vccを出力する。ワイヤボンディング接合金線、ワイヤボンディング接合アルミニウム線、またはワイヤボンディング接合銅線などの複数の第2のワイヤボンディング接合ワイヤは、開口部521、522、および524によって露出されている金属トレースまたはパッド600の銅またはアルミニウムの領域600aと接合され、半導体チップの細線金属トレース621、622、および624ならびに内部回路21、22、23、および24を第1の、または第2の外部回路のグランドバスまたはプレーンに接続する。
あるいは、チップパッケージにおいて、ワイヤボンディング接合金線、ワイヤボンディング接合アルミニウム線、またはワイヤボンディング接合銅線などの第1のワイヤボンディング接合ワイヤは、開口部519によって露出されている金属トレースまたはパッド600の銅またはアルミニウムの領域600aと接合され、半導体チップの細線金属トレース619および電圧レギュレータまたはコンバータ回路41を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続して、第1の外部回路から外部電源電圧Vddを受け取る。ワイヤボンディング接合金線、ワイヤボンディング接合アルミニウム線、またはワイヤボンディング接合銅線などの第2のワイヤボンディング接合ワイヤは、開口部519’によって露出されている金属トレースまたはパッド600の銅またはアルミニウムの領域600aと接合され、細線金属トレース619’および電圧レギュレータまたはコンバータ回路41を第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力する。ワイヤボンディング接合金線、ワイヤボンディング接合アルミニウム線、またはワイヤボンディング接合銅線などの複数の第3のワイヤボンディング接合ワイヤは、開口部521、522、524、および524によって露出されている金属トレースまたはパッド600の銅またはアルミニウムの領域600aと接合され、半導体チップの細線金属トレース621、622、および624ならびに内部回路21、22、23、および24を第1の外部回路のグランドバスまたはプレーンに接続する。第1の金属バンプまたはピラー27は、半導体チップの金属層88aおよびキャパシタ87を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路のグランドバスまたはプレーンに接続することができ、また金属トレース88aを通して、キャパシタ87のカソード92ならびに内部回路21、22、23、および24に接続することができる。第2の金属バンプまたはピラー27は、半導体チップの金属トレース88cを第2の外部回路に接続して、調整された、または変換された電源電圧Vccを第2の外部回路に出力することができる。第2の金属バンプまたはピラー27は、金属トレース88cおよび細線金属トレース619’を通して電圧レギュレータまたはコンバータ回路41に、金属トレース88cを通して前記のインダクタ36およびキャパシタ87のアノード91に接続することができる。
図33Uは、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる、半導体ウェハを形成するためのプロセスを示す断面図である。まず最初に、図30Mに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化される。次に、シリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、及び少なくとも1つの誘電体層30内に形成して、細線金属層60の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、並びにシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。次に、キャパシタ87を含む構造、金属相互接続部88a、88b、および88c、金属バンプまたはピラー27、ならびにポリマー層14および143をシリコン貫通ビア11a、11d、11e、および11f内に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図33A〜33Eおよび33N〜33Sに例示されているステップとして参照することができる。したがって、図33Sに示されている底部スキーム103と同じ底部スキーム103を薄化シリコン基板1の裏面1aに形成することができる。あるいは、金属パッド、バンプ、またはトレース34aをパッシベーション層5上に、また開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成するステップを、金属バンプまたはピラー27を形成した後に実行することができる。
図33Uに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、第1の金属バンプまたはピラー27は、半導体チップの金属トレース88bおよび前記のインダクタ36をプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続して第1の外部回路から外部電源電圧Vddを受け取ることができ、金属トレース88bおよび細線金属トレース619を通して、電圧レギュレータまたはコンバータ回路41に接続されうる。第2の金属バンプまたはピラー27は、半導体チップの金属トレース88cを第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。第2の金属バンプまたはピラー27は、金属トレース88cおよび細線金属トレース619’を通して電圧レギュレータまたはコンバータ回路41に、金属トレース88cを通して前記のインダクタ36およびキャパシタ87のアノード91に接続することができる。第3の金属バンプまたはピラー27は、半導体チップの金属層88aおよびキャパシタ87を第1の外部回路のグランドバスまたはプレーンに接続することができ、また金属トレース88aを通して、キャパシタ87のカソード92ならびに内部回路21、22、23、および24に接続することができる。第1の金属パッド、バンプ、またはトレース34aおよび細線金属トレース619’は、半導体チップの電圧レギュレータまたはコンバータ回路41を半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に、第1の金属パッド、バンプ、もしくはトレース34aを第2の外部回路に接合することによって、または金線、アルミニウム線、もしくは銅線をワイヤボンディングで第1の金属パッド、バンプ、もしくはトレース34aに、また第2の外部回路に接合することによって接続して、調整された、もしくは変換された電源電圧Vccを第2の外部回路に出力することができる。複数の第2の金属パッド、バンプ、またはトレース34aおよび細線金属トレース621、622、および624は、半導体チップの内部回路21、22、23、および24を第2の外部回路のグランドバスまたはプレーンに、第2の金属パッド、バンプ、もしくはトレース34aを第2の外部回路のグランドバスもしくはプレーンに接合することによって、または複数の金線、複数のアルミニウム線、もしくは複数の銅線をワイヤボンディングで第2の金属パッド、バンプ、もしくはトレース34aに、また第2の外部回路のグランドバスもしくはプレーンに接合することによって接続することができる。
あるいは、チップパッケージにおいて、第1の金属パッド、バンプ、またはトレース34aおよび細線金属トレース619は、半導体チップの電圧レギュレータまたはコンバータ回路41を半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に、第1の金属パッド、バンプ、もしくはトレース34aを第1の外部回路に接合することによって、または金線、アルミニウム線、もしくは銅線をワイヤボンディングで第1の金属パッド、バンプ、もしくはトレース34aに、また第1の外部回路に接合することによって接続して、第1の外部回路から外部電源電圧Vddを受け取ることができる。第2の金属パッド、バンプ、またはトレース34aおよび細線金属トレース619’は、半導体チップの電圧レギュレータまたはコンバータ回路41を第1の外部回路に、第2の金属パッド、バンプ、もしくはトレース34aを第1の外部回路に接合することによって、または金線、アルミニウム線、もしくは銅線をワイヤボンディングで第2の金属パッド、バンプ、もしくはトレース34aに、また第1の外部回路に接合することによって接続して、調整された、もしくは変換された電源電圧Vccを第1の外部回路に出力することができる。複数の第3の金属パッド、バンプ、またはトレース34aおよび細線金属トレース621、622、および624は、半導体チップの内部回路21、22、23、および24を第1の外部回路のグランドバスまたはプレーンに、第3の金属パッド、バンプ、もしくはトレース34aを第1の外部回路のグランドバスもしくはプレーンに接合することによって、または複数の金線、複数のアルミニウム線、もしくは複数の銅線をワイヤボンディングで第3の金属パッド、バンプ、もしくはトレース34aに、また第1の外部回路のグランドバスもしくはプレーンに接合することによって接続することができる。第1の金属バンプまたはピラー27は、半導体チップの金属層88aおよびキャパシタ87を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路のグランドバスまたはプレーンに接続することができ、また金属トレース88aを通して、キャパシタ87のカソード92ならびに内部回路21、22、23、および24に接続することができる。第2の金属バンプまたはピラー27は、半導体チップの金属トレース88cを第2の外部回路に接続して、調整された、または変換された電源電圧Vccを第2の外部回路に出力することができる。第2の金属バンプまたはピラー27は、金属トレース88cおよび細線金属トレース619’を通して電圧レギュレータまたはコンバータ回路41に、金属トレース88cを通して前記のインダクタ36およびキャパシタ87のアノード91に接続することができる。
図33Vは、他の種類のキャパシタ87の上面図を示し、図33Wは、図33Vの点線A−Aにそって切り取った断面図を示している。図33Vおよび33Wを参照すると、キャパシタ87が、絶縁層3上に、また半導体ウェハ10の薄化シリコン基板1の裏面1aに形成されることがわかる。キャパシタ87のゼリー状電解質93を収容するために、金属層4およびバルク金属層9によって構成されるシールドリング123を、絶縁層3上に、また半導体ウェハ10の薄化シリコン基板1の裏面1aに形成することができる。あるいは、シールドリング123は、絶縁層3上に、また半導体ウェハ10の薄化シリコン基板1の裏面1aに形成されたポリイミド層、ベンゾシクロブテン(BCB)層、ポリベンゾオキサゾール(PBO)層、もしくはエポキシ樹脂層などのポリマー層、または酸化ケイ素層、オキシ窒化ケイ素(silicon-oxynitride)層、もしくは窒化ケイ素層などの無機層とすることができる。シールドリング123は、キャパシタ87のアノード91の厚さより大きく、キャパシタ87のカソード92の厚さより大きい厚さを有し、キャパシタ87のアノード91より高く、キャパシタ87のカソード92より高い上面を有する。アノード91およびカソード92は、シールドリング123内のゼリー状電解質93内にある。ポリマー層14は、ゼリー状電解質93上に、またシールドリング123上に、またバルク金属層9上に、また絶縁層3上に形成され、ゼリー状電解質93およびシールドリング123を覆う。
キャパシタ87のアノード91は、金属トレース88cのバルク金属層9に接続され、キャパシタ87のカソード92は、金属トレース88aのバルク金属層9に接続される。キャパシタ87のアノード91は、細線金属トレース619’および金属トレース88cを通して、電圧レギュレータまたはコンバータ回路41および前記のインダクタ36に接続することができる。キャパシタ87のカソード92は、細線金属トレース621および金属トレース88aを通して内部回路21に、また細線金属トレース622および金属トレース88aを通して内部回路22および23に、また細線金属トレース622および624ならびに金属トレース88aを通して内部回路24に接続されうる。
2つの金属バンプまたはピラー27をバルク金属層9の上に、及び薄化シリコン基板1の裏面1aに形成することができる。左側の1つの金属バンプまたはピラー27は、金属トレース88bを通して、またシリコン貫通ビア11a内のバルク金属層9を通して、また細線金属構造619を通して電圧レギュレータまたはコンバータ回路41に、また金属トレース88bを通して前記のインダクタ36に接続することができる。右側の1つの金属バンプまたはピラー27は、シリコン貫通ビア11e内のバルク金属層9を通して、また細線金属トレース621、622、および624を通して、また金属トレース88aを通して内部回路21に、シリコン貫通ビア11e内のバルク金属層9を通して、また細線金属トレース622および624を通して内部回路22および23に、またシリコン貫通ビア11e内のバルク金属層9を通して、また細線金属トレース624を通して内部回路24に接続することができる。
図33Wにおいて、底部スキーム103は、薄化シリコン基板1の裏面1aの下に形成されており、絶縁層3、アノード91、カソード92、およびゼリー状電解質93からなるキャパシタ87、金属トレース88b、シールドリング123、ポリマー層14、金属層16および18、ならびに金属バンプまたはピラー27を備え、ポリマー層14内の開口部14aは、バルク金属層9の上にある。オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層812および821、ポリマー層98、ならびに金属バンプ89とともに形成され、ポリマー層98内の開口部980は、パターン形成回路層812および821の下にある。
図33Vおよび33Wに示されているアノード91、カソード92、およびゼリー状電解質93の詳細は、それぞれ図33A〜33Uに例示されているようなアノード91、カソード92、およびゼリー状電解質93の詳細として参照することができる。図33Wに示されている金属バンプまたはピラー27の詳細は、図25G〜25Lおよび33N〜33Uに例示されているような金属バンプまたはピラー27の詳細として参照することができる。図33Vおよび33Wに示されている、ゼリー状電解質93を収納するための、シールドリング123を形成するためのプロセスは、図33A〜33Eに例示されているような金属層4およびバルク金属層9を形成するためのプロセスとして参照することができる。図33A〜33U内の要素を示す同じ参照番号で示されている図33Vおよび33W内の要素は、図33A〜33Uに例示されている要素と同じ材料およびスペックを有する。
図33Vおよび33Wに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、左側の1つの金属バンプまたはピラー27は、半導体チップの金属トレース88bおよび前記のインダクタ36をプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続して第1の外部回路から外部電源電圧Vddを受け取ることができる。右側の1つの金属バンプまたはピラー27は、キャパシタ87のカソード92および半導体チップの金属トレース88aを、細線金属トレース622および624を通して、またシリコン貫通ビア11e内のバルク金属層9を通して第1の外部回路のグランドバスもしくはプレーンに接続することができ、半導体チップの内部回路21、22、23、および24を、細線金属トレース624を通して、またシリコン貫通ビア11e内のバルク金属層9を通して第1の外部回路のグランドバスまたはプレーンに接続することができる。第1の金属バンプ89は、半導体チップの金属トレース、バス、またはプレーン81を半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続して、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。複数の第2の金属バンプ89が、半導体チップのグランドプレーン、バス、またはトレース82を第2の外部回路のグランドバスまたはプレーンに接続することができる。
あるいは、このチップパッケージでは、第1の金属バンプ89は、半導体チップの金属トレース、バス、またはプレーン81Pおよび電圧レギュレータもしくはコンバータ回路41を半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続して、第1の外部回路から外部電源電圧Vddを受け取ることができる。第2の金属バンプ89は、半導体チップの金属トレース、バス、またはプレーン81を第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。複数の第3の金属バンプ89が、半導体チップのグランドプレーン、バス、またはトレース82を第1の外部回路のグランドバスまたはプレーンに接続することができる。右側の1つの金属バンプまたはピラー27は、キャパシタ87のカソード92および半導体チップの金属トレース88aを、細線金属トレース622および624を通して、またシリコン貫通ビア11e内のバルク金属層9を通して、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路のグランドバスもしくはプレーンに接続することができ、半導体チップの内部回路21、22、23、および24を、細線金属トレース624を通して、またシリコン貫通ビア11e内のバルク金属層9を通して第2の外部回路のグランドバスまたはプレーンに接続することができる。
図33Xは、他の種類のキャパシタ87の上面図を示し、図33Yは、図33Xの点線A−Aにそって切り取った断面図を示している。図33Xおよび33Yを参照すると、キャパシタ87が、絶縁層3上に、また半導体ウェハ10の薄化シリコン基板1の裏面1aに形成されることがわかる。キャパシタ87のゼリー状電解質93を収容するために、金属層4およびバルク金属層9によって構成されるシールドリング123を、絶縁層3上に、また半導体ウェハ10の薄化シリコン基板1の裏面1aに形成することができる。あるいは、シールドリング123は、絶縁層3上に、また半導体ウェハ10の薄化シリコン基板1の裏面1aに形成されたポリイミド層、ベンゾシクロブテン(BCB)層、ポリベンゾオキサゾール(PBO)層、もしくはエポキシ樹脂層などのポリマー層、または酸化ケイ素層、オキシ窒化ケイ素層、もしくは窒化ケイ素層などの無機層とすることができる。シールドリング123は、キャパシタ87のアノード91の厚さより大きく、キャパシタ87のカソード92の厚さより大きい厚さを有し、キャパシタ87のアノード91より高く、キャパシタ87のカソード92より高い上面を有する。キャパシタ87のカソード91は、シリコン貫通ビア11f内に、また薄化シリコン基板1の裏面1aに、またシールドリング123内のゼリー状電解質93内にある。キャパシタ87のカソード92は、シリコン貫通ビア11d内に、また薄化シリコン基板1の裏面1aに、またシールドリング123内のゼリー状電解質93内にある。ポリマー層14は、ゼリー状電解質93上に、またシールドリング123上に、またバルク金属層9上に、また絶縁層3上に形成され、ゼリー状電解質93およびシールドリング123を覆う。
キャパシタ87のアノード91は、細線金属トレース619’を通して、電圧レギュレータまたはコンバータ回路41および前記のインダクタ36に接続することができる。キャパシタ87のカソード92は、細線金属トレース621を通して内部回路21に、細線金属トレース622を通して内部回路22および23に、細線金属トレース622および624を通して内部回路24に接続されうる。
2つの金属バンプまたはピラー27が、バルク金属層9の上に、また薄化シリコン基板1の裏面1aに形成され、バルク金属層9を接続する。左側の1つの金属バンプまたはピラー27は、金属トレース88bを通して、シリコン貫通ビア11a内のバルク金属層9を通して、及び細線金属構造619を通して電圧レギュレータまたはコンバータ回路41に、また金属トレース88bを通して前記のインダクタ36に接続することができる。右側の1つの金属バンプまたはピラー27は、シリコン貫通ビア11e内のバルク金属層9を通して、また細線金属トレース621、622、および624を通して内部回路21に、またシリコン貫通ビア11e内のバルク金属層9および細線金属トレース622および624を通して内部回路22および23に、またシリコン貫通ビア11e内のバルク金属層9および細線金属トレース624を通して内部回路24に、またシリコン貫通ビア11e内のバルク金属層9および細線金属トレース624を通してキャパシタ87のカソード92に接続することができる。
図33Yにおいて、底部スキーム103が薄化シリコン基板1の裏面1aの下に形成されており、絶縁層3、アノード91、カソード92、およびゼリー状電解質93からなるキャパシタ87、金属トレース88b、シールドリング123、ポリマー層14、金属層16および18、ならびに金属バンプまたはピラー27を備え、ポリマー層14内の開口部14aは、バルク金属層9の上にある。オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層812および821、ポリマー層98、ならびに金属バンプ89とともに形成され、ポリマー層98内の開口部980は、パターン形成回路層812および821の下にある。
図33Xおよび33Yに示されているアノード91、カソード92、およびゼリー状電解質93の詳細は、それぞれ図33A〜33Uに例示されているようなアノード91、カソード92、およびゼリー状電解質93の詳細として参照することができる。図33Yに示されているような金属バンプまたはピラー27の詳細は、図25G〜25Lおよび33N〜33Uに例示されている金属バンプまたはピラー27の詳細として参照することができる。図33Xおよび33Yに示されている、ゼリー状電解質93を収納するための、シールドリング123を形成するためのプロセスは、図33A〜33Eに例示されているような金属層4およびバルク金属層9を形成するためのプロセスとして参照することができる。図33A〜33U内の要素を示す同じ参照番号で示されている図33Xおよび33Y内の要素は、図33A〜33Uに例示されている要素と同じ材料およびスペックを有する。
図33Xおよび33Yに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、左側の1つの金属バンプまたはピラー27は、半導体チップの金属トレース88bおよび前記のインダクタ36をプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路の電源バスまたはプレーンに接続して第1の外部回路から外部電源電圧Vddを受け取ることができる。右側の1つの金属バンプまたはピラー27は、キャパシタ87のカソード92および半導体チップの内部回路21、22、23、および24を、シリコン貫通ビア11e内のバルク金属層9を通して、また細線金属トレース624を通して、第1の外部回路のグランドバスもしくはプレーンに接続することができる。第1の金属バンプ89は、半導体チップの金属トレース、バス、またはプレーン81を半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続して、調整された、または変換された電源電圧Vccを第2の外部回路に出力するようにすることができる。複数の第2の金属バンプ89が、半導体チップのグランドプレーン、バス、またはトレース82を第2の外部回路のグランドバスまたはプレーンに接続することができる。
あるいは、このチップパッケージでは、第1の金属バンプ89は、半導体チップの金属トレース、バス、またはプレーン81Pおよび電圧レギュレータもしくはコンバータ回路41を半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続して、第1の外部回路から外部電源電圧Vddを受け取ることができる。第2の金属バンプ89は、半導体チップの金属トレース、バス、またはプレーン81を第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができる。複数の第3の金属バンプ89が、半導体チップのグランドプレーン、バス、またはトレース82を第1の外部回路のグランドバスまたはプレーンに接続することができる。右側の1つの金属バンプまたはピラー27は、キャパシタ87のカソード92および半導体チップの内部回路24を、シリコン貫通ビア11e内のバルク金属層9を通して、また細線金属トレース624を通して、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路のグランドバスもしくはプレーンに接続することができる。
図34A〜34Gは、図33Tに例示されている半導体ウェハ10の薄化シリコン基板1の活性側にスーパーキャパシタ87a、パターン形成回路層801、複数の金属バンプまたはピラー89、ならびに2つのポリマー層98および99を形成するステップを示している。
図34Aを参照すると、図33Tに示されている構造を形成した後に、フラックス32が除去されることがわかる。次に、接着/バリア/シード層8011をパッシベーション層5上に、またパッシベーション層5内の開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成することができる。接着/バリア/シード層8011は、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層8011aを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、パッシベーション層5上に、また開口部519、519’、521、522、および524によって露出される金属トレースまたはパッド600の領域600a上に蒸着し、次いで、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層8011bを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層8011a上に蒸着することによって形成することができる。接着/バリア層8011aの材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層8011bの材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
例えば、接着/バリア層8011aが、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、パッシベーション層5上に、またパッシベーション層5内の開口部519、519’、521、522、および524によって露出される金属トレースまたはパッド600の領域600a上に、スパッタリングすることによって形成される場合、シード層8011bは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成することができる。
あるいは、接着/バリア層8011aが、好適な1つまたは複数のプロセスによって、例えば、0.01から0.15マイクロメートルまでの範囲の厚さを有するチタン層を含む複合層を、パッシベーション層5上に、また開口部519、519’、521、522および524によって露出されている金属トレースまたはパッド600の領域600a上にスパッタリングし、0.1から0.35マイクロメートルまでの範囲の厚さを有するチタンタングステン合金層をチタン層上にスパッタリングすることによって形成される場合、シード層8011bは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタンタングステン合金層上にスパッタリングすることによって形成することができる。
あるいは、接着/バリア層8011aが、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、パッシベーション層5上に、またパッシベーション層5内の開口部519、519’、521、522、および524によって露出される金属トレースまたはパッド600の領域600a上に、スパッタリングすることによって形成される場合、シード層8011bは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成することができる。
あるいは、接着/バリア層8011aが、好適な1つまたは複数のプロセスによって、例えば、0.005から0.8マイクロメートルまでの範囲、好ましくは0.05から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、パッシベーション層5上に、またパッシベーション層5内の開口部519、519’、521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に、スパッタリングすることによって形成される場合、シード層8011bは、好適な1つまたは複数のプロセスによって、例えば、0.005から0.5マイクロメートルまでの範囲、好ましくは0.05から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成することができる。
接着/バリア/シード層8011を形成した後、アノード91aおよびカソード92aを接着/バリア/シード層8011のシード層8011b上に形成することができる。アノード91aの材料として、ポリアニリン(polyaniline)、活性炭、グラファイト、ポリピロール(polypyrrole)、カーボンナノチューブ、NiCo合金、またはRuO2が挙げられる。あるいは、アノード91aは、シード層8011b上のニッケル層ならびにニッケル層の上面および側壁上のカーボン層を含む複合層とすることができる。カソード92aの材料として、ポリアニリン、活性炭、グラファイト、カーボンナノチューブ、またはポリピロールが挙げられる。例えば、アノード91aがNiCo合金である場合、カソード92aは、活性炭またはカーボンナノチューブとすることができる。あるいは、アノード91aおよびカソード92aは多孔質であってもよい。アノード91aは、1から20マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有し、1から20マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい幅を有する。カソード92aは、1から20マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有し、1から20マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい幅を有する。
アノード91aおよびカソード92aを形成した後、3から110マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層71を、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによってシード層8011b上に、またアノード91a上に、またカソード92a上に形成することができる。次に、露光および現像のプロセスによりフォトレジスト層71のパターン形成を行い、シード層8011bを露出する複数の開口部710をフォトレジスト層71内に形成する。
次に、バルク金属層8012を、電気メッキプロセスおよび/または無電解メッキプロセスを含むプロセスによって、接着/バリア/シード層8011の、フォトレジスト層71内の開口部710によって露出されるシード層8011b上に、また開口部710内に形成することができる。バルク金属層8012は、2から100マイクロメートルまでの範囲、好ましくは3から20マイクロメートルまでの範囲など、2マイクロメートルより大きい、またそれぞれ、シード層8011bの厚さ、接着/バリア/シード層8011aの厚さ、および細線金属層60のそれぞれの厚さより大きい厚さを有することができる。バルク金属層8012は、5から150マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、1マイクロメートルより大きい、また細線金属層60のそれぞれの厚さより大きい幅を有することができる。バルク金属層8012の材料として、金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、ニッケル、または前記の材料の複合材が挙げられる。図34Aに示されているようにバルク金属層8012を接着/バリア/シード層8011の、フォトレジスト層71内の開口部710によって露出されるシード層8011b上に、また開口部710内に形成するプロセスは、図15Fに例示されているようにバルク金属層8012を接着/バリア/シード層8011の、フォトレジスト層71内の開口部710によって露出されるシード層上に、また開口部710内に形成するプロセスとして参照することができる。図34Aに示されているバルク金属層8012の明細は、図15Fに例示されているバルク金属層8012の明細として参照することができる。
図34Bを参照すると、バルク金属層8012を形成した後に、無機溶液を使用して、またはアミド(amide)とともに有機溶液を使用して、フォトレジスト層71が除去されることがわかる。次に、バルク金属層8012、アノード91a、およびカソード92aの下にない接着/バリア/シード層8011は、バルク金属層8012、アノード91a、およびカソード92aの下にないシード層8011bをエッチングし、次いで、バルク金属層8012、アノード91a、およびカソード92aの下にない接着/バリア層8011aをエッチングすることによって除去される。図34Bに示されているようにバルク金属層8012、アノード91a、およびカソード92aの下にない接着/バリア/シード層8011のシード層8011bをエッチングするプロセスは、図15Hに例示されているようにバルク金属層8012の下にない接着/バリア/シード層8011のシード層をエッチングするプロセスとして参照することができる。図34Bに示されているようにバルク金属層8012、アノード91a、およびカソード92aの下にない接着/バリア/シード層8011の接着/バリア層8011aをエッチングするプロセスは、図15Hに例示されているようにバルク金属層8012の下にない接着/バリア/シード層8011の接着/バリア層をエッチングするプロセスとして参照することができる。
したがって、アノード91aおよびカソード92aは、パッシベーション層5の上に、また薄化シリコン基板1の裏面1aに形成され、接着/バリア/シード層8011およびバルク金属層8012からなるパターン形成回路層801は、パッシベーション層5上に、また薄化シリコン基板1の活性側に形成されうる。パターン形成回路層801は、パッシベーション層5上に、また薄化シリコン基板1の活性側に、複数の金属トレース81、81P、および82を備え、金属トレース81、81P、および82は、接着/バリア/シード層8011およびバルク金属層8012によって構成される。金属トレース81は、パッシベーション層5内の開口部519’を通して、また細線金属トレース619’を通して、電圧レギュレータまたはコンバータ回路41に、また、内部回路21、22、23、および24の複数の電源ノードに接続することができる。金属トレース81Pは、パッシベーション層5内の開口部519を通して、また細線金属トレース619を通して、電圧レギュレータまたはコンバータ回路41に接続することができる。金属トレース82は、電圧レギュレータまたはコンバータ回路41に、また内部回路21のグランドノードに、またパッシベーション層5内の開口部522を通して、さらに細線金属トレース622を通して、内部回路22のグランドノードに、またパッシベーション層5内の開口部522を通して、さらに細線金属トレース622を通して、内部回路23のグランドノードに、またパッシベーション層5内の開口部524を通して、さらに細線金属トレース624を通して、内部回路24のグランドノードに接続することができる。金属トレース81Pおよび82は、前記のESD保護回路44に適宜接続することができ、これは、図29Bとしてさらに参照することができる。
図34Cを参照すると、図34Bに例示されているステップの後に、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、さらに硬化プロセスを含むプロセスによって、ポリマー層98を、パッシベーション層5上に、また前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層上に形成することができることがわかる。ポリマー層98内の複数の開口部980は、前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の複数の領域の上にあり、これらを露出する。ポリマー層98内の開口部980aは、アノード91aおよびカソード92aを露出し、アノード91aおよびカソード92aは、開口部980a内に、またパッシベーション層5の上にある。ポリマー層98は、2から30マイクロメートルまでの範囲など、2マイクロメートルより大きく、細線誘電体層30のそれぞれの厚さより大きい厚さを有するものとしてよい。ポリマー層98の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
図34Dは、図34Cの上図面である。図34Cおよび34Dを参照すると、カソード92aは、アノード91aの複数の平行線124aの間の間隙内に延入する複数の平行線125aを有することがわかる。カソード92aとアノード91aの隣接する線124aと125aとの間の水平方向の空間は、0.1から10マイクロメートルまでの範囲、好ましくは1から5マイクロメートルまでの範囲など、0.1マイクロメートルより広いものとしてよい。アノード91aは、金属トレース81を通して、電圧レギュレータまたはコンバータ回路41のノードPに接続される。カソード92aは、金属トレース82を通して、電圧レギュレータまたはコンバータ回路41のグランドノードRsと内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに接続される。
ポリマー層98ならびにポリマー層98内の開口部980および980aを形成した後、ポリマーおよびLi+、Ni+、またはCu+などの金属イオンを含む、ゼリー状電解質93aが、ポリマー層98内の開口部980a内に、また開口部980aによって露出されているパッシベーション層5上に、また平行線124aと125aとの間の間隙内に形成され、アノード91aおよびカソード92aの上面を覆う。
ゼリー状電解質93aを形成した後、アノード91a、カソード92a、およびゼリー状電解質93aを収納したスーパーキャパシタ87aをパッシベーション層5上に、またポリマー層98内の開口部980a内に形成することができる。薄化シリコン基板1の活性側のスーパーキャパシタ87aは、0.01から100マイクロファラッドまでの範囲内の静電容量を有し、0.1から10マイクロファラッド/平方メートル(μF/mm2)までの範囲内のエネルギー貯蔵能力を有する。図34Dに示されている太線で囲まれている、スーパーキャパシタ87aの面積は、0.1から10平方メートルまでの範囲内である。あるいは、ゼリー状電解質93aは、Li+、Ni+、またはCu+などの、ポリマーおよび金属イオンを含む液体電解質で置き換えることができる。キャパシタ87aは、可逆的に充放電が可能である。金属トレース81は、スーパーキャパシタ87aのアノード91aを電圧レギュレータまたはコンバータ回路41に、また内部回路21、22、23、および24の電源ノードに接続することができ、金属トレース82は、スーパーキャパシタ87aのカソード92aを電圧レギュレータまたはコンバータ回路41に、また内部回路21、22、23、および24のグランドノードに接続することができる。
次に、図34Eを参照すると、ポリマー層99は、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、また硬化プロセスを含むプロセスによって、ポリマー層98上に形成され、スーパーキャパシタ87aのゼリー状電解質93aを覆い、ポリマー層99内の複数の開口部990は、ポリマー層98内の開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域の上にあり、それらを露出する。ポリマー層990は、2から30マイクロメートルまでの範囲など、2マイクロメートルより大きく、細線誘電体層30のそれぞれの厚さより大きい厚さを有するものとしてよい。ポリマー層99の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
次に、図34Fを参照すると、金属層89aを、ポリマー層99上に、またポリマー層98上に、また開口部980によって露出されている前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域上に形成することができることがわかる。次に、金属層89bを金属層89a上に形成することができる。金属層89aの材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、金属層89bの材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。金属層89aおよび89bは、好適な1つまたは複数のプロセスによって、例えば、スパッタリングプロセスまたは蒸着プロセスなどの、物理的気相成長(PVD)プロセスによって形成することができる。金属層89aは、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するものとしてよく、金属層89bは、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するものとしてよい。
例えば、金属層89aが、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層99上に、またポリマー層98上に、また開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域上に、スパッタリングすることによって形成される場合、金属層89bは、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、金属層89aが、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層99上に、またポリマー層98上に、また開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域上に、スパッタリングすることによって形成される場合、金属層89bは、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
あるいは、金属層89aが、好適な1つまたは複数のプロセスによって、例えば、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、クロム層の単層などのクロム含有層を、ポリマー層99上に、またポリマー層98上に、また開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域上に、スパッタリングすることによって形成される場合、金属層89bは、好適な1つまたは複数のプロセスによって、例えば、0.05から0.5マイクロメートルまでの範囲、0.08から0.15マイクロメートルまでの範囲、0.1から1マイクロメートルまでの範囲、または0.2から0.5マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、クロム含有層上にスパッタリングすることによって形成されうる。
金属層89bを形成した後、フォトレジスト層71aを金属層89b上に形成することができ、フォトレジスト層71a内の複数の開口部71bが、開口部980によって露出される前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域の上にあり、金属層89bを露出する。次に、金属層89cを、電気メッキプロセスを含むプロセスによって、開口部71bによって露出されている金属層89b上に、また開口部71b内に形成することができる。金属層89cは、3から200マイクロメートルまでの範囲、好ましくは5から100マイクロメートルまでの範囲など、3マイクロメートルより大きい、またそれぞれ、金属層89bの厚さ、金属層89aの厚さ、および細線金属層60のそれぞれの厚さより大きい、厚さを有することができる。金属層89cは、5から200マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、1マイクロメートルより大きい、また細線金属層60のそれぞれの厚さより大きい、幅を有することができる。金属層89cは、銅、金、ニッケル、アルミニウム、銀、白金、ハンダ、または前記の材料の複合材を含むものとしてよい。
例えば、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、10から150マイクロメートルまでの範囲、好ましくは20から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さになるように、銅層を開口部71b内に、また開口部71bによって露出されている金属層89b、好ましくは前記の銅層89b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さになるように、金層を開口部71b内に、また開口部71bによって露出されている金属層89b、好ましくは前記の金層89b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さになるように、ニッケル層を開口部71b内に、また開口部71bによって露出されている金属層89b、好ましくは前記の銅層またはニッケル層89b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、5から200マイクロメートルまでの範囲、好ましくは10から150マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部71b内に、また開口部71bによって露出されている金属層89b、好ましくは前記の銅層またはニッケル層89b上に電気メッキすることによって形成される単一の金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、3から150マイクロメートルまでの範囲、好ましくは5から100マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、銅層を開口部71bに、また開口部71bによって露出されている金属層89b、好ましくは前記の銅層89b上に電気メッキし、次に、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、ニッケル層を、開口部71b内に、また開口部71b内の電気メッキされた銅層上に電気メッキまたは無電解メッキし、次いで、0.005から10マイクロメートルまでの範囲、好ましくは0.05から1マイクロメートルまでの範囲など、0.005マイクロメートルより大きい厚さになるように、金層またはパラジウム層を、開口部71b内に、また開口部71b内の電気メッキまたは無電解メッキされたニッケル層上に電気メッキまたは無電解メッキすることによって形成される三重金属層からなるものとしてよい。
あるいは、金属層89cは、好適な1つまたは複数のプロセスによって、例えば、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、銅層を開口部71b内に、また開口部71bによって露出されている金属層89b、好ましくは前記の銅層89b上に電気メッキし、次に、1から15マイクロメートルまでの範囲、好ましくは2から10マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、ニッケル層を、開口部71b内に、また開口部71b内の電気メッキされた銅層上に電気メッキまたは無電解メッキし、次いで、5から100マイクロメートルまでの範囲、好ましくは10から50マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さになるように、ビスマス含有層、インジウム含有層、またはスズ鉛合金、スズ銀合金、またはスズ銀銅合金のスズ含有層などのハンダ層を開口部71b内に、また開口部71a内の電気メッキされた、または無電解メッキされたニッケル層上に電気メッキするか、または無電解メッキすることによって形成される三重金属層からなるものとしてよい。
図34Gを参照すると、図34Fに例示されているように金属層89cを形成した後に、無機溶液を使用して、またはアミドとともに有機溶液を使用して、フォトレジスト層71aが除去されることがわかる。次に、エッチングプロセスによって金属層89cの下にない金属層89bを除去し、次いで、エッチングプロセスによって金属層89cの下にない金属層89aを除去する。図34Gに示されているような金属層89cの下にない金属層89bを除去するプロセスは、図15Hに例示されているようなバルク金属層8012の下にない接着/バリア/シード層8011のシード層を除去するプロセスとして参照することができる。図34Gに示されているような金属層89cの下にない金属層89aを除去するプロセスは、図15Hに例示されているようなバルク金属層8012の下にない接着/バリア/シード層8011の接着/バリア層をエッチングするプロセスとして参照することができる。
したがって、金属層89a、89b、および89cによって構成される金属バンプまたはピラー89を、ポリマー層98および99上に、また開口部980によって露出されている前記のさまざまなバルク金属層8012の金、銅、銀、アルミニウム、パラジウム、白金、ロジウム、ルテニウム、レニウム、またはニッケルの最上位層の領域上に形成することができる。金属バンプまたはピラー89の金属層89cは、ボールグリッドアレイ(BGA)基板、プリント回路基板、半導体チップ、金属基板、ガラス基板、またはセラミック基板などの外部回路に、金属層89cを外部回路と接合することによって接続するために使用されうる。
図34Gにおいて、底部スキーム103が薄化シリコン基板1の裏面1aの下に形成されており、絶縁層3、アノード91、カソード92、およびゼリー状電解質93からなるキャパシタ87、金属トレース88a、88b、および88c、ならびにポリマー層14および133を備え、ポリマー層14内の開口部14a、14c、および14dは、バルク金属層9の下にあり、ポリマー層14内の開口部14bは、絶縁層3の下にあり、ポリマー層133内の開口部133aは、バルク金属層9の下にある。オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層801によって構成される金属トレース81、81P、および82、アノード91a、カソード92a、およびゼリー状電解質93aからなるキャパシタ87a、ポリマー層98および99、ならびに金属バンプ89とともに形成され、ポリマー層98内の開口部980は、パターン形成回路層801の上にあり、ポリマー層内の開口部980aは、パッシベーション層5の上にあり、ポリマー層99内の開口部990は、パターン形成回路層801の上にある。
図34Gに例示されているステップの後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
このチップパッケージでは、例えば、金属バンプまたはピラー27のうちの1つは、半導体チップの金属トレース88bをプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続して、第1の外部回路から外部電源電圧Vddを受け取ることができ、金属トレース88bを通して、またシリコン貫通ビア11a内のバルク金属層9を通して、また細線金属構造619を通して電圧レギュレータまたはコンバータ回路41に接続することができる。金属バンプまたはピラー27のうちの別の1つは、半導体チップの金属トレース88cを第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができ、金属トレース88cおよび細線金属トレース619’を通して、電圧レギュレータまたはコンバータ回路41に、また金属トレース88cを通してキャパシタ87のアノード91に接続されうる。金属バンプまたはピラー27のうちの他の1つは、半導体チップの金属層88aおよびキャパシタ87を第1の外部回路のグランドバスまたはプレーンに接続することができ、金属トレース88aを通して、キャパシタ87のカソード92ならびに内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに接続されうる。金属バンプまたはピラー89のうちの1つは、半導体チップの金属トレース81をプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路に接続して、調整された、または変換された電源電圧Vccを第2の外部回路に出力することができ、金属トレース81および細線金属トレース619’を通して電圧レギュレータまたはコンバータ回路41に、また金属トレース81を通してキャパシタ87aのアノード91aならびに内部回路21、22、23、および24の電源ノードTp、Up、Vp、およびWpに接続されうる。金属バンプまたはピラー89の他の1つは、半導体チップのグランドプレーン、バス、またはトレース82を第2の外部回路のグランドバスまたはプレーンに接続することができ、金属トレース82を通して、キャパシタ87aのカソード92aならびに内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに接続されうる。
あるいは、このチップパッケージでは、例えば、金属バンプまたはピラー89のうちの1つは、半導体チップの金属トレース81Pを半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第1の外部回路に接続して、第1の外部回路から外部電源電圧Vddを受け取ることができ、金属トレース81Pおよび細線金属トレース619を通して、電圧レギュレータまたはコンバータ回路41に接続されうる。金属バンプまたはピラー89のうちの別の1つは、半導体チップの金属トレース81を第1の外部回路に接続して、調整された、または変換された電源電圧Vccを第1の外部回路に出力することができ、金属トレース81および細線金属トレース619’を通して電圧レギュレータまたはコンバータ回路41に、また金属トレース81を通してキャパシタ87aのアノード91aならびに内部回路21、22、23、および24の電源ノードTp、Up、Vp、およびWpに接続されうる。金属バンプまたはピラー89の他の1つは、半導体チップのグランドプレーン、バス、またはトレース82を第1の外部回路のグランドバスまたはプレーンに接続することができ、金属トレース82を通して、キャパシタ87aのカソード92aならびに内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに接続されうる。金属バンプまたはピラー27のうちの1つは、半導体チップの金属トレース層88aおよびキャパシタ87を、半導体チップ、プリント回路基板、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの第2の外部回路のグランドバスまたはプレーンに接続することができ、金属トレース88aを通して、キャパシタ87のカソード92ならびに内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに接続されうる。金属バンプまたはピラー27のうちの他の1つは、半導体チップの金属トレース88cを第2の外部回路に接続して、調整された、または変換された電源電圧Vccを第2の外部回路に出力することができ、金属トレース88cおよび細線金属トレース619’を通して、電圧レギュレータまたはコンバータ回路41に、また金属トレース88cを通してキャパシタ87のアノード91に接続されうる。
しかし、前記のインダクタ36および前記のキャパシタ87は、ディスクリートデバイスであってもよい。以下では、ディスクリートインダクタ36およびディスクリートキャパシタ87を半導体ウェハ10に接続するために、図35A〜35Dに示されているプロセスを導入する。ディスクリートインダクタ36は、図29Aおよび29Bに示されているインダクタ36に使用することができ、ディスクリートキャパシタ87は、図29A、29B、および29Dに示されているキャパシタ87に使用することができる。
図35Aを参照すると、図30Aに例示されている半導体ウェハ10のシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。次に、シリコン貫通ビア11a、11d、11e、および11fを、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成して、細線金属層60の領域60aを露出させることができ、次いで、絶縁層3を、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11a、11d、11e、および11fの側壁上に形成することができ、これは、図24C〜24Hまたは図24I〜24Nに例示されているステップとして参照することができる。シリコン貫通ビア11a、11d、11e、および11fは、薄化シリコン基板1および(複数可)誘電体層30を完全に貫通する。次に、金属層4および9によって構成される相互接続構造88をシリコン貫通ビア11a、11d、11e、および11f内に、また絶縁層3上に、また薄化シリコン基板1の裏面1aに形成することができ、これは、図25A〜25Fに例示されているステップとして参照することができる。相互接続構造88は、複数の金属トレース88a、88b、および88cを備える。
図35Bを参照すると、図35Aに例示されているステップの後に、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、さらに硬化プロセスを含むプロセスによって、ポリマー層14を、絶縁層3上に、また前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層上に形成することができ、ポリマー層14内の複数の開口部14aは、前記のさまざまなバルク金属層9の銅、金、アルミニウム、ニッケル、またはパラジウムの最上位層の複数の領域の上にあり、それらを露出することがわかる。ポリマー層14は、3から50マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、3マイクロメートルより大きい厚さを有するものとしてよい。ポリマー層14の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。図35Bに示されているようなポリマー層14およびポリマー層14内の開口部14aを形成するプロセスは、図25Gに例示されているようなポリマー層14およびポリマー層14内の開口部14aを形成するプロセスとして参照することができる。
図35Cを参照すると、図35Bに例示されているステップの後に、図15D〜15Hに例示されているステップを使用して、接着/バリア/シード層8121および金属層8122からなる複数のパターン形成回路層812をパッシベーション層5上に、また開口部519および519’によって露出されている金属トレースまたはパッド600の領域600a上に形成することができ、接着/バリア/シード層8211および金属層8212からなるパターン形成回路層821をパッシベーション層5上に、また開口部521、522、および524によって露出されている金属トレースまたはパッド600の領域600a上に形成することができることがわかる。次に、ポリマー層98が、パターン形成回路層812の金属層8122上に、またパターン形成回路層821の金属層8212上に、またパッシベーション層5上に形成され、ポリマー層98内の複数の開口部980が、金属層8122および8212の複数の接点の上に置かれ、これによりそれらの領域を露出し、これは、図15Iに例示されているステップとして参照することができる。次に、三重金属層89a、89b、および89cによって形成される複数の金属バンプ89をポリマー層98上に、また開口部980によって露出されている金属層8122および8212の接点上に形成することができ、これは、図30Lに例示されているステップとして参照することができる。あるいは、パターン形成回路層812および821、ポリマー層98、ならびに金属バンプ89を形成するステップは、図35Aおよび35Bに例示されているステップの前に実行することができる。図35Cに示されている金属バンプ89の詳細は、図30Lに例示されているような金属バンプ89の詳細として参照することができる。したがって、パターン形成回路層812および821、ポリマー層98、ならびに金属バンプ89は、薄化シリコン基板1の活性側において、パッシベーション層5の上に形成されうる。
図35Dを参照すると、ディスクリートインダクタ36およびディスクリートキャパシタ87は、10から250マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ハンダボールまたはバンプ、金バンプ、銅バンプ、銀バンプ、アルミニウムバンプ、またはニッケルバンプなどの複数の金属バンプ144を通して開口部14aによって露出されているバルク金属層9に接続されうることがわかる。したがって、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、金属層4および9によって形成される金属トレース88a、88b、および88c、ディスクリートインダクタ36、ディスクリートキャパシタ87、金属バンプ144、およびポリマー層14とともに形成され、ポリマー層14内の開口部14aは、バルク金属層9の上にある。オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側において、パターン形成回路層812および821、ポリマー層98、ならびに金属バンプ89とともに形成され、ポリマー層98内の開口部980は、パターン形成回路層812および821の下にある。図35Dに示されている構造を形成した後に、半導体ウェハ10を、ダイソーイングプロセスによって複数の個別半導体チップに切り分けることができる。ダイソーイングプロセスの後に、半導体ウェハ10から切り出された半導体チップをチップパッケージにパッケージングすることができる。
チップパッケージにおいて、半導体チップの薄化シリコン基板1の裏面1aのディスクリートインダクタ36を、金属トレース88bおよび88cを通して、またシリコン貫通ビア11fおよび11a内のバルク金属層9を通して、また細線金属トレース619および619’を通して電圧レギュレータまたはコンバータ回路41に接続することができる。半導体チップの薄化シリコン基板1の裏面1aのディスクリートキャパシタ87を、金属トレース88cを通して、またシリコン貫通ビア11f内のバルク金属層9を通して、また細線金属トレース619’を通して電圧レギュレータまたはコンバータ回路41に、また金属トレース88cを通してディスクリートインダクタ36に、また金属トレース88aを通して、また細線金属トレース621を通して内部回路21に、また金属トレース88aを通して、またシリコン貫通ビア11d内のバルク金属層9を通して、また細線金属トレース622を通して内部回路22および23に、また金属トレース88aを通して、またシリコン貫通ビア11e内のバルク金属層9を通して、また細線金属トレース624を通して内部回路24に接続することができる。金属バンプ89のうちの1つは、半導体チップの薄化シリコン基板1の活性側の金属トレース81Pをプリント回路基板、半導体チップ、ボールグリッドアレイ(BGA)基板、金属基板、ガラス基板、またはセラミック基板などの外部回路に接続して、外部回路から外部電源電圧Vddを受け取ることができ、また半導体チップの薄化シリコン基板1の活性側の金属トレース81Pを通して、また半導体チップの細線金属トレース619を通して、半導体チップの薄化シリコン基板1の裏面1aの金属トレース88bおよびディスクリートインダクタ36および半導体チップの電圧レギュレータまたはコンバータ回路41に接続されうる。金属バンプ89の別の1つは、半導体チップの薄化シリコン基板1の活性側の金属トレース81を外部回路に接続して、調整された、または変換された電源電圧Vccを外部回路に出力することができ、また半導体チップの薄化シリコン基板1の活性側の金属トレース81を通して、また半導体チップの細線金属トレース619’を通して、半導体チップの薄化シリコン基板1の裏面1aの金属トレース88cおよびディスクリートインダクタ36および半導体チップの電圧レギュレータまたはコンバータ回路41に接続されうる。金属バンプ89の他の2つは、半導体チップの薄化シリコン基板1の活性側のグランドプレーン、バス、またはトレース82を外部回路のグランドバスまたはプレーンに接続することができ、また薄化シリコン基板1の活性側のグランドプレーン、バス、またはトレース82を通して、半導体チップの薄化シリコン基板1の裏面1aの金属トレース88aならびに半導体チップの内部回路21、22、23、および24のグランドノードTs、Us、Vs、およびWsに接続されうる。
図36Aを参照すると、チップパッケージモジュール118は、ボールグリッドアレイ(BGA)基板110、BGA基板110の上部側の2つのチップパッケージ57および84、BGA基板110の上部側の上のディスクリートキャパシタ112、ならびにBGA基板110の底部側の複数のハンダボール111aを含むことがわかる。ディスクリートキャパシタ112をBGA基板110上に設け、複数のハンダボール111dを通してBGA基板110に接続することができる。チップパッケージモジュール118のBGA基板110を、プリント回路基板、金属基板、ガラス基板、またはセラミック基板などの基板上に設け、ハンダボール111aを通してその基板に接続することができる。ハンダボール111aおよび111dは、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むものとしてよい。
チップパッケージ84は、ボールグリッドアレイ(BGA)基板113、BGA基板113の上部側の上にある2つのダイナミックランダムアクセスメモリ(DRAM)チップ104、DRAMチップ104の上に、またBGA基板113の上にある2つのフラッシュメモリチップ114、これらのフラッシュメモリチップ114のうちの上部のチップ上にあり、DRAMチップ104の上にあり、BGA基板113の上にある特定用途向け集積回路(ASIC)チップ115、BGA基板113の底部側上のビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111c、チップ104、114、および115のうちの1つをチップ104、114、および115のうちの他の1つに、またはBGA基板113に接続する金線、銅線、もしくはアルミニウム線などの複数のワイヤボンディング接合ワイヤ119、ならびにチップ104、114、および115ならびにワイヤボンディング接合ワイヤ119を封止する、BGA基板113の上部側上に、ワイヤボンディング接合ワイヤ119上に、およびチップ104、114、および115上にある、ポリマーまたはエポキシ系材料などの成形コンパウンド116を収容する。チップパッケージ84のBGA基板113を、BGA基板110上に設け、ハンダボール111cを通してBGA基板110に接続することができる。
図36Aに示されている直線5は、前記のパッシベーション層を示している。DRAMチップ104およびフラッシュメモリチップ114は、それぞれ、前記のシリコン基板1、IC構造6a、前記のパッシベーション層5、および前記のオーバーパッシベーションスキーム102の組み合わせを含み、この組み合わせは、図1B〜1D、3B〜3D、5B、5K、5S、5U〜5Z、7B〜7D、8A〜8F、10A〜10I、12B〜12D、14B〜14D、15H、15K〜15M、16L、16M、17J、18I、19H、20、および23Kのうちの1つとして参照することができ、これらの図に示されているように、シリコン基板1の上にあり、パッシベーション層5の下にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43および44、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。チップ104および114に関しては、オーバーパッシベーションスキーム102のパターン形成回路層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。
これらのDRAMチップ104のうちの底部のチップは、1から50マイクロメートルまでの範囲の厚さを持つ、ポリマーまたはエポキシ系材料などの接着剤の薄膜135を使用してこれらのDRAMチップ104のうちの底部のチップのシリコン基板1をBGA基板113の上部側に接着することによってBGA基板113に実装することができる。これらのDRAMチップ104のうちの上部のチップは、接着剤の別の薄膜135を使用してこれらのDRAMチップ104のうちの上部のチップのシリコン基板1をこれらのDRAMチップ104のうちの底部のチップのオーバーパッシベーションスキーム102に接着することによってこれらのDRAMチップ104のうちの底部のチップ上に実装することができる。これらのフラッシュメモリチップ114のうちの底部のチップは、接着剤の別の薄膜135を使用してこれらのフラッシュメモリチップ114のうちの底部のチップのシリコン基板1をこれらのDRAMチップ104のうちの上部のチップのオーバーパッシベーションスキーム102に接着することによってこれらのDRAMチップ104のうちの上部のチップ上に実装することができる。これらのフラッシュメモリチップ114のうちの上部のチップは、接着剤の別の薄膜135を使用してこれらのフラッシュメモリチップ114のうちの上部のチップのシリコン基板1をこれらのフラッシュメモリチップ114のうちの底部のチップのオーバーパッシベーションスキーム102に接着することによってこれらのフラッシュメモリチップ114のうちの底部のチップ上に実装することができる。ASICチップ115は、接着剤の別の薄膜135を使用してASICチップ115をこれらのフラッシュメモリチップ114のうちの上部のチップのオーバーパッシベーションスキーム102に接着することによってこれらのフラッシュメモリチップ114のうちの上部のチップ上に実装することができる。
これらのDRAMチップ104のうちの上部のチップは、これらのDRAMチップ104のうちの底部のチップに覆い被さる右側部分を有し、これらのDRAMチップ104のうちの底部のチップは、これらのDRAMチップ104のうちの上部のチップの真下にない左側部分を有する。これらのDRAMチップ104のうちの上部のチップは、これらのDRAMチップ104のうちの底部のチップの左側壁からリセスされている左側壁を有する。これらのフラッシュメモリチップ114のうちの底部のチップは、これらのDRAMチップ104のうちの上部のチップに覆い被さる右側部分を有し、これらのDRAMチップ104のうちの上部のチップは、これらのフラッシュメモリチップ114のうちの底部のチップの真下にない左側部分を有する。これらのフラッシュメモリチップ114のうちの底部のチップは、これらのDRAMチップ104のうちの上部のチップの左側壁からリセスされている左側壁を有する。これらのフラッシュメモリチップ114のうちの上部のチップは、これらのフラッシュメモリチップ114のうちの底部のチップに覆い被さる右側部分を有し、これらのフラッシュメモリチップ114のうちの底部のチップは、これらのフラッシュメモリチップ114のうちの上部のチップの真下にない左側部分を有する。これらのフラッシュメモリチップ114のうちの上部のチップは、これらのフラッシュメモリチップ114のうちの底部のチップの左側壁からリセスされている左側壁を有する。
ワイヤボンディング接合ワイヤ119のうちの1つを、例えば、オーバーパッシベーションスキーム102内のパターン形成回路層801のバルク金属層8012上に、オーバーパッシベーションスキーム102内のパターン形成回路層802のバルク金属層8022上に、オーバーパッシベーションスキーム102内のパターン形成回路層803のバルク金属層8032上に、オーバーパッシベーションスキーム102内の金属トレース81上に、オーバーパッシベーションスキーム102内の金属トレース81P上に、オーバーパッシベーションスキーム102内の金属トレース82上に、オーバーパッシベーションスキーム102内の金属トレース83上に、オーバーパッシベーションスキーム102内の金属トレース83’上に、オーバーパッシベーションスキーム102内の金属トレース83r上に、またはオーバーパッシベーションスキーム102内の金属トレース85上に接合して、チップ104および114のうちの1つを、チップ104および114のうちの他の1つに、チップ115に、またはBGA基板113に接続することができる。
チップパッケージ57は、ボールグリッドアレイ(BGA)基板117、BGA基板117の上部側上のチップ101、チップ101の上に、またBGA基板117の上にある、ダイナミックランダムアクセスメモリ(DRAM)チップまたはスタティックランダムアクセスメモリ(SRAM)チップなどのメモリチップ100、BGA基板117の底部側上のビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111b、チップ101の上に、またBGA基板117の上にあるスーパーディスクリートキャパシタ94、チップ101の上に、またBGA基板117の上にあるスーパーディスクリートインダクタ95、チップ101をBGA基板117に接続する、金線、銅線、またはアルミニウム線などの複数のワイヤボンディング接合ワイヤ119a、ならびにチップ100および101、ワイヤボンディング接合ワイヤ119a、スーパーディスクリートキャパシタ94、およびスーパーディスクリートインダクタ95を封止する、BGA基板117の上部側上に、ワイヤボンディング接合ワイヤ119a上に、チップ100および101上に、スーパーディスクリートキャパシタ94上に、およびスーパーディスクリートインダクタ95上にある、ポリマーまたはエポキシ系材料などの成形コンパウンド120を収容する。チップパッケージ57のBGA基板117を、BGA基板110上に設け、ハンダボール111bを通してBGA基板110に接続することができる。
チップ101は、x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ARM、Strong ARM、またはMIPなどの非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ベースバンドチップ、グラフィックスプロセッシングユニット(GPU)チップ、デジタル信号処理(DSP)チップ、全地球測位システム(GPS)チップ、Bluetooth(登録商標)チップ、無線ローカルエリアネットワーク(WLAN)チップ、ベースバンド回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックを含まない、ベースバンド回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、無線ローカルエリアネットワーク(WLAN)回路ブロックを含まない、ベースバンド回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、ベースバンド回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、ベースバンド回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、またはグラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)とすることができる。あるいは、チップ101は、中央演算処理装置(CPU)回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、Bluetooth回路ブロック、全地球測位システム(GPS)回路ブロック、および/または無線ローカルエリアネットワーク(WLAN)回路ブロックを含むチップとすることができる。チップ101、グラフィックスプロセッシングユニット(GPU)チップ、またはチップ101内のグラフィックスプロセッシングユニット(GPU)回路ブロックは、2Dまたは3Dビデオディスプレイ用に設計することができ、ワイヤボンディング接合ワイヤ119a、BGA基板117および110、ならびにハンダボール111aおよび111bを通して、3Dテレビ(3D TV)などの3Dビデオディスプレイ、または3Dビデオゲーム機に接続することができる。
チップ101は、前記のシリコン基板1、IC構造6a、前記のパッシベーション層5、および前記のオーバーパッシベーションスキーム102の組み合わせを含み、この組み合わせは、図1B〜1D、3B〜3D、5B、5K、5S、5U〜5Z、7B〜7D、8A〜8F、10A〜10I、12B〜12D、14B〜14D、15H、15K〜15M、16L、16M、17J、18I、19H、20、および23Kのうちの1つとして参照することができ、これらの図に示されているように、シリコン基板1の上にあり、パッシベーション層5の下にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43および44、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。チップ101に関しては、オーバーパッシベーションスキーム102のパターン形成回路層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。
スーパーディスクリートキャパシタ94およびスーパーディスクリートインダクタ95をチップ101のオーバーパッシベーションスキーム102の前記のパターン形成回路層上に設け、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含む複数のハンダボール111eを通してこのパターン形成回路層に接続することができる。例えば、ディスクリートキャパシタ94およびディスクリートインダクタ95をチップ101のオーバーパッシベーションスキーム102上に実装するためのプロセスは、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含有するハンダペーストを、パターン形成回路層801、802、もしくは803、またはチップ101のオーバーパッシベーションスキーム102内の金属トレース81、81P、82、83、83’、83r、および85の最上位ポリマー層内の開口部によって適宜露出される、複数の接点上にスクリーン印刷し、次いで、ディスクリートキャパシタ94およびディスクリートインダクタ95をハンダペースト上に載せ、次いで、ハンダペーストのリフローまたは加熱を行って固体ハンダボール111eを形成しディスクリートキャパシタ94およびディスクリートインダクタ95をチップ101に接合することによって実行されうる。
チップ101は、接着剤の別の薄膜135を使用してチップ101のシリコン基板1をBGA基板117の上部側に接着することによってBGA基板117上に実装することができる。メモリチップ100をチップ101のオーバーパッシベーションスキーム102内のパターン形成回路層上に設け、10から100マイクロメートルまでの範囲、または50から200マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する銅バンプもしくはポスト、ハンダボールもしくはバンプ、ニッケルバンプもしくはポスト、または金バンプもしくはポストなどの複数の金属ボール、バンプ、またはポスト132を通してこのパターン形成回路層に接続することができ、隣接する金属ボール、バンプ、またはポスト132の間のピッチは、10から100マイクロメートルまでの範囲など、10マイクロメートルを超える大きさとしてよい。ポリマーなどのアンダーフィル107をチップ101とメモリチップ100との間の間隙内に充填し、金属ボール、バンプ、またはポスト132を取り囲む。
メモリチップ100をチップ101上に実装するプロセスに関して、金属ボール、バンプ、またはポスト132は、元々メモリチップ上に形成することができ、次いで、金属ボール、バンプ、またはポスト132を、パターン形成回路層801、802、もしくは803、またはチップ101のオーバーパッシベーションスキーム102内の金属トレース81、81P、82、83、83’、83r、または85の最上位ポリマー層内の開口部によって適宜露出される、複数の接点と接合することができる。例えば、メモリチップ100をチップ101上に実装するためのプロセスは、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含有するハンダペーストを、パターン形成回路層801、802、もしくは803、またはチップ101のオーバーパッシベーションスキーム102内の金属トレース81、81P、82、83、83’、83r、または85の最上位ポリマー層内の開口部によって適宜露出される、接点上にスクリーン印刷し、次いで、メモリチップ100上に予成形された金属ボール、バンプ、またはポスト132をハンダペースト上に載せ、次いで、ハンダペーストのリフローまたは加熱を行って固体ハンダを形成し金属ボール、バンプ、またはポスト132をチップ101に接合することによって実行されうる。あるいは、金属ボール、バンプ、またはポスト132は、図8A〜8F、10A〜10E、15L、15M、16M、および20に示されているように、チップ101のオーバーパッシベーションスキーム102の前記の金属バンプ89によって元々構成することができ、次いで、金属ボール、バンプ、またはポスト132が、メモリチップ100と接合されうる。
ワイヤボンディング接合ワイヤ119aのうちの1つを、例えば、オーバーパッシベーションスキーム102内のパターン形成回路層801のバルク金属層8012上に、オーバーパッシベーションスキーム102内のパターン形成回路層802のバルク金属層8022上に、オーバーパッシベーションスキーム102内のパターン形成回路層803のバルク金属層8032上に、オーバーパッシベーションスキーム102内の金属トレース81上に、オーバーパッシベーションスキーム102内の金属トレース81P上に、オーバーパッシベーションスキーム102内の金属トレース82上に、オーバーパッシベーションスキーム102内の金属トレース83上に、オーバーパッシベーションスキーム102内の金属トレース83’上に、オーバーパッシベーションスキーム102内の金属トレース83r上に、またはオーバーパッシベーションスキーム102内の金属トレース85上に接合して、チップ101をBGA基板117に接続することができる。
低電力消費設計のために、ワイドビット/スモールドライバインターフェイス回路109をメモリチップ100とチップ101との間に設けることができる。チップ101は、メモリチップ100に接続されている複数の入出力(I/O)パッドを有し、I/Oパッドのそれぞれは、ESD保護回路に接続されないか、またはより小さなESD保護回路とチップ101内のドライバまたはレシーバに接続され、ドライバは、0.01から10pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の出力キャパシタンスを有し、レシーバは、0.01から20pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の入力キャパシタンスを有する。メモリチップ100は、チップ101に接続されている複数のI/Oパッドを有し、I/Oパッドのそれぞれは、ESD保護回路に接続されないか、またはより小さなESD保護回路とチップ100内のドライバまたはレシーバに接続され、ドライバは、0.01から10pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の出力キャパシタンスを有し、レシーバは、0.01から20pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の入力キャパシタンスを有する。チップ101とメモリチップ100との間のデータ幅は、27、28、29、210、または211など、27より大きいものとしてよい。
さらに、低電力消費設計のために、レギュレータなどのグリーン電源(green power supply)回路108を備えることができ、スーパーディスクリートキャパシタ94およびスーパーディスクリートインダクタ95がハンダボール111eを使用してチップ101上に設けられている。
チップパッケージ57は、例えば、好適な1つまたは複数のプロセスによって、例えば、複数のメモリチップ100上に元々形成されている金属ボール、バンプ、またはポスト132を、例えば図15H、15K、16L、17J、18I、または19Hに示されている、複数のチップ101に最終的に切断されるウェハ10のオーバーパッシベーションスキーム102内のパターン形成回路層801、802、または803の、最上位ポリマー層内の開口部によって適宜露出される、複数の接点上に接合し、次に、アンダーフィル107をウェハ10と複数のメモリチップ100との間の間隙内に流し込み、金属ボール、バンプ、またはポスト132を取り囲み、次に、表面実装技術(SMT)を使用しハンダボール111eを通して、複数のディスクリートキャパシタ94および複数のディスクリートインダクタ95を、例えば図15H、15K、16L、17J、18I、または19Hに示されている、ウェハ10のオーバーパッシベーションスキーム102内のパターン形成回路層801、802、または803の、最上位ポリマー層内の開口部によって適宜露出される、接点上に接合し、次に、ウェハ10を切断して、チップ100および101、ディスクリートキャパシタ94、およびディスクリートインダクタ95を備える複数の積層ユニットに分離し、次に、接着剤の別の薄膜135を使用してチップ101をBGA基板117の上部側に接着することによって積層ユニットをBGA基板117の上部側上に実装し、次に、ワイヤボンディングプロセスによってワイヤ119aをチップ101に、またBGA基板117に接合し、次に、BGA基板117の上部側上に、ワイヤ119a上に、チップ100および101上に、ディスクリートキャパシタ94上に、およびディスクリートインダクタ95上に成形コンパウンド120を形成し、チップ100および101、ワイヤ119a、ディスクリートキャパシタ94、およびディスクリートインダクタ95を封止し、次いで、ボール植え付けプロセスによってBGA基板117の底部側上にハンダボール111bを形成することによって形成されうる。
図36Bを参照すると、チップパッケージモジュール118aは、ボールグリッドアレイ(BGA)基板110、BGA基板110の上部側上のチップパッケージ57a、BGA基板110の上部側上の図36Aに例示されているチップパッケージ84、BGA基板110の上部側の上にあるディスクリートキャパシタ112、ならびにBGA基板110の底部側の複数のハンダボール111aを含むことがわかる。ディスクリートキャパシタ112をBGA基板110上に設け、複数のハンダボール111dを通してBGA基板110に接続することができる。チップパッケージモジュール118aのBGA基板110を、プリント回路基板、金属基板、ガラス基板、またはセラミック基板などの基板上に設け、ハンダボール111aを通してその基板に接続することができる。ハンダボール111aおよび111dは、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むものとしてよい。図36Bに例示されているチップパッケージ84の詳細は、図36Aに例示されているようなチップパッケージ84の詳細として参照することができ、図36Bに示されているようなチップパッケージ84を形成するプロセスは、図36Aに例示されているようなチップパッケージ84を形成するプロセスとして参照することができる。図36Bに示されている直線5は、前記のパッシベーション層を示し、図36Bに示されている直線1aは、薄化シリコン基板1の前記の裏面を示している。
チップパッケージ57aは、ボールグリッドアレイ(BGA)基板117、BGA基板117の上部側上のチップ101a、チップ101aの上に、またBGA基板117の上にある、ダイナミックランダムアクセスメモリ(DRAM)チップまたはスタティックランダムアクセスメモリ(SRAM)チップなどのメモリチップ100、BGA基板117の底部側上のビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111b、チップ101aの上に、またBGA基板117の上にあるスーパーディスクリートキャパシタ94、チップ101aの上に、またBGA基板117の上にあるスーパーディスクリートインダクタ95、ならびにチップ100および101a、ディスクリートキャパシタ94、およびディスクリートインダクタ95を封入する、BGA基板117の上部側上に、チップ100および101a上に、ディスクリートキャパシタ94上に、およびディスクリートインダクタ95上にある、ポリマーまたはエポキシ系材料などの成形コンパウンド120を収容する。チップパッケージ57aのBGA基板117を、BGA基板110上に設け、ハンダボール111bを通してBGA基板110に接続することができる。
チップ101aは、x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ARM、Strong ARM、またはMIPなどの非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ベースバンドチップ、グラフィックスプロセッシングユニット(GPU)チップ、デジタル信号処理(DSP)チップ、全地球測位システム(GPS)チップ、Bluetoothチップ、無線ローカルエリアネットワーク(WLAN)チップ、ベースバンド回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックを含まない、ベースバンド回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、無線ローカルエリアネットワーク(WLAN)回路ブロックを含まない、ベースバンド回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、ベースバンド回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、ベースバンド回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、またはグラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)とすることができる。あるいは、チップ101aは、中央演算処理装置(CPU)回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、Bluetooth回路ブロック、全地球測位システム(GPS)回路ブロック、および/または無線ローカルエリアネットワーク(WLAN)回路ブロックを含むチップとすることができる。チップ101a、グラフィックスプロセッシングユニット(GPU)チップ、またはチップ101a内のグラフィックスプロセッシングユニット(GPU)回路ブロックは、2Dまたは3Dビデオディスプレイ用に設計することができ、BGA基板117および110、ならびにハンダボール111aおよび111bを通して、3Dテレビ(3D TV)などの3Dビデオディスプレイ、または3Dビデオゲーム機に接続することができる。
チップ101aは、前記の薄化シリコン基板1、IC構造6a、前記のパッシベーション層5、前記のオーバーパッシベーションスキーム102、複数のシリコン貫通ビア11、および金属バンプまたはピラー27を含む前記の底部スキーム103の組み合わせを含み、この組み合わせは、図25S、25T、26J、26K、27T、28I、30L、31I、32T、33W、33Y、および34Gのうちの1つで参照することができ、これらの図に示されているように、薄化シリコン基板1とパッシベーション層5との間にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。図36Bにおいて、チップ101aに関して、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側のパッシベーション層5上に形成され、底部スキーム103が、薄化シリコン基板1の裏面1aに形成される。チップ101aに関して、図25S、25T、26J、26K、27T、28I、30L、31I、32T、33W、33Y、または34Gの前記のビア11a、11b、11c、11d、11e、および/または11fを構成するシリコン貫通ビア11が、薄化シリコン基板1内に形成され、図36Bに例示されているシリコン貫通ビア11の詳細は、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eの詳細として参照することができ、図36Bに示されているようなシリコン貫通ビア11を形成するプロセスは、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。チップ101aに関して、底部スキーム103の金属層は、シリコン貫通ビア11内のバルク金属層9を通してIC構造6aの細線スキーム6に、また金属バンプもしくはピラー27を通してBGA基板117に接続することができ、オーバーパッシベーションスキーム102のパターン形成回路層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。
チップ101aを、BGA基板117上に設け、チップ101aの底部スキーム103の金属バンプまたはピラー27を通してBGA基板117に接続することができる。ポリマーなどのアンダーフィル(underfill)107aをチップ101aとBGA基板117の上部側との間の間隙内に充填し、金属バンプまたはピラー27を取り囲む。スーパーディスクリートキャパシタ94およびスーパーディスクリートスーパーインダクタ95をビスマス、インジウム、スズ銀合金、スズ銀銅合金、またはスズ鉛合金を含む複数のハンダボール111eを通してチップ101aのオーバーパッシベーションスキーム102内の前記のパターン形成回路層に接続することができる。メモリチップ100を10から100マイクロメートルまでの範囲、または50から200マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する銅バンプもしくはポスト、ハンダボールもしくはバンプ、ニッケルバンプもしくはポスト、または金バンプもしくはポストなどの複数の金属ボール、バンプ、またはポスト132を通してチップ101aのオーバーパッシベーションスキーム102内のパターン形成回路層に接続することができ、隣接する金属ボール、バンプ、またはポスト132の間のピッチは、10から100マイクロメートルまでの範囲など、10マイクロメートルを超える大きさとしてよい。金属ボール、バンプ、またはポスト132は、元々メモリチップ100上に形成することができ、次いで、金属ボール、バンプ、またはポスト132が、チップ101aと接合されうる。あるいは、金属ボール、バンプ、またはポスト132は、図25T、26K、27T、28I、30L、31I、32T、33W、33Y、および34Gに示されているように、チップ101aのオーバーパッシベーションスキーム102の前記の金属バンプ89によって元々構成することができ、次いで、金属ボール、バンプ、またはポスト132が、メモリチップ100と接合されうる。ポリマーなどのアンダーフィル107をチップ101aとメモリチップ100との間の間隙内に充填し、金属ボール、バンプ、またはポスト132を取り囲む。
低電力消費設計のために、ワイドビット/スモールドライバインターフェイス回路109をメモリチップ100とチップ101aとの間に設けることができる。チップ101aは、メモリチップ100に接続されている複数の入出力(I/O)パッドを有し、I/Oパッドのそれぞれは、ESD保護回路に接続されないか、またはより小さなESD保護回路とチップ101a内のドライバまたはレシーバに接続され、ドライバは、0.01から10pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の出力キャパシタンスを有し、レシーバは、0.01から20pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の入力キャパシタンスを有する。メモリチップ100は、チップ101aに接続されている複数のI/Oパッドを有し、I/Oパッドのそれぞれは、ESD保護回路に接続されないか、またはより小さなESD保護回路とチップ100内のドライバまたはレシーバに接続され、ドライバは、0.01から10pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の出力キャパシタンスを有し、レシーバは、0.01から20pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の入力キャパシタンスを有する。チップ101aとメモリチップ100との間のデータ幅は、27、28、29、210、または211など、27より大きいものとしてよい。
さらに、低電力消費設計のために、レギュレータなどのグリーン電源回路108を備えることができ、スーパーディスクリートキャパシタ94およびスーパーディスクリートインダクタ95がハンダボール111eを使用してチップ101a上に設けられている。
チップパッケージ57aは、例えば、好適な1つまたは複数のプロセスによって、例えば、複数のメモリチップ100上に元々形成されている金属ボール、バンプ、またはポスト132を、例えば図25Sまたは26Jに示されている、複数のチップ101aに最終的に切断されるウェハ10のオーバーパッシベーションスキーム102内のパターン形成回路層801の、ポリマー層98内の開口部980によって露出される、複数の接点上に接合し、次に、アンダーフィル107をウェハ10と複数のメモリチップ100との間の間隙内に流し込み、金属ボール、バンプ、またはポスト132を取り囲み、次に、表面実装技術(SMT)を使用しハンダボール111eを通して、複数のディスクリートキャパシタ94および複数のディスクリートインダクタ95を、例えば図25Sまたは26Jに示されている、ウェハ10のオーバーパッシベーションスキーム102内のパターン形成回路層801の、ポリマー層98内の開口部980によって露出される、接点上に接合し、次に、ウェハ10を切断して、チップ100および101a、ディスクリートキャパシタ94、およびディスクリートインダクタ95を備える複数の積層ユニットに分離し、次に、チップ101aの底部スキーム103の金属バンプまたはピラー27をBGA基板117の上部側上に接合することによって積層ユニットをBGA基板117の上部側上に実装し、次に、アンダーフィル107aをチップ101aとBGA基板117との間の間隙内に流し込みし、金属バンプまたはピラー27を取り囲み、次に、BGA基板117の上部側上に、チップ100および101a上に、ディスクリートキャパシタ94上に、およびディスクリートインダクタ95上にある成形コンパウンド120を形成し、チップ100および101a、ディスクリートキャパシタ94、およびディスクリートインダクタ95を封入し、次いで、ボール植え付けプロセスによってBGA基板117の底部側上にハンダボール111bを形成することによって形成されうる。
図36Cを参照すると、チップパッケージモジュール118bは、ボールグリッドアレイ(BGA)基板110、BGA基板110の上部側上の積層ユニット136、BGA基板110の上部側上のチップパッケージ84a、BGA基板110の上部側の上にあるディスクリートキャパシタ112、ならびにBGA基板110の底部側上の複数のハンダボール111aを含むことがわかる。ディスクリートキャパシタ112をBGA基板110上に設け、複数のハンダボール111dを通してBGA基板110に接続することができる。チップパッケージモジュール118bのBGA基板110を、プリント回路基板、金属基板、ガラス基板、またはセラミック基板などの基板上に設け、ハンダボール111aを通してその基板に接続することができる。ハンダボール111aおよび111dは、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むものとしてよい。
チップパッケージ84aは、ボールグリッドアレイ(BGA)基板113、BGA基板113の上部側の上にある2つのダイナミックランダムアクセスメモリ(DRAM)チップ140、DRAMチップ140の上に、またBGA基板113の上にある2つのフラッシュメモリチップ150、これらのフラッシュメモリチップ150のうちの上部のチップ上にあり、DRAMチップ140の上にあり、BGA基板113の上にある特定用途向け集積回路(ASIC)チップ115、BGA基板113の底部側上のビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111c、およびチップ140、150、および115を封入する、BGA基板113の上部側上の、ポリマーまたはエポキシ系材料などの、成形コンパウンド116を収容する。チップパッケージ84aのBGA基板113を、BGA基板110上に設け、ハンダボール111cを通してBGA基板110に接続することができる。
図36Cに示されている直線5は、前記のパッシベーション層を示し、図36Cに示されている直線1aは、薄化シリコン基板1の前記の裏面を示している。DRAMチップ140およびフラッシュメモリチップ150は、それぞれ、前記の薄化シリコン基板1、IC構造6a、前記のパッシベーション層5、前記のオーバーパッシベーションスキーム102、複数のシリコン貫通ビア11、および金属バンプまたはピラー27を含む前記の底部スキーム103の組み合わせを含み、この組み合わせは、図25S、25T、26J、26K、27T、28I、30L、31I、32T、33W、33Y、および34Gのうちの1つで参照することができ、これらの図に示されているように、薄化シリコン基板1とパッシベーション層5との間にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。図36Cにおいて、チップ140および150に関して、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側のパッシベーション層5上に形成され、底部スキーム103が、薄化シリコン基板1の裏面1aに形成される。チップ140および150に関して、図25S、25T、26J、26K、27T、28I、30L、31I、32T、33W、33Y、または34Gの前記のビア11a、11b、11c、11d、11e、および/または11fを構成するシリコン貫通ビア11が、薄化シリコン基板1内に形成され、図36Cに例示されているシリコン貫通ビア11の明細は、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eの明細として参照することができ、図36Cに示されているようなシリコン貫通ビア11を形成するプロセスは、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。チップ140および150に関して、金属バンプまたはピラー27のうちの隣接する2つの間のピッチは、10から100マイクロメートルまでの範囲など、10マイクロメートルを超える大きさとしてよい。チップ140および150に関して、底部スキーム103の金属層は、シリコン貫通ビア11内のバルク金属層9を通してIC構造6aの細線スキーム6に接続することができ、オーバーパッシベーションスキーム102のパターン形成回路層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。
チップパッケージ84aは、例えば、好適な1つまたは複数のプロセスによって、例えば、以下のステップによって形成されうる。最初に、DRAMチップ140(上部のチップ)上に元々形成されている金属バンプまたはピラー27を、例えば、図25Sまたは26Jに示されているように、ポリマー層98内の開口部980によって露出されているパターン形成回路層801の複数の接点801aであってよい、複数のDRAMチップ140(底部のチップ)に最終的に切断される、ウェハのオーバーパッシベーションスキーム102の複数の接点上に接合することができる。次に、フラッシュメモリチップ150(底部のチップ)上に元々形成されている金属バンプまたはピラー27を、例えば、図25Sまたは26Jに示されているように、ポリマー層98内の開口部980によって露出されているパターン形成回路層801の複数の接点801aであってよい、DRAMチップ140(上部のチップ)のオーバーパッシベーションスキーム102の複数の接点上に接合することができる。次に、フラッシュメモリチップ150(上部のチップ)上に元々形成されている金属バンプまたはピラー27を、例えば、図25Sまたは26Jに示されているように、ポリマー層98内の開口部980によって露出されているパターン形成回路層801の複数の接点801aであってよい、フラッシュメモリチップ150(底部のチップ)のオーバーパッシベーションスキーム102の複数の接点上に接合することができる。次に、ASICチップ115を、例えば、図25Sまたは26Jに示されているように、ポリマー層98内の開口部980によって露出されているパターン形成回路層801の複数の接点801aであってよい、フラッシュメモリチップ150(上部のチップ)のオーバーパッシベーションスキーム102の複数の接点上に、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダペーストをフラッシュメモリチップ150(上部のチップ)のオーバーパッシベーションスキーム102の接点上にスクリーン印刷し、次に、ASICチップ115上に予成形されている、10から300マイクロメートルまでの範囲の厚さを有する、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金などのスズ含有バンプまたは10から100マイクロメートルまでの範囲の厚さを有する銅ポストなどの複数の金属バンプをハンダペースト上に載せ、次いで、ハンダペーストのリフローまたは加熱を行って固体ハンダボール111fを形成しASICチップ115を上部のフラッシュメモリチップ150の接点に接合することによって、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含有する複数のハンダボールにより接合することができる。次に、ウェハを切断して、2つのDRAMチップ140、2つのフラッシュメモリチップ150、およびASICチップ115を含む複数の積層ユニットに分離することができる。次に、分離されている積層ユニットのうちの1つの中のDRAMチップ140(底部のチップ)上に元々形成されている金属バンプまたはピラー27をBGA基板113上に設けることができる。次に、成形コンパウンド116が、BGA基板113の上部側上に形成され、2つのDRAMチップ140、2つのフラッシュメモリチップ150、およびASCIチップ115を封入し、これは、底部のDRAMチップ140とBGA基板113との間の間隙内に、また上部のDRAMチップ140と底部のDRAMチップ140との間の間隙内に、また底部のフラッシュメモリチップ150と上部のDRAMチップ140との間の間隙内に、また上部のフラッシュメモリチップ150と底部のフラッシュメモリチップ150との間の間隙内に、またASICチップ115と上部のフラッシュメモリチップ150との間の間隙内に流れ込み、金属バンプまたはピラー27およびハンダボール111fを取り囲むことができる。次に、ハンダボール111cが、BGA基板113の底部側に形成されうる。
積層ユニット136は、ダイナミックランダムアクセスメモリ(DRAM)チップまたはスタティックランダムアクセスメモリ(SRAM)チップなどのメモリチップ100a、メモリチップ100a上のチップ101b、メモリチップ100a上のスーパーディスクリートキャパシタ94、およびメモリチップ100a上のスーパーディスクリートインダクタ95を含む。
チップ101bは、x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ARM、Strong ARM、またはMIPなどの非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ベースバンドチップ、グラフィックスプロセッシングユニット(GPU)チップ、デジタル信号処理(DSP)チップ、全地球測位システム(GPS)チップ、Bluetoothチップ、無線ローカルエリアネットワーク(WLAN)チップ、ベースバンド回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックを含まない、ベースバンド回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、無線ローカルエリアネットワーク(WLAN)回路ブロックを含まない、ベースバンド回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、ベースバンド回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、ベースバンド回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、またはグラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)とすることができる。あるいは、チップ101bは、中央演算処理装置(CPU)回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、Bluetooth回路ブロック、全地球測位システム(GPS)回路ブロック、および/または無線ローカルエリアネットワーク(WLAN)回路ブロックを含むチップとすることができる。チップ101b、グラフィックスプロセッシングユニット(GPU)チップ、またはチップ101b内のグラフィックスプロセッシングユニット(GPU)回路ブロックは、2Dまたは3Dビデオディスプレイ用に設計することができ、BGA基板110およびハンダボール111aを通して、3Dテレビ(3D TV)などの3Dビデオディスプレイ、または3Dビデオゲーム機に接続することができる。
メモリチップ100aは、前記の薄化シリコン基板1、IC構造6a、前記のパッシベーション層5、前記のオーバーパッシベーションスキーム102、複数のシリコン貫通ビア11、および金属バンプまたはピラー27を含む前記の底部スキーム103の組み合わせを含み、この組み合わせは、図25S、25T、26J、26K、27T、28I、30L、31I、32T、33W、33Y、および34Gのうちの1つで参照することができ、これらの図に示されているように、薄化シリコン基板1とパッシベーション層5との間あるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。図36Cにおいて、メモリチップ100aに関して、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側のパッシベーション層5上に形成され、底部スキーム103が、薄化シリコン基板1の裏面1aに形成される。メモリチップ100aに関して、図25S、25T、26J、26K、27T、28I、30L、31I、32T、33W、33Y、または34Gの前記のビア11a、11b、11c、11d、11e、および/または11fを構成するシリコン貫通ビア11が、薄化シリコン基板1内に形成され、図36Cに例示されているシリコン貫通ビア11の詳細は、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eの詳細として参照することができ、図36Cに示されているようなシリコン貫通ビア11を形成するプロセスは、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。メモリチップ100aに関して、金属バンプまたはピラー27のうちの隣接する2つの間のピッチは、10から100マイクロメートルまでの範囲など、10マイクロメートルを超える大きさとしてよい。メモリチップ100aに関して、底部スキーム103の金属層は、シリコン貫通ビア11内のバルク金属層9を通してIC構造6aの細線スキーム6に、また金属バンプもしくはピラー27を通してBGA基板110に接続することができ、オーバーパッシベーションスキーム102の金属層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。
積層ユニット136を、メモリチップ100aの底部スキーム103の金属バンプまたはピラー27を通してBGA基板117上に構成することができ、積層ユニット136のメモリチップ100aをBGA基板110上に設け、金属バンプまたはピラー27を通してBGA基板110に接続することができる。例えば、積層ユニット136のメモリチップ100aをBGA基板110の上部側上に実装するためのプロセスは、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダペーストをBGA基板110の複数の接点上にスクリーン印刷し、次に、メモリチップ100a上に予成形された金属バンプまたはピラー27をハンダペースト上に載せ、次いで、ハンダペーストのリフローまたは加熱を行って固体ハンダを形成し金属バンプまたはピラー27をBGA基板110の接点に接合することによって実行されうる。ポリマーなどのアンダーフィル107bをメモリチップ100aとBGA基板110の上部側との間の間隙内に充填し、金属バンプまたはピラー27を取り囲む。
スーパーディスクリートキャパシタ94およびスーパーディスクリートインダクタ95をメモリチップ100aのオーバーパッシベーションスキーム102内の前記のパターン形成回路層上に設けて、ビスマス、インジウム、スズ銀合金、スズ銀銅合金、またはスズ鉛合金を含む複数のハンダボール111eを通してメモリチップ100aのオーバーパッシベーションスキーム102内の前記のパターン形成回路層に接続することができる。例えば、ディスクリートキャパシタ94およびディスクリートインダクタ95をメモリチップ100aのオーバーパッシベーションスキーム102上に実装するためのプロセスは、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含有するハンダペーストを、メモリチップ100aの、例えば図25Sまたは26Jに示されている、オーバーパッシベーションスキーム102内のパターン形成回路層801の、ポリマー層98内の開口部980によって露出される、複数の接点上にスクリーン印刷し、次いで、ディスクリートキャパシタ94およびディスクリートインダクタ95をハンダペースト上に載せ、次いで、ハンダペーストのリフローまたは加熱を行って固体ハンダボール111eを形成しディスクリートキャパシタ94およびディスクリートインダクタ95をメモリチップ100aに接合することによって実行されうる。
チップ101bは、前記のシリコン基板1、IC構造6a、前記のパッシベーション層5、および前記のオーバーパッシベーションスキーム102の組み合わせを含み、この組み合わせは、図1B〜1D、3B〜3D、5B、5K、5S、5U〜5Z、7B〜7D、8A〜8F、10A〜10I、12B〜12D、14B〜14D、15H、15K〜15M、16L、16M、17J、18I、19H、20、および23Kのうちの1つとして参照することができ、これらの図に示されているように、シリコン基板1とパッシベーション層5との間にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43および44、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。チップ101bに関して、オーバーパッシベーションスキーム102のパターン形成回路層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に、またメモリチップ100aもしくはチップ101b上に予成形される金属バンプ89を通してメモリチップ100aのオーバーパッシベーションスキーム102のパターン形成回路層に接続することができる。
チップ101bを、メモリチップ100a上に設け、チップ101bまたはメモリチップ100a上に予成形された金属バンプ89を通してメモリチップ100aに接続することができる。例えば、チップ101bをメモリチップ100a上に実装するためのプロセスは、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含有するハンダペーストを、メモリチップ100aの、例えば図25Sまたは26Jに示されている、オーバーパッシベーションスキーム102内のパターン形成回路層801の、ポリマー層98内の開口部980によって露出される、複数の接点上にスクリーン印刷し、次いで、チップ101b上に予成形されている、例えば図10A、10B、10C、10D、10E、または15Mに示されている金属バンプ89をハンダペースト上に載せ、次いで、ハンダペーストのリフローまたは加熱を行って固体ハンダボールを形成しチップ101bをメモリチップ100aに接合することによって実行されうる。あるいは、チップ101bをメモリチップ100a上に実装するためのプロセスは、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含有するハンダペーストを、パターン形成回路層801、802、もしくは803、またはチップ101bのオーバーパッシベーションスキーム102内の金属トレース81、81P、82、83、83’、83r、または85の最上位ポリマー層内の開口部によって適宜露出される、複数の接点上にスクリーン印刷し、次いで、メモリチップ100a上に予成形されている、例えば図25T、26K、27T、28I、30L、31I,32T、33W、33Y、または34Gに示されている金属バンプ89をハンダペースト上に載せ、次いで、ハンダペーストのリフローまたは加熱を行って固体ハンダボールを形成しチップ101bをメモリチップ100aに接合することによって実行されうる。ポリマーなどのアンダーフィル106をメモリチップ100aとチップ101bとの間の間隙内に充填し、メモリチップ100aまたはチップ101b上に予成形されている金属バンプ89を封止することができる。
さらに、低電力消費設計のために、ワイドビット/スモールドライバインターフェイス回路109をメモリチップ100aとチップ101bとの間に設けることができる。チップ101bは、メモリチップ100aに接続されている複数のI/Oパッドを有し、I/Oパッドのそれぞれは、ESD保護回路に接続されないか、またはより小さなESD保護回路とチップ101b内のドライバまたはレシーバに接続され、ドライバは、0.01から10pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の出力キャパシタンスを有し、レシーバは、0.01から20pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の入力キャパシタンスを有する。メモリチップ100aは、チップ101bに接続されている複数のI/Oパッドを有し、I/Oパッドのそれぞれは、ESD保護回路に接続されないか、またはより小さなESD保護回路とチップ100a内のドライバまたはレシーバに接続され、ドライバは、0.01から10pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の出力キャパシタンスを有し、レシーバは、0.01から20pFまでの範囲、好ましくは0.1から10pFまでの範囲、好ましくは0.1から5pFまでの範囲、好ましくは0.1から3pFまでの範囲、好ましくは0.1から2pFまでの範囲、好ましくは0.1から1pFまでの範囲、好ましくは0.01から1pFまでの範囲の入力キャパシタンスを有する。チップ101bとメモリチップ100aとの間のデータ幅は、27、28、29、210、または211など、27より大きいものとしてよい。
さらに、低電力消費設計のために、レギュレータなどのグリーン電源回路を備えることができ、スーパーディスクリートキャパシタ94およびスーパーディスクリートインダクタ95がハンダボール111eを使用してメモリチップ100a上に設けられている。
積層ユニット136は、例えば、好適な1つまたは複数のプロセスによって、例えば、複数のチップ101b上に元々形成されている金属バンプ89を、例えば図25Sまたは26Jに示されている、複数のメモリチップ100aに最終的に切断されるウェハ10のオーバーパッシベーションスキーム102内のパターン形成回路層801の、ポリマー層98内の開口部980によって適宜露出される、複数の接点上に接合し、次に、アンダーフィル106をウェハ10と複数のチップ101bとの間の間隙内に流し込み、金属バンプ89を取り囲み、次に、表面実装技術(SMT)を使用しハンダボール111eを通して、複数のディスクリートキャパシタ94および複数のディスクリートインダクタ95を、例えば図25Sまたは26Jに示されている、ウェハ10のオーバーパッシベーションスキーム102内のパターン形成回路層801の、ポリマー層98内の開口部980によって露出される、接点上に接合し、次いで、ウェハ10を切断して、チップ100aおよび101b、ディスクリートキャパシタ94、およびディスクリートインダクタ95を備える複数の積層ユニット136に分離することによって形成されうる。
図36Dを参照すると、チップパッケージモジュール118cは、ボールグリッドアレイ(BGA)基板110、BGA基板110の上部側上の図36Bに例示されているチップパッケージ57a、BGA基板110の上部側上の図36Cに例示されているチップパッケージ84a、BGA基板110の上部側の上にあるディスクリートキャパシタ112、ならびにBGA基板110の底部側上の複数のハンダボール111aを含むことがわかる。ディスクリートキャパシタ112をBGA基板110上に設け、複数のハンダボール111dを通してBGA基板110に接続することができる。チップパッケージモジュール118cのBGA基板110を、プリント回路基板、金属基板、ガラス基板、またはセラミック基板などの基板上に設け、ハンダボール111aを通してその基板に接続することができる。図36Dに例示されているチップパッケージ57aの詳細は、図36Bに例示されているようなチップパッケージ57aの詳細として参照することができ、図36Dに示されているようなチップパッケージ57aを形成するプロセスは、図36Bに例示されているようなチップパッケージ57aを形成するプロセスとして参照することができる。図36Dに例示されているチップパッケージ84aの詳細は、図36Cに例示されているようなチップパッケージ84aの詳細として参照することができ、図36Dに示されているようなチップパッケージ84aを形成するプロセスは、図36Cに例示されているようなチップパッケージ84aを形成するプロセスとして参照することができる。チップパッケージ57aのBGA基板117を、BGA基板110上に設け、ハンダボール111bを通してBGA基板110に接続することができる。チップパッケージ84aのBGA基板113を、BGA基板110上に設け、ハンダボール111cを通してBGA基板110に接続することができる。ハンダボール111a、111b、111c、および111dは、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むものとしてよい。図36Dに示されている直線5は、薄化シリコン基板1のところの前記のパッシベーション層を示し、図36Dに示されている直線1aは、薄化シリコン基板1の前記の裏面を示している。
図37Aを参照すると、モジュール137は、基板301と、基板301の上部側上に設けられているワイヤボンディング接合積層メモリボールグリッドアレイ(BGA)パッケージ138と、基板301の上部側上に設けられている積層ダイナミックランダムアクセスメモリ(DRAM)ボールグリッドアレイ(BGA)パッケージ139と、基板301の上部側上に設けられたプロセッサユニット303と、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダボール111gを通して基板301の裏面に設けられたディスクリートデバイス305と、基板301に接続されている2つのコネクタ307および309と、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダボール111mを通して基板301の裏面に設けられた無線周波(RF)モジュール325と、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダボールを通して基板301の上部側および/または裏面に設けられた、Bluetoothチップまたは無線通信デバイスなどの、複数の周辺または通信デバイス(図示せず)と、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダボールを通して基板301の上部側および/または裏面に設けられた、パワーマネージメントチップなどの、複数の電源デバイス(図示せず)とを収容することがわかる。
基板301は、BTエポキシなどの、エポキシ系ポリマーを含んでいてもよい。ディスクリートデバイス305は、ディスクリートキャパシタまたはディスクリートインダクタとすることができ、ハンダボール111gを通して基板301に接続することができる。あるいは、ディスクリートデバイス305は、ハンダボール111gを通して基板301の上部側上に設けることができる。ワイヤボンディング接合積層メモリBGAパッケージ138は、大容量記憶装置として使用され、積層DRAM BGAパッケージ139は、メインメモリとして使用される。
RFモジュール325は、ハンダボール111mを通して基板301に接続することができる。隣り合わせに並べたマルチチップモジュール(MCM)フォーマットのRFモジュール325は、ハンダボール111mを通して基板301の裏面に設けられているボールグリッドアレイ(BGA)基板327と、接着剤を使用してBGA基板327上に設けられている無線周波(RF)チップ328aと、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111nを通してBGA基板327上に設けられている無線周波(RF)モジュール328bと、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111oを通してBGA基板327上に設けられている2つのディスクリートコンポーネント329aおよび329bとを備えることができる。RFチップ328aは、金線または銅線などの複数のワイヤボンディング接合ワイヤ119cをRFチップ328aに、またBGA基板327に接合することによってBGA基板327に接続することができる。RFチップ328bは、ハンダボール111nを通してBGA基板327に接続することができる。ディスクリートキャパシタ、ディスクリートインダクタ、またはディスクリート抵抗器などのディスクリートコンポーネント329aは、ハンダボール111oを通してBGA基板327に接続することができる。ディスクリートキャパシタ、ディスクリートインダクタ、またはディスクリート抵抗器などのディスクリートコンポーネント329bは、ハンダボール111oを通してBGA基板327に接続することができる。RFチップ328aおよび328bは両方とも、無線周波(RF)電力増幅器、無線周波(RF)トランシーバ、無線周波(RF)ドライバ増幅器、バラン回路、弾性表面波(SAW)フィルタ、フィルタ、カプラー、および/またはアイソレータを備えることができる。
RFモジュール325は、3G CDMA(符号分割多元接続)またはTDMA GSM(登録商標)(時分割多元接続モバイル通信用グローバルシステム)などの無線LAN(WLAN)通信および/または携帯電話通信用のRF(音声および/またはデータ)信号を送受信するために使用される。
電源用に、または外部信号用にコネクタ307をプリント回路基板(PCB)などの基板上の要素の外部回路に接続することができる。コネクタ307は、USB 2.0またはUSB 3.0などのユニバーサルシリアルバス(USB)、高品位マルチメディアインターフェイス(HDMI)、DisplayPort、またはIEEE 1394とすることができる。あるいは、コネクタ307は、Light Peakなどの光コネクタとすることができる。コネクタ307は、充電器、3Dビデオゲーム機、または3Dテレビ(3D TV)などの3Dビデオディスプレイに接続することができる。コネクタ309は、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、または3Dディスプレイなどのディスプレイに接続することができる。
ワイヤボンディング接合積層メモリBGAパッケージ138は、ボールグリッドアレイ(BGA)基板113aと、BGA基板113aの上部側の上にある複数のメモリチップ104a、104b、104c、および104dと、BGA基板113aの底部側上のビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111hと、メモリチップ104a、104b、104c、および104dのうちの1つをメモリチップ104a、104b、104c、および104dのうちの他の1つに、またはBGA基板113aに接続する金線、銅線、もしくはアルミニウム線などの複数のワイヤボンディング接合ワイヤ119bと、ワイヤボンディング接合ワイヤ119bおよびメモリチップ104a、104b、104c、および104dを封入する、BGA基板113aの上部側上に、ワイヤボンディング接合ワイヤ119b上に、およびメモリチップ104a、104b、104c、および104d上にある、ポリマーまたはエポキシ系材料などの成形コンパウンド116とを収容する。ワイヤボンディング接合積層メモリBGAパッケージ138を、基板301上に設け、BGA基板113aの底部側上に形成されたハンダボール111hを通して基板301に接続することができる。BGA基板113aは、BTエポキシなどの、エポキシ系ポリマーを含んでいてもよい。メモリチップ104a、104b、104c、および104dのそれぞれは、フラッシュメモリチップまたはダイナミックランダムアクセスメモリ(DRAM)チップとすることができる。メモリチップ104a、104b、104c、および104dのそれぞれは、512Kビットから256Gビットまでの範囲など、512Kビットより大きいメモリサイズを有していてもよい。
メモリチップ104a、104b、104c、および104dは、それぞれ、前記のシリコン基板1、IC構造6a、前記のパッシベーション層5、および前記のオーバーパッシベーションスキーム102の組み合わせを含み、この組み合わせは、図1B〜1D、3B〜3D、5B、5K、5S、5U〜5Z、7B〜7D、8A〜8F、10A〜10I、12B〜12D、14B〜14D、15H、15K〜15M、16L、16M、17J、18I、19H、20、および23Kのうちの1つとして参照することができ、これらの図に示されているように、シリコン基板1の上にあり、パッシベーション層5の下にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43および44、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。メモリチップ104a、104b、104c、および104dに関して、オーバーパッシベーションスキーム102のパターン形成回路層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。図37Aに示されている直線5は、前記のパッシベーション層を示している。
メモリチップ104aは、1から50マイクロメートルまでの範囲の厚さを持つ、ポリマーまたはエポキシ系材料などの接着剤の薄膜135を使用してメモリチップ104aのシリコン基板1をBGA基板113aの上部側に接着することによってBGA基板113aに実装することができる。メモリチップ104bは、接着剤の別の薄膜135を使用してメモリチップ104bのシリコン基板1をメモリチップ104aのオーバーパッシベーションスキーム102に接着することによってメモリチップ104a上に実装することができる。メモリチップ104cは、接着剤の別の薄膜135を使用してメモリチップ104cのシリコン基板1をメモリチップ104bのオーバーパッシベーションスキーム102に接着することによってメモリチップ104b上に実装することができる。メモリチップ104dは、接着剤の別の薄膜135を使用してメモリチップ104dのシリコン基板1をメモリチップ104cのオーバーパッシベーションスキーム102に接着することによってメモリチップ104c上に実装することができる。
メモリチップ104bは、メモリチップ104aの上に被さる右側部分を有し、メモリチップ104aは、メモリチップ104bの真下にない左側部分を有する。メモリチップ104bは、メモリチップ104aの側壁からリセスされている左側壁を有する。メモリチップ104cは、メモリチップ104bの上に被さる右側部分を有し、メモリチップ104bは、メモリチップ104cの真下にない左側部分を有する。メモリチップ104cは、メモリチップ104bの側壁からリセスされている左側壁を有する。メモリチップ104dは、メモリチップ104cの上に被さる右側部分を有し、メモリチップ104cは、メモリチップ104dの真下にない左側部分を有する。メモリチップ104dは、メモリチップ104cの側壁からリセスされている左側壁を有する。
メモリチップ104a、104b、104c、および104dは、ワイヤボンディング接合ワイヤ119bを通してBGA基板113aに、またワイヤボンディング接合ワイヤ119b、BGA基板113a、およびハンダボール111hを通して基板301に、接続されうる。
ワイヤボンディング接合積層メモリBGAパッケージ138は、4つのメモリチップ104a、104b、104c、および104dを収容する。あるいは、ワイヤボンディング接合積層メモリBGAパッケージ138は、4つより多いメモリチップを収容することもできる。例えば、他の4つのメモリチップを4つのメモリチップ104a、104b、104c、および104dの上に積層し、他の4つのメモリチップを互いに、4つのメモリチップ104a、104b、104c、および104dに、および/またはBGA基板113aに、ワイヤボンディング接合ワイヤ119bなどのワイヤボンディング接合ワイヤを通して接続することができる。したがって、8つの積層メモリチップをBGA基板113a上に設けることができる。あるいは、他の8つの積層メモリチップを8つの積層メモリチップの上に積層し、他の8つのメモリチップを互いに、8つのメモリチップに、またはBGA基板113aに、ワイヤボンディング接合ワイヤ119bなどのワイヤボンディング接合ワイヤを通して接続することができる。したがって、16個の積層メモリチップをBGA基板113a上に設けることができる。上述のように、4から16個までの範囲の積層メモリチップなど、複数の積層メモリチップをBGA基板113aの上に設けることができる。
ワイヤボンディング接合ワイヤ119bのうちの1つを、例えば、オーバーパッシベーションスキーム102内のパターン形成回路層801のバルク金属層8012上に、オーバーパッシベーションスキーム102内のパターン形成回路層802のバルク金属層8022上に、オーバーパッシベーションスキーム102内のパターン形成回路層803のバルク金属層8032上に、オーバーパッシベーションスキーム102内の金属トレース81上に、オーバーパッシベーションスキーム102内の金属トレース81P上に、オーバーパッシベーションスキーム102内の金属トレース82上に、オーバーパッシベーションスキーム102内の金属トレース83上に、オーバーパッシベーションスキーム102内の金属トレース83’上に、オーバーパッシベーションスキーム102内の金属トレース83r上に、またはオーバーパッシベーションスキーム102内の金属トレース85上に接合して、メモリチップ104a、104b、104c、および104dのうちの1つをメモリチップ104a、104b、104c、および104dのうちの他の1つに、またはBGA基板113aに接続することができる。
積層DRAM BGAパッケージ139は、ボールグリッドアレイ(BGA)基板113bと、BGA基板113bの上部側の上にある複数のダイナミックランダムアクセスメモリ(DRAM)チップ140、140a、140b、および140cと、BGA基板113bの底部側上のビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111kと、DRAMチップ140、140a、140b、および140cを封止する、BGA基板113bの上部側上の、またDRAMチップ140c上の、ポリマーまたはエポキシ系材料などの、成形コンパウンド116aとを収容する。積層DRAM BGAパッケージ139を、基板301上に設け、ハンダボール111kを通して基板301に接続することができる。BGA基板113bは、BTエポキシなどの、エポキシ系ポリマーを含んでいてもよい。DRAMチップ140、140a、140b、および140cのそれぞれは、512Kビットから256Gビットまでの範囲など、512Kビットより大きいメモリサイズを有していてもよい。
DRAMチップ140は、前記の薄化シリコン基板1、IC構造6a、前記のパッシベーション層5、前記のオーバーパッシベーションスキーム102、複数のシリコン貫通ビア11、および金属バンプまたはピラー27を含む前記の底部スキーム103の組み合わせを含み、この組み合わせは、図25S、25T、26J、26K、27T、28I、30L、31I、32T、33W、33Y、および34Gのうちの1つで参照することができ、これらの図に示されているように、薄化シリコン基板1とパッシベーション層5との間にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。図37Aにおいて、DRAMチップ140に関して、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側のパッシベーション層5上に形成され、底部スキーム103が、薄化シリコン基板1の裏面1aに形成される。DRAMチップ140に関して、図25S、25T、26J、26K、27T、28I、30L、31I、32T、33W、33Y、または34Gの前記のビア11a、11b、11c、11d、11e、および/または11fを構成するシリコン貫通ビア11が、薄化シリコン基板1内に形成され、図37Aに例示されているシリコン貫通ビア11の詳細は、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eの詳細として参照することができ、図37Aに示されているようなシリコン貫通ビア11を形成するプロセスは、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。DRAMチップ140に関して、底部スキーム103の金属層は、シリコン貫通ビア11内のバルク金属層9を通してIC構造6aの細線スキーム6に接続することができ、オーバーパッシベーションスキーム102のパターン形成回路層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。
DRAMチップ140を、BGA基板113b上に設け、DRAMチップ140の金属バンプまたはピラー27を通してBGA基板113bに接続することができ、またポリマー、ポリイミド、ベンゾシクロブテン、ポリベンゾオキサゾール、アンダーフィルまたは酸化ケイ素などの誘電体の層401をDRAMチップ140とBGA基板113bとの間の間隙内に適宜形成し、金属バンプまたはピラー27を封止することができる。例えば、DRAMチップ140をBGA基板113b上に実装するためのプロセスは、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダペーストをBGA基板113bの複数の接点上にスクリーン印刷し、次いで、DRAMチップ140上に予成形された金属バンプまたはピラー27をハンダペースト上に載せ、次いで、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダペーストのリフローまたは加熱を行って固体ハンダボールを形成し、金属バンプまたはピラー27をBGA基板113bの接点に接合することによって、実行されうる。
DRAMチップ140aは、前記の薄化シリコン基板1、IC構造6a、前記のパッシベーション層5、金属バンプ89を含む前記のオーバーパッシベーションスキーム102、複数のシリコン貫通ビア11、および金属バンプもしくはピラー27を含む前記の底部スキーム103の組み合わせを含み、この組み合わせは、図25T、26K、27T、28I、30L、31I、32T、33W、33Y、および34Gのうちの1つで参照することができ、これらの図に示されているように、薄化シリコン基板1とパッシベーション層5との間にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。図37Aにおいて、DRAMチップ140aに関して、オーバーパッシベーションスキーム102は、薄化シリコン基板1の活性側のパッシベーション層5上に形成され、底部スキーム103が、薄化シリコン基板1の裏面1aに形成される。DRAMチップ140aに関して、図25T、26K、27T、28I、30L、31I、32T、33W、33Y、または34Gの前記のビア11a、11b、11c、11d、11e、および/または11fを構成するシリコン貫通ビア11が、薄化シリコン基板1内に形成され、図37Aに例示されているシリコン貫通ビア11の詳細は、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eの詳細として参照することができ、図37Aに示されているようなシリコン貫通ビア11を形成するプロセスは、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。DRAMチップ140aに関して、底部スキーム103の金属層は、シリコン貫通ビア11内のバルク金属層9を通してIC構造6aの細線スキーム6に接続することができ、オーバーパッシベーションスキーム102のパターン形成回路層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。
DRAMチップ140aを、DRAMチップ140上に設け、DRAMチップ140aの金属バンプまたはピラー27を通してDRAMチップ140に接続することができ、また誘電体の他の層401をDRAMチップ140と140aとの間の間隙内に適宜形成して、金属バンプまたはピラー27を封止することができる。例えば、DRAMチップ140aをDRAMチップ140上に実装するためのプロセスは、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含有するハンダペーストを、DRAMチップ140のオーバーパッシベーションスキーム102内のパターン形成回路層801の、最上位ポリマー層内の開口部によって適宜露出される、複数の接点上にスクリーン印刷し、次いで、DRAMチップ140a上に予成形された金属バンプまたはピラー27をハンダペースト上に載せ、次いで、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダペーストのリフローまたは加熱を行って固体ハンダボールを形成し、金属バンプまたはピラー27をDRAMチップ140のオーバーパッシベーションスキーム102内のパターン形成回路層の接点に接合することによって、実行されうる。あるいは、DRAMチップ140aをDRAMチップ140上に実装するためのプロセスは、DRAMチップ140a上に予成形されている金属バンプまたはピラー27を、DRAMチップ140上に予成形されている、例えば図25T、26K、27T、28I、30L、31I,32T、33W、33Y、または34Gに示されている、金属バンプ89と接合することによって実行されうる。
DRAMチップ140bは、前記の薄化シリコン基板1、IC構造6a、前記のパッシベーション層5、前記の金属パッド、バンプ、またはトレース34a、複数のシリコン貫通ビア11、および金属バンプまたはピラー27を含む前記の底部スキーム103の組み合わせを含み、この組み合わせは、図25N、25R、26I、27S、28H、30N、31J、32U、および33Uのうちの1つで参照することができ、これらの図に示されているように、薄化シリコン基板1とパッシベーション層5との間にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。DRAMチップ140bに関しては、金属パッド、バンプ、またはトレース34aが薄化シリコン基板1の活性側に形成され、底部スキーム103が薄化シリコン基板1の裏面1aに形成される。DRAMチップ140bに関して、図25N、25R、26I、27S、28H、30N、31J、32U、または33Uの前記のビア11a、11b、11c、11d、11e、および/または11fを構成するシリコン貫通ビア11が、薄化シリコン基板1内に形成され、図37Aに例示されているシリコン貫通ビア11の詳細は、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eの詳細として参照することができ、図37Aに示されているようなシリコン貫通ビア11を形成するプロセスは、図24B〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eを形成するプロセスとして参照することができる。DRAMチップ140bに関して、底部スキーム103の金属層は、シリコン貫通ビア11内のバルク金属層9を通してIC構造6aの細線スキーム6に接続することができ、金属パッド、バンプ、またはトレース34aは、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。
DRAMチップ140bは、DRAMチップ140a上に実装され、DRAMチップ140aの金属バンプまたはピラー27を通して、またDRAMチップ140bの金属パッド、バンプ、またはトレース34aを通してDRAMチップ140aに、金属パッド、バンプ、もしくはトレース34aを金属バンプまたはピラー27に接合することによって、接続されうる。誘電体の他の層401は、DRAMチップ140aと140bとの間の間隙内に適宜形成して、金属バンプまたはピラー27および金属パッド、バンプ、またはトレース34aを封止することができる。
DRAMチップ140cは、前記のシリコン基板1、IC構造6a、前記のパッシベーション層5、および前記のオーバーパッシベーションスキーム102の組み合わせを含み、この組み合わせは、図1B〜1D、3B〜3D、5B、5K、5S、5U〜5Z、7B〜7D、8A〜8F、10A〜10I、12B〜12D、14B〜14D、15H、15K〜15M、16L、16M、17J、18I、19H、20、および23Kのうちの1つとして参照することができ、これらの図に示されているように、シリコン基板1とパッシベーション層5との間にあるIC構造6aは、前記の内部回路21、22、23、および24、前記の電圧レギュレータまたはコンバータ回路41、前記のオフチップバッファ42、前記のESD回路43および44、前記の誘電体層30、前記のビア30’、細線金属層60および細線ビアプラグ60’を含む前記の細線スキーム6などを含むものとしてよい。図37Aにおいて、DRAMチップ140cに関して、オーバーパッシベーションスキーム102は、シリコン基板1の活性側のパッシベーション層5の下に形成される。DRAMチップ140cに関して、オーバーパッシベーションスキーム102のパターン形成回路層は、パッシベーション層5内の開口部を通してIC構造6aの細線スキーム6に接続することができる。
DRAMチップ140cを、DRAMチップ140b上に設け、DRAMチップ140bの金属バンプまたはピラー27を通してDRAMチップ140bに接続することができ、また誘電体の他の層401をDRAMチップ140bと140cとの間の間隙内に適宜形成して、金属バンプまたはピラー27を封止することができる。例えば、DRAMチップ140cをDRAMチップ140b上に実装するためのプロセスは、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダペーストをDRAMチップ140cのオーバーパッシベーションスキーム102内の複数の接点上にスクリーン印刷し、次いで、DRAMチップ140b上に予成形された金属バンプまたはピラー27をハンダペーストと接合し、次いで、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含むハンダペーストのリフローまたは加熱を行って固体ハンダボールを形成し、金属バンプまたはピラー27をDRAMチップ140cの接点に接合することによって、実行されうる。あるいは、DRAMチップ140cをDRAMチップ140b上に実装するためのプロセスは、DRAMチップ140cのオーバーパッシベーションスキーム102によって構成される、図8A〜8F、10A〜10E、15L、15M、16M、および20で参照できる、金属バンプ89をDRAMチップ140bの金属バンプまたはピラー27上に接合することによって、実行されうる。
DRAMチップ140cは、DRAMチップ140b、140a、および140によって構成されるシリコン貫通ビア11内のバルク金属層9を通して、またDRAMチップ140b、140a、および140によって構成される金属バンプまたはピラー27を通してBGA基板113bに接続することができる。DRAMチップ140bは、DRAMチップ140aおよび140によって構成されるシリコン貫通ビア11内のバルク金属層9を通して、またDRAMチップ140aおよび140によって構成される金属バンプまたはピラー27を通してBGA基板113bに接続することができる。DRAMチップ140aは、DRAMチップ140によって構成されるシリコン貫通ビア11内のバルク金属層9を通して、またDRAMチップ140によって構成される金属バンプまたはピラー27を通してBGA基板113bに接続することができる。
積層DRAM BGAパッケージ139は、4つのDRAMチップ140、140a、140b、および140cを収容する。あるいは、積層DRAM BGAパッケージ139は、4つより多いDRAMチップを収容することもできる。例えば、他の4つのDRAMチップを4つのDRAMチップ140、140a、140b、および140cの上に積層することができ、他の4つのDRAMチップを、互いに、またDRAMチップ140、140a、140b、および140cに、またBGA基板113bに、隣接する2つのDRAMチップの間の、前記の金属バンプまたはピラー27、前記の金属バンプ89、5から50マイクロメートルまでの範囲もしくは10から100マイクロメートルまでの範囲の厚さを有する銅バンプ、5から50マイクロメートルまでの範囲もしくは10から100マイクロメートルまでの範囲の厚さを有するニッケルバンプ、5から30マイクロメートルまでの範囲もしくは10から100マイクロメートルまでの範囲の厚さを有する金バンプ、5から50マイクロメートルまでの範囲もしくは10から200マイクロメートルまでの範囲の厚さを有するハンダバンプ、または下記のマイクロバンプ317などの金属相互接続部を通して、接続することができる。したがって、8つの積層DRAMチップをBGA基板113b上に設けることができる。あるいは、他の8つの積層DRAMチップを8つの積層DRAMチップの上に積層し、他の8つのDRAMチップを、互いに、また8つのDRAMチップに、またBGA基板113bに、隣接する2つのDRAMチップの間の、前記の金属バンプまたはピラー27、前記の金属バンプ89、5から50マイクロメートルまでの範囲もしくは10から100マイクロメートルまでの範囲の厚さを有する銅バンプ、5から50マイクロメートルまでの範囲もしくは10から100マイクロメートルまでの範囲の厚さを有するニッケルバンプ、5から30マイクロメートルまでの範囲もしくは10から100マイクロメートルまでの範囲の厚さを有する金バンプ、5から50マイクロメートルまでの範囲もしくは10から200マイクロメートルまでの範囲の厚さを有するハンダバンプ、または下記のマイクロバンプ317などの金属相互接続部を通して、接続することができる。したがって、16個の積層DRAMチップをBGA基板113b上に設けることができる。上述のように、4から16個までの範囲の積層DRAMチップなど、複数の積層DRAMチップをBGA基板113bの上に設けることができる。
BGA基板113bを、シリコン貫通ビアを備えるシリコン基板と置き換えることができ、DRAMチップ140を、シリコン基板上に設け、DRAMチップ140の金属バンプまたはピラー27を通してシリコン基板に接続することができる。あるいは、BGA基板113bを、貫通ビアを備えるガラス基板と置き換えることができ、DRAMチップ140を、ガラス基板上に設け、DRAMチップ140の金属バンプまたはピラー27を通してガラス基板に接続することができる。あるいは、BGA基板113bを、絶縁体を備える金属基板と置き換えることができ、DRAMチップ140を、金属基板上に設け、DRAMチップ140の金属バンプまたはピラー27を通して金属基板に接続することができる。あるいは、BGA基板113bを、セラミック基板と置き換えることができ、DRAMチップ140を、セラミック基板上に設け、DRAMチップ140の金属バンプまたはピラー27を通してセラミック基板に接続することができる。前記のシリコン基板、ガラス基板、金属基板、またはセラミック基板を、基板301上に設け、ハンダボール111kを通して基板301に接続することができる。
プロセッサユニット303は、キャッシュメモリチップ311と、キャッシュメモリチップ311上のチップ313と、チップ313上のキャッシュメモリチップ321と、キャッシュメモリチップ321上のヒートスプレッダまたはヒートシンクとを収容することができる。キャッシュメモリチップ311は、複数の金属バンプまたはピラー27を備える底部スキーム103を備えることができ、キャッシュメモリチップ311を基板301上に設け、バンプまたはピラー27を通して基板301に接続することができる。ポリマーなどのアンダーフィル107cをキャッシュメモリチップ311と基板301との間の間隙内に充填することができ、これにより金属バンプまたはピラー27を取り囲む。
チップ313をキャッシュメモリチップ311上に設け、5から100マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する複数のマイクロバンプ317を通してキャッシュメモリチップ311に接続することができる。隣接する2つのマイクロバンプ317の間のピッチは、60マイクロメートルより小さく、好ましくは5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。マイクロバンプ317は、キャッシュメモリチップ311の金属パッド600bとチップ313の金属パッド600cとの間に置くことができ、キャッシュメモリチップ311の金属パッド600bを、マイクロバンプ317を通してチップ313の金属パッド600cに接続することができる。例えば、マイクロバンプ317のそれぞれは、2から20マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さをそれぞれ有する2つのニッケル層と、これら2つのニッケル層の間の、1から30マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するアンチモン、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダとを備えることができる。あるいは、マイクロバンプ317のそれぞれは、2から20マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さをそれぞれ有する2つの銅層と、これら2つの銅層の間の、1から30マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するアンチモン、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダとを備えることができる。あるいは、マイクロバンプ317のそれぞれは、2から20マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さをそれぞれ有する2つの銅層と、これら2つの銅層の間の、2から20マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さをそれぞれ有する2つのニッケル層と、これら2つのニッケル層の間の、1から30マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するアンチモン、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダとを備えることができる。ポリマーなどの、充填剤を含まないアンダーフィル107dが、キャッシュメモリチップ311とチップ313との間の間隙内に充填され、これによりマイクロバンプ317が取り囲まれる。
キャッシュメモリチップ321をチップ313上に設け、5から100マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する複数のマイクロバンプ317aを通してチップ313に接続することができる。隣接する2つのマイクロバンプ317aの間のピッチは、60マイクロメートルより小さく、好ましくは5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。マイクロバンプ317aのそれぞれは、キャッシュメモリチップ321の金属パッド600dとチップ313の底部スキーム103の接点との間に置くことができ、キャッシュメモリチップ321の金属パッド600dを、マイクロバンプ317aを通してチップ313の底部スキーム103の接点に接続することができる。例えば、マイクロバンプ317aのそれぞれは、2から20マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さをそれぞれ有する2つのニッケル層と、これら2つのニッケル層の間の、1から30マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するアンチモン、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダとを備えることができる。あるいは、マイクロバンプ317aのそれぞれは、2から20マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さをそれぞれ有する2つの銅層と、これら2つの銅層の間の、1から30マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するアンチモン、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダとを備えることができる。あるいは、マイクロバンプ317aのそれぞれは、2から20マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さをそれぞれ有する2つの銅層と、これら2つの銅層の間の、2から20マイクロメートルまでの範囲など、2マイクロメートルより大きい厚さをそれぞれ有する2つのニッケル層と、これら2つのニッケル層の間の、1から30マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するアンチモン、ビスマス、インジウム、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダとを備えることができる。ポリマーなどの、充填剤を含まないアンダーフィル107eが、チップ313とキャッシュメモリチップ321との間の間隙内に充填され、これによりマイクロバンプ317aが取り囲まれる。
ヒートスプレッダまたはヒートシンク315は、1から50マイクロメートルまでの範囲の厚さを持つ、ポリマーまたはエポキシ系材料などの接着剤の薄膜319を使用してヒートスプレッダまたはヒートシンク315をキャッシュメモリチップ321に接着することによって、キャッシュメモリチップ321上に実装することができる。
あるいは、図38Aを参照すると、図37Aに示されている基板301を、ボールグリッドアレイ(BGA)基板302と置き換えることができることがわかる。ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111zをBGA基板302の裏面に設けることができる。ハンダボール111zのそれぞれは、ハンダボール111hと111kのそれぞれの幅より大きい幅を有する。図38Aに示されているモジュール137は、図37Aに示されているコネクタ307および309の代わりに外部回路と接続するためにハンダボール111zを伴うように設計されている、つまり、図38Aに示されているモジュール137を、ハンダボール111zを通して、充電器、3Dビデオゲーム機、3Dテレビ(3D TV)などの3Dビデオディスプレイ、またはフラットパネルディスプレイ、液晶ディスプレイ(LCD)、もしくは3Dディスプレイなどのディスプレイに接続することができるということである。図37A内の要素を示す同じ参照番号で示されている図38A内の要素は、図37Aに例示されている要素と同じ材料およびスペックを有する。
図37Bは、モジュール137の別の例を示している。図37Bに示されているモジュール137は図37Aに示されているモジュールに、チップ313上にキャッシュメモリチップ321が設けられていないという点、およびヒートスプレッダまたはヒートシンク315が接着剤の薄膜319を使用してチップ313上に実装されているという点を除いて類似している。図37A内の要素を示す同じ参照番号で示されている図37B内の要素は、図37Aに例示されている要素と同じ材料およびスペックを有する。
あるいは、図38Bを参照すると、図37Bに示されている基板301を、ボールグリッドアレイ(BGA)基板302と置き換えることができることがわかる。ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111zをBGA基板302の裏面に設けることができる。ハンダボール111zのそれぞれは、ハンダボール111hと111kのそれぞれの幅より大きい幅を有する。図38Bに示されているモジュール137は、図37Bに示されているコネクタ307および309の代わりに外部回路と接続するためにハンダボール111zを伴うように設計されている、つまり、図38Bに示されているモジュール137を、ハンダボール111Zを通して、充電器、3Dビデオゲーム機、3Dテレビ(3D TV)などの3Dビデオディスプレイ、またはフラットパネルディスプレイ、液晶ディスプレイ(LCD)、もしくは3Dディスプレイなどのディスプレイに接続することができるということである。図37Aおよび37B内の要素を示す同じ参照番号で示されている図38B内の要素は、図37Aおよび37Bに例示されている要素と同じ材料およびスペックを有する。
図37Cは、モジュール137の別の例を示している。図37Cに示されているモジュール137は図37Bに示されているモジュールに、キャッシュメモリチップ3111が接着剤319aを使用してキャッシュメモリチップ311を基板301の上部側に接着することによって基板301上に実装されるという点、キャッシュメモリチップ311がワイヤボンディングで金線または銅線などの2本のワイヤ119cをキャッシュメモリチップ311の試験金属パッド600sおよび600tに、また基板301に接合することによって基板301に接続されるという点、および成形コンパウンド323が基板301上に形成され、ワイヤボンディング接合ワイヤ119cならびにチップ311、313、および321を封止するという点を除いて、類似している。ヒートスプレッダまたはヒートシンク315は、成形コンパウンド323によって露わにされ、成形コンパウンド323の上面323aと実質的に同一平面上にある表面315aを有する。接着剤319aは、1から50マイクロメートルまでの範囲の厚さを有するポリマーまたはエポキシ系材料とすることができる。成形コンパウンド323も、ポリマーまたはエポキシ系材料とすることができる。図37Aおよび37B内の要素を示す同じ参照番号で示されている図37C内の要素は、図37Aおよび37Bに例示されている要素と同じ材料およびスペックを有する。
あるいは、図38Cを参照すると、図37Cに示されている基板301を、ボールグリッドアレイ(BGA)基板302と置き換えることができることがわかる。ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111zをBGA基板302の裏面に設けることができる。ハンダボール111zのそれぞれは、ハンダボール111hと111kのそれぞれの幅より大きい幅を有する。図38Cに示されているモジュール137は、図37Cに示されているコネクタ307および309の代わりに外部回路と接続するためにハンダボール111zを伴うように設計されている、つまり、図38Cに示されているモジュール137を、ハンダボール111Zを通して、充電器、3Dビデオゲーム機、3Dテレビ(3D TV)などの3Dビデオディスプレイ、またはフラットパネルディスプレイ、液晶ディスプレイ(LCD)、もしくは3Dディスプレイなどのディスプレイに接続することができるということである。図37A、37B、および37C内の要素を示す同じ参照番号で示されている図38C内の要素は、図37A、37B、および37Cに例示されている要素と同じ材料およびスペックを有する。
図37Dは、モジュール137の別の例を示している。図37Dに示されているモジュール137は図37Aに示されているモジュールに、キャッシュメモリチップ311が接着剤319aを使用してキャッシュメモリチップ311を基板301の上部側に接着することによって基板301上に実装されるという点、キャッシュメモリチップ311がワイヤボンディングで金線または銅線などの2本のワイヤ119cをキャッシュメモリチップ311の試験金属パッド600sおよび600tに、また基板301に接合することによって基板301に接続されるという点、および成形コンパウンド323が基板301上に形成され、ワイヤボンディング接合ワイヤ119cならびにチップ311、313、および321を封止するという点を除いて、類似している。ヒートスプレッダまたはヒートシンク315は、成形コンパウンド323によって露わにされ、成形コンパウンド323の上面323aと実質的に同一平面上にある表面315aを有する。接着剤319aは、1から50マイクロメートルまでの範囲の厚さを有するポリマーまたはエポキシ系材料とすることができる。成形コンパウンド323も、ポリマーまたはエポキシ系材料とすることができる。図37A内の要素を示す同じ参照番号で示されている図37D内の要素は、図37Aに例示されている要素と同じ材料およびスペックを有する。
あるいは、図38Dを参照すると、図37Dに示されている基板301を、ボールグリッドアレイ(BGA)基板302と置き換えることができることがわかる。ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111zをBGA基板302の裏面に設けることができる。ハンダボール111zのそれぞれは、ハンダボール111hと111kのそれぞれの幅より大きい幅を有する。図38Dに示されているモジュール137は、図37Dに示されているコネクタ307および309の代わりに外部回路と接続するためにハンダボール111zを伴うように設計されている、つまり、図38Dに示されているモジュール137を、ハンダボール111Zを通して、充電器、3Dビデオゲーム機、3Dテレビ(3D TV)などの3Dビデオディスプレイ、またはフラットパネルディスプレイ、液晶ディスプレイ(LCD)、もしくは3Dディスプレイなどのディスプレイに接続することができるということである。図37Aおよび37D内の要素を示す同じ参照番号で示されている図38D内の要素は、図37Aおよび37Dに例示されている要素と同じ材料およびスペックを有する。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているチップ313は、x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ARM、Strong ARM、またはMIPなどの非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ベースバンドチップ、グラフィックスプロセッシングユニット(GPU)チップ、デジタル信号処理(DSP)チップ、無線ローカルエリアネットワーク(WLAN)チップ、全地球測位システム(GPS)チップ、Bluetoothチップ、ベースバンド回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックを含まない、ベースバンド回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、無線ローカルエリアネットワーク(WLAN)回路ブロックを含まない、ベースバンド回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、ベースバンド回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、ベースバンド回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、またはグラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)とすることができる。あるいは、図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているチップ313は、中央演算処理装置(CPU)回路ブロック、Bluetooth回路ブロック、全地球測位システム(GPS)回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、および/または無線ローカルエリアネットワーク(WLAN)回路ブロックを含むチップとすることができる。チップ313、グラフィックスプロセッシングユニット(GPU)チップ、またはチップ313内のグラフィックスプロセッシングユニット(GPU)回路ブロックは、2Dまたは3Dビデオディスプレイ用に設計することができ、図37A、37B、37C、または37Dに示されているような、マイクロバンプ317、キャッシュメモリチップ311、基板301の金属トレース、およびコネクタ307を通して、または図38A、38B、38C、または38Dに示されているような、マイクロバンプ317、キャッシュメモリチップ311、BGA基板302の金属トレース、およびハンダボール111zを通して、3Dテレビ(3D TV)などの3Dビデオディスプレイ、または3Dビデオゲーム機に接続することができる。
図41を参照すると、図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているRFモジュール325は、図41に示されている無線周波(RF)モジュール325と置き換えることができることがわかる。RFモジュール325は、クワッドフラットノーリード(QFN:quad flat no-lead)パッケージとして設計され、ダイパドル580aおよびリード580bを付けたリードフレーム、銀エポキシ、ポリイミド、またはアクリルの接着剤581aによってダイパドル580aに取り付けられている無線周波(RF)チップ328a、銀エポキシ、ポリイミド、またはアクリルの接着剤581bによってRFチップ328aに取り付けられている無線周波(RF)チップ328b、RFチップ328aおよび328bをリード580bに接続する、金線または銅線などの複数のワイヤボンディング接合ワイヤ119c、ならびにリードフレーム上に、またRFチップ328aおよび328bの側壁に形成されているカーボンまたはガラス充填剤を収容し、ワイヤボンディング接合ワイヤ119cを封止する、エポキシまたはポリイミドの封入材料582を備える。リード580bは、ダイパドル(die paddle)580aの周囲に配列される。RFチップ328aおよび328bは両方とも、無線周波(RF)電力増幅器、無線周波(RF)トランシーバ、無線周波(RF)ドライバ増幅器、バラン回路、弾性表面波(SAW)フィルタ、フィルタ、カプラー、および/またはアイソレータを備えることができる。無線周波(RF)モジュール325を、基板301または302の裏面に設け、ビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール111mを通して基板301または302の裏面に接続することができる。あるいは、RFモジュール325を、基板301または302の上部側上に設け、ハンダボール111mを通して基板301または302の上部側に接続することができる。ハンダボール111mをリード580bと基板301または302との間に形成する。RFモジュール325は、3G CDMA(符号分割多元接続)またはTDMA GSM(時分割多元接続モバイル通信用グローバルシステム)などの無線LAN(WLAN)通信および/または携帯電話通信用のRF(音声および/またはデータ)信号を送受信するために使用される。
図39Aは、キャッシュメモリチップ311および図37A、37B、38A、または38Bに示されているチップ313のインターフェイス回路を示す回路図の一例である。キャッシュメモリチップ311は、2つのチップ間回路20aおよび20bと、2つの内部回路20cおよび20dと、2つのオフチップ回路40aおよび40bと、2つの試験インターフェイス回路333aおよび333bとを備える。チップ313は、2つのチップ間回路20eおよび20fと、2つの内部回路20gおよび20hと、2つのオフチップ回路40cおよび40dと、2つの試験インターフェイス回路333cおよび333dとを備える。キャッシュメモリチップ311は、マイクロバンプ317を通してチップ313に、また金属バンプもしくはピラー27を通して基板301またはBGA基板302に接続される。
図39Aを参照すると、キャッシュメモリチップ311のチップ間回路20aは、チップ間バッファ701aおよびチップ間ESD(静電放電)回路701bを備えることがわかる。チップ間バッファ701aは、第1のノードFN1および第2のノードSN1を有し、チップ間ESD回路701bは、第1のノードFN1に接続されているノードEnを有する。チップ空間バッファ701aは、NMOSトランジスタ751aおよびPMOSトランジスタ751bからなるインバータであるチップ間レシーバとすることができ、NMOSトランジスタ751aおよびPMOSトランジスタ751bのゲートは、チップ間バッファ701aの第1のノードFN1である入力ノードとして働き、NMOSトランジスタ751aおよびPMOSトランジスタ751bのドレインは、チップ間バッファ701aの第2のノードSN1である出力ノードとして働く。
あるいは、チップ間バッファ701aは、インバータの複数の段を備える多段カスケードチップ間レシーバとすることができる。例えば、図39Bを参照すると、チップ間バッファ701aは、2段カスケードチップ間レシーバであってよいことがわかる。2段カスケードチップ間レシーバの第1の段424aは、NMOSトランジスタ751aとPMOSトランジスタ751bとからなるインバータであり、2段カスケードチップ間レシーバの第2の段424b(最終段)も、好適な1つまたは複数のプロセスによって、例えば、より大きなサイズのNMOSトランジスタ751cおよびPMOSトランジスタ751dによって形成されるという点を除いてインバータである。NMOSトランジスタ751aおよびPMOSトランジスタ751bのゲートは、チップ間バッファ701aの第1のノードFN1である入力ノードとして働く。NMOSトランジスタ751cおよびPMOSトランジスタ751dのドレインは、チップ間バッファ701aの第2のノードSN1である出力ノードとして働く。NMOSトランジスタ751aおよびPMOSトランジスタ751bのドレインは、NMOSトランジスタ751cおよびPMOSトランジスタ751dのゲートに接続される。
図39Aを参照すると、キャッシュメモリチップ311のチップ間回路20bは、チップ間バッファ702aおよびチップ間ESD(静電放電)回路702bを備えることがわかる。チップ間バッファ702aは、第1のノードFN2および第2のノードSN2を有し、チップ間ESD回路702bは、第2のノードSN2に接続されているノードEnを有する。チップ空間バッファ702aは、NMOSトランジスタ752aおよびPMOSトランジスタ752bからなるインバータであるチップ間ドライバとすることができ、NMOSトランジスタ752aおよびPMOSトランジスタ752bのゲートは、チップ間バッファ702aの第1のノードFN2である入力ノードとして働き、NMOSトランジスタ752aおよびPMOSトランジスタ752bのドレインは、チップ間バッファ702aの第2のノードSN2である出力ノードとして働く。
あるいは、チップ間バッファ702aは、インバータの複数の段を備える多段カスケードチップ間ドライバとすることができる。例えば、図39Cを参照すると、チップ間バッファ702aは、2段カスケードチップ間ドライバであってよいことがわかる。2段カスケードチップ間ドライバの第1の段425aは、NMOSトランジスタ752cとPMOSトランジスタ752dとからなるインバータであり、2段カスケードチップ間ドライバの第2の段425b(最終段)は、NMOSトランジスタ752aとPMOSトランジスタ752bとからなるインバータである。NMOSトランジスタ752cおよびPMOSトランジスタ752dのゲートは、チップ間バッファ702aの第1のノードFN2である入力ノードとして働く。NMOSトランジスタ752aおよびPMOSトランジスタ752bのドレインは、チップ間バッファ702aの第2のノードSN2である出力ノードとして働く。NMOSトランジスタ752cおよびPMOSトランジスタ752dのドレインは、NMOSトランジスタ752aおよびPMOSトランジスタ752bのゲートに接続される。
図39Aを参照すると、チップ313のチップ間回路20eは、チップ間バッファ703aおよびチップ間ESD(静電放電)回路703bを備えることがわかる。チップ間バッファ703aは、第1のノードFN3および第2のノードSN3を有し、チップ間ESD回路703bは、第2のノードSN3に接続されているノードEnを有する。チップ空間バッファ703aは、NMOSトランジスタ753aおよびPMOSトランジスタ753bからなるインバータであるチップ間ドライバとすることができ、NMOSトランジスタ753aおよびPMOSトランジスタ753bのゲートは、チップ間バッファ703aの第1のノードFN3である入力ノードとして働き、NMOSトランジスタ753aおよびPMOSトランジスタ753bのドレインは、チップ間バッファ703aの第2のノードSN3である出力ノードとして働く。
あるいは、チップ間バッファ703aは、インバータの複数の段を備える多段カスケードチップ間ドライバとすることができる。例えば、図39Dを参照すると、チップ間バッファ703aは、2段カスケードチップ間ドライバであってよいことがわかる。2段カスケードチップ間ドライバの第1の段426aは、NMOSトランジスタ753cとPMOSトランジスタ753dとからなるインバータであり、2段カスケードチップ間ドライバの第2の段426b(最終段)は、NMOSトランジスタ753aとPMOSトランジスタ753bとからなるインバータである。NMOSトランジスタ753cおよびPMOSトランジスタ753dのゲートは、チップ間バッファ703aの第1のノードFN3である入力ノードとして働く。NMOSトランジスタ753aおよびPMOSトランジスタ753bのドレインは、チップ間バッファ703aの第2のノードSN3である出力ノードとして働く。NMOSトランジスタ753cおよびPMOSトランジスタ753dのドレインは、NMOSトランジスタ753aおよびPMOSトランジスタ753bのゲートに接続される。
図39Aを参照すると、チップ313のチップ間回路20fは、チップ間バッファ704aおよびチップ間ESD(静電放電)回路704bを備えることがわかる。チップ間バッファ704aは、第1のノードFN4および第2のノードSN4を有し、チップ間ESD回路704bは、第1のノードFN4に接続されているノードEnを有する。チップ空間バッファ704aは、NMOSトランジスタ754aおよびPMOSトランジスタ754bからなるインバータであるチップ間レシーバとすることができ、NMOSトランジスタ754aおよびPMOSトランジスタ754bのゲートは、チップ間バッファ704aの第1のノードFN4である入力ノードとして働き、NMOSトランジスタ754aおよびPMOSトランジスタ754bのドレインは、チップ間バッファ704aの第2のノードSN4である出力ノードとして働く。
あるいは、チップ間バッファ704aは、インバータの複数の段を備える多段カスケードチップ間レシーバとすることができる。例えば、図39Eを参照すると、チップ間バッファ704aは、2段カスケードチップ間レシーバであってよいことがわかる。2段カスケードチップ間レシーバの第1の段427aは、NMOSトランジスタ754aとPMOSトランジスタ754bとからなるインバータであり、2段カスケードチップ間レシーバの第2の段427b(最終段)は、NMOSトランジスタ754cとPMOSトランジスタ754dとからなるインバータである。NMOSトランジスタ754aおよびPMOSトランジスタ754bのゲートは、チップ間バッファ704aの第1のノードFN4である入力ノードとして働く。NMOSトランジスタ754cおよびPMOSトランジスタ754dのドレインは、チップ間バッファ704aの第2のノードSN4である出力ノードとして働く。NMOSトランジスタ754aおよびPMOSトランジスタ754bのドレインは、NMOSトランジスタ754cおよびPMOSトランジスタ754dのゲートに接続される。
図39Aを参照すると、キャッシュメモリチップ311のオフチップ回路40aは、オフチップバッファ42aおよびオフチップESD(静電放電)回路43aを備えることがわかる。オフチップバッファ42aは、第1のノードFN5および第2のノードSN5を有し、オフチップESD回路43aは、第1のノードFN5に接続されているノードEnを有する。オフチップバッファ42aは、NMOSトランジスタ4205およびPMOSトランジスタ4206からなるインバータであるオフチップレシーバとすることができ、NMOSトランジスタ4205およびPMOSトランジスタ4206のゲートは、オフチップバッファ42aの第1のノードFN5である入力ノードとして働き、NMOSトランジスタ4205およびPMOSトランジスタ4206のドレインは、オフチップバッファ42aの第2のノードSN5である出力ノードとして働く。
あるいは、オフチップバッファ42aは、インバータの複数の段を備える多段カスケードオフチップレシーバとすることができる。例えば、オフチップバッファ42aは、図11Bに示されている2段カスケードオフチップレシーバ422とすることができる。図11Bに示されている2段カスケードオフチップレシーバ422の第1の段422’は、NMOSトランジスタ4205とPMOSトランジスタ4206とからなるインバータであり、図11Bに示されている2段カスケードオフチップレシーバ422の第2の段422”(最終段)も、好適な1つまたは複数のプロセスによって、例えば、より大きなサイズのNMOSトランジスタ4207およびPMOSトランジスタ4208によって形成されるという点を除いて、インバータである。図11Bでは、NMOSトランジスタ4205およびPMOSトランジスタ4206のゲートは入力ノードEとして働き、この入力ノードEは図39Aに示されているオフチップバッファ42aの第1のノードFN5であってよく、NMOSトランジスタ4207およびPMOSトランジスタ4208のドレインは出力ノードFとして働き、この出力ノードFは図39Aに示されているオフチップバッファ42aの第2のノードSN5であってよい。
図39Aを参照すると、キャッシュメモリチップ311のオフチップ回路40bは、オフチップバッファ42bおよびオフチップESD(静電放電)回路43bを備えることがわかる。オフチップバッファ42bは、第1のノードFN6および第2のノードSN6を有し、オフチップESD回路43bは、第2のノードSN6に接続されているノードEnを有する。オフチップバッファ42bは、NMOSトランジスタ4203およびPMOSトランジスタ4204からなるインバータであるオフチップドライバとすることができ、NMOSトランジスタ4203およびPMOSトランジスタ4204のゲートは、オフチップバッファ42bの第1のノードFN6である入力ノードとして働き、NMOSトランジスタ4203およびPMOSトランジスタ4204のドレインは、オフチップバッファ42bの第2のノードSN6である出力ノードとして働く。
あるいは、オフチップバッファ42bは、インバータの複数の段を備える多段カスケードオフチップドライバとすることができる。例えば、オフチップバッファ42bは、図11Aに示されている2段カスケードオフチップドライバ421とすることができる。図11Aに示されている2段カスケードチップ間ドライバ421の第1の段421’は、NMOSトランジスタ4201とPMOSトランジスタ4202とからなるインバータであり、図11Aに示されている2段カスケードチップ間ドライバ421の第2の段421”(最終段)も、好適な1つまたは複数のプロセスによって、例えば、より大きなサイズのNMOSトランジスタ4203およびPMOSトランジスタ4204によって形成されるという点を除いて、インバータである。図11Aでは、NMOSトランジスタ4201およびPMOSトランジスタ4202のゲートは入力ノードFとして働き、この入力ノードFは図39Aに示されているオフチップバッファ42bの第1のノードFN6であってよく、NMOSトランジスタ4203およびPMOSトランジスタ4204のドレインは出力ノードEとして働き、この出力ノードEは図39Aに示されているオフチップバッファ42bの第2のノードSN6であってよい。
図39Aを参照すると、チップ313のオフチップ回路40cは、オフチップバッファ42cおよびオフチップESD(静電放電)回路43cを備えることがわかる。オフチップバッファ42cは、第1のノードFN7および第2のノードSN7を有し、オフチップESD回路43cは、第2のノードSN7に接続されているノードEnを有する。オフチップバッファ42cは、NMOSトランジスタ4203aおよびPMOSトランジスタ4204aからなるインバータであるオフチップドライバとすることができ、NMOSトランジスタ4203aおよびPMOSトランジスタ4204aのゲートは、オフチップバッファ42cの第1のノードFN7である入力ノードとして働き、NMOSトランジスタ4203aおよびPMOSトランジスタ4204aのドレインは、オフチップバッファ42cの第2のノードSN7である出力ノードとして働く。
あるいは、オフチップバッファ42cは、インバータの複数の段を備える多段カスケードオフチップドライバとすることができる。例えば、図39Fを参照すると、オフチップバッファ42cは、2段カスケードオフチップドライバであってよいことがわかる。2段カスケードオフチップドライバの第1の段427aは、NMOSトランジスタ4201aとPMOSトランジスタ4202aとからなるインバータであり、2段カスケードオフチップドライバの第2の段427b(最終段)も、好適な1つまたは複数のプロセスによって、例えば、より大きなサイズのNMOSトランジスタ4203aおよびPMOSトランジスタ4204aによって形成されるという点を除いてインバータである。NMOSトランジスタ4201aおよびPMOSトランジスタ4202aのゲートは、オフチップバッファ42cの第1のノードFN7である入力ノードとして働く。NMOSトランジスタ4203aおよびPMOSトランジスタ4204aのドレインは、オフチップバッファ42cの第2のノードSN7である出力ノードとして働く。NMOSトランジスタ4201aおよびPMOSトランジスタ4202aのドレインは、NMOSトランジスタ4203aおよびPMOSトランジスタ4204aのゲートに接続される。
図39Aを参照すると、チップ313のオフチップ回路40dは、オフチップバッファ42dおよびオフチップESD(静電放電)回路43dを備えることがわかる。オフチップバッファ42dは、第1のノードFN8および第2のノードSN8を有し、オフチップESD回路43dは、第1のノードFN8に接続されているノードEnを有する。オフチップバッファ42dは、NMOSトランジスタ4205aおよびPMOSトランジスタ4206aからなるインバータであるオフチップレシーバとすることができ、NMOSトランジスタ4205aおよびPMOSトランジスタ4206aのゲートは、オフチップバッファ42dの第1のノードFN8である入力ノードとして働き、NMOSトランジスタ4205aおよびPMOSトランジスタ4206aのドレインは、オフチップバッファ42dの第2のノードSN8である出力ノードとして働く。
あるいは、オフチップバッファ42dは、インバータの複数の段を備える多段カスケードオフチップレシーバとすることができる。例えば、図39Gを参照すると、オフチップバッファ42dは、2段カスケードオフチップレシーバであってよいことがわかる。2段カスケードオフチップレシーバの第1の段428aは、NMOSトランジスタ4205aとPMOSトランジスタ4206aとからなるインバータであり、2段カスケードオフチップレシーバの第2の段428b(最終段)も、好適な1つまたは複数のプロセスによって、例えば、より大きなサイズのNMOSトランジスタ4207aおよびPMOSトランジスタ4208aによって形成されるという点を除いてインバータである。NMOSトランジスタ4205aおよびPMOSトランジスタ4206aのゲートは、オフチップバッファ42dの第1のノードFN8である入力ノードとして働く。NMOSトランジスタ4207aおよびPMOSトランジスタ4208aのドレインは、オフチップバッファ42dの第2のノードSN8である出力ノードとして働く。NMOSトランジスタ4205aおよびPMOSトランジスタ4206aのドレインは、NMOSトランジスタ4207aおよびPMOSトランジスタ4208aのゲートに接続される。
図39Hは、キャッシュメモリチップ311および図37A、37B、38A、または38Bに示されているチップ313のインターフェイス回路を示す回路図の別の一例である。図39Hに示されている回路図は図39Aに示されている回路図と、チップ間バッファ701a、702a、703a、および704aが、それぞれチップ間レシーバおよびドライバの代わりにトライステートドライバおよびトライステートレシーバを備えるチップ間トライステートバッファを伴うように設計されているという点、およびオフチップバッファ42a、42b、42c、および42dが、それぞれオフチップレシーバおよびドライバの代わりにトライステートドライバおよびトライステートレシーバを備えるオフチップトライステートバッファを伴うように設計されているという点を除いて、類似している。図39Hにおいて、キャッシュメモリチップ311のチップ間バッファ701aは、チップ間バッファ701aの第1のノードFN1として働く第1のI/O(入出力)ノードを有し、チップ間バッファ701aの第2のノードSN1として働く第2のI/Oノードを有するチップ間トライステートバッファであってよい。キャッシュメモリチップ311のチップ間バッファ702aは、チップ間バッファ702aの第1のノードFN2として働く第1のI/Oノードを有し、チップ間バッファ702aの第2のノードSN2として働く第2のI/Oノードを有するチップ間トライステートバッファであってよい。チップ313のチップ間バッファ703aは、チップ間バッファ703aの第1のノードFN3として働く第1のI/Oノードを有し、チップ間バッファ703aの第2のノードSN3として働く第2のI/Oノードを有するチップ間トライステートバッファであってよい。チップ313のチップ間バッファ704aは、チップ間バッファ704aの第1のノードFN4として働く第1のI/Oノードを有し、チップ間バッファ704aの第2のノードSN4として働く第2のI/Oノードを有するチップ間トライステートバッファであってよい。キャッシュメモリチップ311のオフチップバッファ42aは、オフチップバッファ42aの第1のノードFN5として働く第1のI/Oノードを有し、オフチップバッファ42aの第2のノードSN5として働く第2のI/Oノードを有するオフチップトライステートバッファであってよい。キャッシュメモリチップ311のオフチップバッファ42bは、オフチップバッファ42bの第1のノードFN6として働く第1のI/Oノードを有し、オフチップバッファ42bの第2のノードSN6として働く第2のI/Oノードを有するオフチップトライステートバッファであってよい。チップ313のオフチップバッファ42cは、オフチップバッファ42cの第1のノードFN7として働く第1のI/Oノードを有し、オフチップバッファ42cの第2のノードSN7として働く第2のI/Oノードを有するオフチップトライステートバッファであってよい。チップ313のオフチップバッファ42dは、オフチップバッファ42dの第1のノードFN8として働く第1のI/Oノードを有し、オフチップバッファ42dの第2のノードSN8として働く第2のI/Oノードを有するオフチップトライステートバッファであってよい。
図39Aおよび39Hを参照すると、内部回路20c、20d、20g、および20hのそれぞれは、NORゲート、NANDゲート、ANDゲート、ORゲート、オペアンプ、フラッシュメモリセル、スタティックランダムアクセスメモリ(SRAM)セル、ダイナミックランダムアクセスメモリ(DRAM)セル、不揮発性メモリセル、消去可能プログラム可能読み出し専用メモリ(EROM)セル、読み出し専用メモリ(ROM)セル、磁気ランダムアクセスメモリ(MRAM)セル、センス増幅器、アナログ/デジタル(A/D)コンバータ、デジタル/アナログ(D/A)コンバータ、インバータ、加算器、マルチプレクサ、ダイプレクサ、乗算器、相補型金属酸化膜半導体(CMOS)デバイス、バイポーラCMOSデバイス、バイポーラ回路、またはアナログ回路とすることができることがわかる。内部回路20c、20d、20g、および20hのそれぞれは、その物理的チャネル幅と物理的チャネル長との比が例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または例えば約0.2から2までの範囲であるNMOSトランジスタを備えることができる。あるいは、内部回路20c、20d、20g、および20hのそれぞれは、その物理的チャネル幅と物理的チャネル長との比が例えば約0.2から40までの範囲、例えば約0.2から40までの範囲、または例えば約0.4から4までの範囲であるPMOSトランジスタを備えることができる。チップ間ESD回路701b、702b、703b、および704bのそれぞれならびにオフチップESD回路43a、43b、43c、および43dのそれぞれは、2つの逆バイアスダイオードから、またはPMOSトランジスタおよびNMOSトランジスタからそれぞれ構成される1つまたは複数のESD(静電放電)ユニットを備えることができる。
チップ間バッファ701aの第1のノードFN1は、チップ間ESD回路701bのノードEnに、またキャッシュメモリチップ311の金属相互接続線640bを通して試験インターフェイス回路333aの第1の端子F1に、また金属相互接続線640bを通してキャッシュメモリチップ311の、アルミニウムもしくは電気メッキされた銅を含む、左側金属パッド600bに、また金属相互接続線640bおよび左側金属パッド600bを通して左側マイクロバンプ317に接続されうる。チップ間バッファ701aの第2のノードSN1は、キャッシュメモリチップ311の金属相互接続線640aを通して内部回路20cに接続されうる。
チップ間バッファ702aの第1のノードFN2は、キャッシュメモリチップ311の金属相互接続線640cを通して内部回路20dに接続されうる。チップ間バッファ702aの第2のノードSN2は、チップ間ESD回路702bのノードEnに、またキャッシュメモリチップ311の金属相互接続線640dを通して試験インターフェイス回路333bの第1の端子F2に、また金属相互接続線640dを通してキャッシュメモリチップ311の、アルミニウムもしくは電気メッキされた銅を含む、右側金属パッド600bに、また金属相互接続線640dおよび右側金属パッド600bを通して右側マイクロバンプ317に接続されうる。
チップ間バッファ703aの第1のノードFN3は、チップ313の金属相互接続線640eを通して内部回路20gに接続されうる。チップ間バッファ703aの第2のノードSN3は、チップ間ESD回路703bのノードEnに、またチップ313の金属相互接続線640fを通して試験インターフェイス回路333cの第1の端子F3に、また金属相互接続線640fを通してチップ313の、アルミニウムもしくは電気メッキされた銅を含む、左側金属パッド600cに、また金属相互接続線640fおよび左側金属パッド600cを通して左側マイクロバンプ317に、またチップ313の金属相互接続線640f、チップ313の左側金属パッド600c、左側マイクロバンプ317、キャッシュメモリチップ311の左側金属パッド600b、およびキャッシュメモリチップ311の金属相互接続線640bを通してキャッシュメモリチップ311のチップ間バッファ701aの第1のノードFN1に接続されうる。
チップ間バッファ704aの第1のノードFN4は、チップ間ESD回路704bのノードEnに、またチップ313の金属相互接続線640hを通して試験インターフェイス回路333dの第1の端子F4に、また金属相互接続線640hを通してチップ313の、アルミニウムもしくは電気メッキされた銅を含む、右側金属パッド600cに、また金属相互接続線640hおよび右側金属パッド600cを通して右側マイクロバンプ317に、またチップ313の金属相互接続線640h、チップ313の右側金属パッド600c、右側マイクロバンプ317、キャッシュメモリチップ311の右側金属パッド600b、およびキャッシュメモリチップ311の金属相互接続線640dを通してキャッシュメモリチップ311のチップ間バッファ702aの第2のノードSN2に接続されうる。チップ間バッファ704aの第2のノードSN4は、チップ313の金属相互接続線640gを通して内部回路20hに接続されうる。
オフチップバッファ42aの第1のノードFN5は、オフチップESD回路43aのノードEnに、またキャッシュメモリチップ311の金属相互接続線640jを通してキャッシュメモリチップ311の、アルミニウムもしくは電気メッキされた銅を含む、試験金属パッド600tに、また金属相互接続線640jを通してキャッシュメモリチップ311の金属パッド886に、また金属相互接続線640jおよび金属パッド886を通して左側金属バンプもしくはピラー27に接続されうる。左側金属バンプまたはピラー27を図37Aもしくは37Bに示されている基板301または図38Aもしくは38Bに示されているBGA基板302上に設けてそれらに接続することができ、オフチップバッファ42aの第1のノードFN5を、金属相互接続線640j、金属パッド886、および左側金属バンプもしくはピラー27を通して基板301またはBGA基板302に接続することができる。オフチップバッファ42aの第2のノードSN5は、キャッシュメモリチップ311の金属相互接続線640iを通して試験インターフェイス回路333aの第2の端子S1に接続されうる。
オフチップバッファ42bの第1のノードFN6は、キャッシュメモリチップ311の金属相互接続線640kを通して試験インターフェイス回路333bの第2の端子S2に接続されうる。オフチップバッファ42bの第2のノードSN6は、オフチップESD回路43bに、またキャッシュメモリチップ311の金属相互接続線640mを通してキャッシュメモリチップ311の、アルミニウムもしくは電気メッキされた銅を含む、試験金属パッド600sに、また金属相互接続線640mを通してキャッシュメモリチップ311の金属パッド887に、また金属相互接続線640mおよび金属パッド887を通して右側金属バンプもしくはピラー27に接続されうる。右側金属バンプまたはピラー27を図37Aもしくは37Bに示されている基板301または図38Aもしくは38Bに示されているBGA基板302上に設けてそれらに接続することができ、オフチップバッファ42bの第2のノードSN6を、金属相互接続線640m、金属パッド887、および右側金属バンプもしくはピラー27を通して基板301またはBGA基板302に接続することができる。
オフチップバッファ42cの第1のノードFN7は、チップ313の金属相互接続線640nを通して試験インターフェイス回路333cの第2の端子S3に接続されうる。オフチップバッファ42cの第2のノードSN7は、オフチップESD回路43cに、またチップ313の金属相互接続線640pを通してチップ313の、アルミニウムもしくは電気メッキされた銅を含む、試験金属パッド600wに接続されうる。
オフチップバッファ42dの第1のノードFN8は、オフチップESD回路43dに、またチップ313の金属相互接続線640rを通してチップ313の、アルミニウムもしくは電気メッキされた銅を含む、試験金属パッド600xに接続されうる。オフチップバッファ42dの第2のノードSN8は、チップ313の金属相互接続線640qを通して試験インターフェイス回路333dの第2の端子S4に接続されうる。
図39Iは、キャッシュメモリチップ311および図37C、37D、38C、または38Dに示されているチップ313のインターフェイス回路を示す回路図の一例である。図39Iに示されている回路図は図39Aに示されている回路図と、キャッシュメモリチップ311が、金属バンプまたはピラー27の代わりにワイヤボンディング接合ワイヤ119cを通して基板301またはBGA基板302に接続されるという点を除いて、類似している。図39A内の要素を示す同じ参照番号で示されている図39I内の要素は、図39Aに例示されている要素と同じ材料およびスペックを有する。
図39Jは、キャッシュメモリチップ311および図37C、37D、38C、または38Dに示されているチップ313のインターフェイス回路を示す回路図の別の一例である。図39Jに示されている回路図は図39Hに示されている回路図と、キャッシュメモリチップ311が、金属バンプまたはピラー27の代わりにワイヤボンディング接合ワイヤ119cを通して基板301またはBGA基板302に接続されるという点を除いて、類似している。図39H内の要素を示す同じ参照番号で示されている図39J内の要素は、図39Hに例示されている要素と同じ材料およびスペックを有する。
図37A〜37D、38A〜38D、39A、および39H〜39Jにおいて、キャッシュメモリチップ311は、10メガバイトから32ギガバイトまでの範囲、好ましくは100メガバイトから4ギガバイトまでの範囲など、10メガバイトより大きい記憶容量を有するものとしてよい。例えば、キャッシュメモリチップ311は、ダイナミックランダムアクセスメモリ(DRAM)チップ、スタティックランダムアクセスメモリ(SRAM)チップ、または同期型ダイナミックランダムアクセスメモリ(SDRAM)チップであり、その記憶容量は10メガバイトから32ギガバイトまでの範囲、好ましくは100メガバイトから4ギガバイトまでの範囲など、10メガバイトより大きいものとしてよい。チップ313とキャッシュメモリチップ311との間では、非常に並列性の高い通信が使用される。チップ313とキャッシュメモリチップ311との間のデータビット幅は、512ビット以上、好ましくは1024ビット以上である。キャッシュメモリチップ311は、キャッシュメモリチップ311を試験するために使用される試験金属パッド600sおよび600tを有する。
図37A、37D、38A、および38Dにおいて、2つのキャッシュメモリチップ311および321がチップ313の2つの対向面にそれぞれ設けられているので、プロセッサユニット303は、チップ313と、キャッシュメモリチップ311および321によって構成されるキャッシュメモリとの間に2倍のビット幅を有する。キャッシュメモリチップ321は、10メガバイトから32ギガバイトまでの範囲、好ましくは100メガバイトから4ギガバイトまでの範囲など、10メガバイトより大きいその記憶容量を有するものとしてよい。例えば、キャッシュメモリチップ321は、ダイナミックランダムアクセスメモリ(DRAM)チップ、スタティックランダムアクセスメモリ(SRAM)チップ、または同期型ダイナミックランダムアクセスメモリ(SDRAM)チップであり、その記憶容量は10メガバイトから32ギガバイトまでの範囲、好ましくは100メガバイトから4ギガバイトまでの範囲など、10メガバイトより大きいものとしてよい。チップ313とキャッシュメモリチップ321との間では、非常に並列性の高い通信が使用される。チップ313とキャッシュメモリチップ321との間のデータビット幅は、512ビット以上、好ましくは1024ビット以上である。キャッシュメモリチップ321は、キャッシュメモリチップ321を試験するために使用される2つの試験金属パッド600yおよび600zを有する。
図39Aおよび図39H〜39Jを参照すると、チップ313とキャッシュメモリチップ311との間の負荷は非常に小さい負荷であることがわかる。チップ間ドライバ、チップ間レシーバ、またはチップ間トライステートバッファなどの、小さなチップ間バッファ701aまたは702aは、キャッシュメモリチップ311内の長い相互接続にそれぞれ使用される、内部ドライバ、内部レシーバ、または内部トライステートバッファなどの内部バッファとまったく同様に設計される、つまり、長い相互接続により、キャッシュメモリチップ311内で長い距離にわたって複数のトランジスタを接続する。チップ間ドライバ、チップ間レシーバ、またはチップ間トライステートバッファなどの、小さなチップ間バッファ703aまたは704aは、チップ313内の長い相互接続にそれぞれ使用される、内部ドライバ、内部レシーバ、または内部トライステートバッファなどの内部バッファとまったく同様に設計される、つまり、長い相互接続により、チップ313内で長い距離にわたって複数のトランジスタを接続する。チップ313とキャッシュメモリチップ311との間の信号、クロック、電源、またはグランドの接続のために、チップ313とキャッシュメモリチップ311の両方において小さなチップ間バッファ701a、702a、703a、および704aが設計されている。キャッシュメモリチップ311上のチップ間バッファ701aおよび702aを含むチップ間バッファの数は、512以上、好ましくは1024以上である。チップ313上のチップ間バッファ703aおよび704aを含むチップ間バッファの数は、512以上、好ましくは1024以上である。
オフチップドライバ、オフチップレシーバ、またはオフチップトライステートバッファなどの大きなオフチップバッファ42a、42b、42c、および42dは、回路試験および/または基板301もしくはBGA基板302などの外部回路への信号、クロック、電源、またはグランドの接続のために、チップ313およびキャッシュメモリチップ311の両方に搭載されるように設計され、外部回路は、チップ313もしくはキャッシュメモリチップ311上にない回路であり、回路試験は、(i)チップ311もしくは313がソーイングまたはダイシングでウェハから切り離される前に実行するウェハレベルの試験であるか、または(ii)チップ311および313が互いに連結された後のパッケージレベルの試験(最終試験)のいずれかである。
試験インターフェイス回路333a、333b、333c、および333dは、チップ313およびキャッシュメモリチップ311の両方に搭載されるように設計される。チップ間バッファ701aまたは704aから見たときの図39Aまたは39Iに示されている試験インターフェイス回路333aまたは333dの第1の端子F1またはF4の出力静電容量は、2pFより小さく、例えば、1pFより小さいか、または0.2pFより小さい。図39Aまたは39Iに示されている試験インターフェイス回路333aまたは333dの第1の端子F1またはF4の出力装荷静電容量(output loading capacitance)は、2pFより小さく、例えば、1pFより小さいか、または0.2pFより小さい。チップ間バッファ702aまたは703aから見たときの図39Aまたは39Iに示されている試験インターフェイス回路333bまたは333cの第1の端子F2またはF3の入力静電容量は、2pFより小さく、例えば、1pFより小さいか、または0.2pFより小さい。図39Aまたは39Iに示されている試験インターフェイス回路333bまたは333cの第1の端子F2またはF3の入力装荷静電容量は、2pFより小さく、例えば、1pFより小さいか、または0.2pFより小さい。チップ間バッファ701a、702a、703a、または704aから見たときの図39Hまたは39Jに示されている試験インターフェイス回路333a、333b、333c、または333dの第1の端子F1、F2、F3、またはF4の入力または出力静電容量は、2pFより小さく、例えば、1pFより小さいか、または0.2pFより小さい。図39Hまたは39Jに示されている試験インターフェイス回路333a、333b、333c、または333dの第1の端子F1、F2、F3、またはF4の入力または出力装荷静電容量は、2pFより小さく、例えば、1pFより小さいか、または0.2pFより小さい。試験インターフェイス回路333a、333b、333c、および333dのそれぞれは、スキャン試験回路であり、スキャン試験回路は、チップ311もしくは313がソーイングまたはダイシングでウェハから切り離される前にウェハレベルの試験で、またはチップ311および313が互いに連結された後のパッケージレベルの試験(最終試験)で実行することができ、このスキャン試験回路は、スキャンイン信号を入力するか、またはスキャンアウト信号を出力することによってフリップフロップを試験するために使用される。
小さなチップ間ESD回路701b、702b、703b、および704bは、チップパッケージングまたは組み立て製造プロセスの際の帯電防止のためにチップ313とキャッシュメモリチップ311との間の小さなチップ間バッファ701a、702a、703a、および704aに使用される。あるいは、チップ313とキャッシュメモリチップ311との間の小さなチップ間バッファ701a、702a、703a、および704aにESD回路が必要ない場合がある、つまり、チップ間ESD回路701b、702b、703b、および704bを省くことができる。言い換えると、金属相互接続線640b、640d、640f、および640hに接続されるESD回路はないということである。
大きなオフチップバッファ42a、42b、42c、および42dに必要な大きなオフチップESD回路43a、43b、43c、および43dは、回路試験のため、および/または基板301もしくはBGA基板302などの外部回路への信号、クロック、電源、またはグランドの接続のために、チップ313およびキャッシュメモリチップ311の両方に搭載されるように設計され、外部回路は、チップ313およびキャッシュメモリチップ311上にない回路であり、回路試験は、(i)チップ311もしくは313がソーイングまたはダイシングでウェハから切り離される前に実行するウェハレベルの試験であるか、または(ii)チップ311および313が互いに連結された後のパッケージレベルの試験(最終試験)のいずれかである。大きなオフチップESD回路43a、43b、43c、および43dは、ウェハレベルの試験またはパッケージレベルの試験(最終試験)など、回路試験時の帯電防止に使用される。
チップ間ESD回路701b、702b、703b、または704bのサイズは、チップ間ESD回路701b、702b、703b、または704bの装荷もしくは静電容量として定義され、オフチップESD回路43a、43b、43c、または43dのサイズは、オフチップESD回路43a、43b、43c、または43dの装荷もしくは静電容量として定義されうる。ある場合には、小さなチップ間ESD回路701b、702b、703b、および704bのそれぞれは、0.01から2pFまでの範囲など、2pF(ピコファラッド)より小さい、例えば、0.01から0.5pFまでの範囲など、0.5pFより小さいサイズ(装荷または静電容量)を有し、大きなオフチップESD回路43a、43b、43c、および43dのそれぞれは、2から100pFまでの範囲など、2pFより大きい、例えば、5から100pFまでの範囲など、5pFより大きいサイズ(装荷または静電容量)を有する。別の場合には、小さなチップ間ESD回路701b、702b、703b、および704bのそれぞれは、0.01から1pFまでの範囲など、1pFより小さいサイズ(装荷または静電容量)を有し、大きなオフチップESD回路43a、43b、43c、および43dのそれぞれは、1から100pFまでの範囲など、1pFより大きいサイズ(装荷または静電容量)を有する。
あるいは、小さなチップ間ESD回路701b、702b、703b、または704bのサイズまたは大きなオフチップESD回路43a、43b、43c、または43dのサイズは、以下のように定義することができる。チップ間ESD回路701b、702b、703b、または704bまたはオフチップESD回路43a、43b、43c、または43dなどのESD(静電放電)回路は1つまたは複数のESDユニットを備え、ESDユニットのそれぞれは、P+活性領域およびP+活性領域に接続され、図39A、39H、39I、または39Jに示されている金属パッド600b、600c、600t、600s、600w、または600xなどのチップのI/O(入出力)金属パッドもしくは試験金属パッドに接続されているN+活性領域を備えることができ、P+活性領域の面積とN+活性領域の面積とを足した面積はESDユニットのそれぞれの有効面積(active area)に等しい。ESDユニットの有効面積の合計は、ESDユニットの有効面積に等しい。ESDユニットがただ1つのESDユニットからなる場合、ESD回路の有効面積はただ1つのESDユニットの有効面積に等しい。ESD回路が複数のESDユニットからなる場合、ESD回路の有効面積は並列に接続されているESDユニットの有効面積の合計に等しい。ESD回路の有効面積は、ESD回路のサイズを定義するために使用することができる。図40A〜40Fは、チップのESDユニットの有効面積を計算し、1つまたは複数のESDユニットからなるESD回路のサイズを定義する方法を示している。図40Aを参照すると、チップの静電放電(ESD)ユニット743は、2つの逆バイアスダイオード4331および4332からなるものとしてよいことがわかる。図40Cは、図40Aに示されているESDユニット743の断面図を示しており、図40Dは、図40Cに示されているp型シリコン基板1の上面Z−Z’から導かれるESDユニット743の凹凸形状を示す上面斜視図である。図40Cおよび40Dを参照すると、ESDユニット743は、2つのP+活性領域757aおよび757bならびに2つのN+活性領域758aおよび758bを備えることがわかる。P+活性領域757aは、p型シリコン基板1内のNウェル755内にあり、N+活性領域758aは、p型シリコン基板1内にある。P+活性領域757aは、チップの金属板相互接続線753aを通して、図39A、39H、39I、または39Jに示されている、キャッシュメモリチップ311の金属パッド600b、600t、または600sまたはチップ313の金属パッド600c、600w、または600xなどの、チップのI/O金属パッドもしくは試験金属パッドに接続される。N+活性領域758aは、金属相互接続線753aを通してP+活性領域757aに、またチップのI/O金属パッドまたは試験金属パッドに接続される。金属相互接続線753aは、p型シリコン基板1の上の複数の細線金属層60によって構成される部分、P+活性領域757aの接触領域754a上に形成された第1のビアプラグ60’、およびN+活性領域758aの接触領域754b上に形成された第2のビアプラグ60’を備える。P+活性領域757bは、p型シリコン基板1内にあり、N+活性領域758bは、p型シリコン基板1内のNウェル755内にある。P+活性領域757bは、金属相互接続線753bを通してグランドバスに接続され、N+活性領域758bは、金属相互接続線735cを通して電源バスに接続される。金属相互接続線753bは、p型シリコン基板1の上の複数の細線金属層60によって構成される部分およびP+活性領域757bの接触領域754c上に形成されたビアプラグ60’を含む。金属相互接続線753cは、p型シリコン基板1の上の複数の細線金属層60によって構成される部分およびN+活性領域758bの接触領域754d上に形成されたビアプラグ60’を含む。
図40Dを参照すると、チップのI/O金属パッドまたは試験金属パッドに接続されている、P+活性領域757aは、上面図から見て、面積AR1を有し、これはp型シリコン基板1内でフィールドオキサイド752によって囲まれていることがわかる。チップのI/O金属パッドまたは試験金属パッドに接続されている、N+活性領域758aは、上面図から見て、面積AR2を有し、これはp型シリコン基板1内でフィールドオキサイド752によって囲まれていることがわかる。ESDユニット743の有効面積は、面積AR1+面積AR2に等しい。
あるいは、図40Bを参照すると、チップのESDユニット743は、PMOSトランジスタ681とNMOSトランジスタ682とからなるものとしてよいことがわかる。図40Eは、図40Bに示されているESDユニット743の断面図を示しており、図40Fは、図40Eに示されているp型シリコン基板1の上面Z−Z’から導かれるESDユニット743の凹凸形状を示す上面斜視図である。図40B、40E、および40Fを参照すると、ESDユニット743のPMOSトランジスタ681は、ゲート751aを、またゲート751aの2つの対向面に2つのP+活性領域757aおよび757cを備え、ESDユニット743のNMOSトランジスタ682は、ゲート751bを、またゲート751bの2つの対向面に2つのN+活性領域758aおよび758cを備えることがわかる。P+活性領域757aは、p型シリコン基板1内のNウェル755内にあり、N+活性領域758aは、p型シリコン基板1内にある。P+活性領域757aは、チップの金属板相互接続線753aを通して、図39A、39H、39I、または39Jに示されている、キャッシュメモリチップ311の金属パッド600b、600t、または600sまたはチップ313の金属パッド600c、600w、または600xなどの、チップのI/O金属パッドもしくは試験金属パッドに接続され、N+活性領域758aは、金属相互接続線753aを通してP+活性領域757aに、またチップのI/O金属パッドまたは試験金属パッドに接続される。金属相互接続線753aは、p型シリコン基板1の上の複数の細線金属層60によって構成される部分、P+活性領域757aの接触領域754a上に形成された第1のビアプラグ60’、およびN+活性領域758aの接触領域754b上に形成された第2のビアプラグ60’を含む。P+活性領域757bは、p型シリコン基板1内にあり、N+活性領域758bは、p型シリコン基板1内のNウェル755内にある。P+活性領域757cは、p型シリコン基板1内のNウェル755内にあり、N+活性領域758cは、p型シリコン基板1内にある。N+活性領域758cは、チップの金属相互接続線753bを通してチップのグランドバスに、また相互接続線753bを通してP+活性領域757bに接続され、P+活性領域757bは、金属相互接続線735bを通してグランドバスに接続される。P+活性領域757cは、チップの金属相互接続線735cを通してチップの電源バスに、また金属相互接続線735cを通してN+活性領域758bに接続され、N+活性領域758bは、金属相互接続線735cを通して電源バスに接続される。金属相互接続線753bは、p型シリコン基板1の上の複数の細線金属層60によって構成される部分、P+活性領域757bの接触領域754c上に形成された第1のビアプラグ60’、およびN+活性領域758cの接触領域754e上に形成された第2のビアプラグ60’を含む。金属相互接続線753cは、p型シリコン基板1の上の複数の細線金属層60によって構成される部分、N+活性領域758bの接触領域754d上に形成された第1のビアプラグ60’、およびP+活性領域757cの接触領域754f上に形成された第2のビアプラグ60’を含む。ゲート751aは、チップの電源バスに、また金属相互接続線753cを通して接触領域754dおよび754fに接続された接触領域754gを有する。ゲート751bは、チップのグランドバスに、また金属相互接続線753bを通して接触領域754cおよび754eに接続された接触領域754hを有する。
図40Fを参照すると、チップのI/O金属パッドまたは試験金属パッドに接続されている、P+活性領域757aは、上面図から見て、面積AR3を有し、これはゲート751aの側壁748とフィールドオキサイド752とP+活性領域757aとの間の境界線とによって定められた境界により囲まれていることがわかる。チップのI/O金属パッドまたは試験金属パッドに接続されている、N+活性領域758aは、上面図から見て、面積AR4を有し、これはゲート751bの側壁749とフィールドオキサイド752とN+活性領域758aとの間の境界線とによって定められた境界により囲まれていることがわかる。ESDユニット743の有効面積は、面積AR3+面積AR4に等しい。
図40A〜40Fに例示されている前記の定義または計算結果に基づいて、ESD回路のESDユニットのそれぞれの有効面積を計算することができ、ESDユニットの有効面積の合計はESD回路の有効面積に等しい。ESDユニットがただ1つのESDユニットからなる場合、ESD回路の有効面積はただ1つのESDユニットの有効面積に等しい。ESD回路が複数のESDユニットからなる場合、ESD回路の有効面積は並列に接続されているESDユニットの有効面積の合計に等しい。
したがって、チップ間ESD回路701b、702b、703b、および704bのそれぞれの有効面積およびオフチップESD回路43a、43b、43c、および43dのそれぞれの有効面積を計算することができる。例えば、小さなチップ間ESD回路701b、702b、703b、または704bは、6.5から1300平方ミリメートルまでの範囲など、1300平方ミリメートル未満、例えば、6.5から325平方ミリメートルまでの範囲など、325平方ミリメートル未満の有効面積を有するものとしてよく、大きなオフチップESD回路43a、43b、43c、または43dは、1300から65000平方ミリメートルまでの範囲など、1300平方ミリメートルを超える、例えば、3250から65000平方ミリメートルまでの範囲など、3250平方ミリメートルを超える有効面積を有するものとしてよい。あるいは、小さなチップ間ESD回路701b、702b、703b、または704bは、650平方ミリメートル未満の有効面積を有するものとしてよく、大きなオフチップESD回路43a、43b、43c、または43dは、650平方ミリメートルを超える有効面積を有するものとしてよい。
大きなオフチップESD回路43a内の1つまたは複数のESDユニットの有効面積の合計または大きなオフチップESD回路43aの装荷または静電容量として定義される、キャッシュメモリチップ311の大きなオフチップESD回路43aのサイズは、小さなチップ間ESD回路701b内の1つまたは複数のESDユニットの有効面積の合計または小さなチップ間ESD回路701bの装荷または静電容量として定義される、キャッシュメモリチップ311の小さなチップ間ESD回路701bのサイズより、3から50倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとすることができる。
大きなオフチップESD回路43b内の1つまたは複数のESDユニットの活性領域の合計または大きなオフチップESD回路43bの装荷または静電容量として定義される、キャッシュメモリチップ311の大きなオフチップESD回路43bのサイズは、小さなチップ間ESD回路702b内の1つまたは複数のESDユニットの活性領域の合計または小さなチップ間ESD回路702bの装荷または静電容量として定義される、キャッシュメモリチップ311の小さなチップ間ESD回路702bのサイズより、3から50倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとすることができる。
大きなオフチップESD回路43c内の1つまたは複数のESDユニットの活性領域の合計または大きなオフチップESD回路43cの装荷または静電容量として定義されるチップ313の大きなオフチップESD回路43cのサイズは、小さなチップ間ESD回路703b内の1つまたは複数のESDユニットの活性領域の合計または小さなチップ間ESD回路703bの装荷または静電容量として定義されるチップ313の小さなチップ間ESD回路703bのサイズより、3から50倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとすることができる。
大きなオフチップESD回路43d内の1つまたは複数のESDユニットの活性領域の合計または大きなオフチップESD回路43dの装荷または静電容量として定義されるチップ313の大きなオフチップESD回路43dのサイズは、小さなチップ間ESD回路704b内の1つまたは複数のESDユニットの活性領域の合計または小さなチップ間ESD回路704bの装荷または静電容量として定義されるチップ313の小さなチップ間ESD回路704bのサイズより、3から50倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとすることができる。
図39Aまたは39Iに示されているチップ間バッファ702aまたは703aのサイズは、チップ間バッファ702aまたは703aの負荷(load)または装荷(loading)によって特徴付けることができる。図39Aまたは39Iを参照すると、チップ間バッファ702aまたは703aの負荷もしくは装荷は、チップ間バッファ702aまたは703aの全等価静電容量負荷であり、チップ間バッファ702aまたは703aは、静電容量Ca1+静電容量Ca2+静電容量Ca3+静電容量Cg1+静電容量Cg2+静電容量Cb1+静電容量Cb2+静電容量Cb3に等しい負荷もしくは装荷を駆動するため特定のサイズを有するように設計されていることがわかる。静電容量Ca1は、チップ311または313上のチップ間バッファ702aまたは703aと金属パッド600bまたは600cとの間の金属相互接続線640dまたは640fの静電容量である。静電容量Ca2は、チップ311または313上のチップ間バッファ702aまたは703aに対応する金属パッド600bまたは600cの静電容量である。静電容量Ca3は、チップ311または313上のチップ間バッファ702aまたは703aに対応する寄生静電容量である。静電容量Cg1は、マイクロバンプ317の静電容量である。静電容量Cg2は、キャッシュメモリチップ311とチップ313との間の間隙内の寄生静電容量である。静電容量Cb1は、チップ313または311上のチップ間バッファ704aまたは701aと金属パッド600cまたは600bとの間の金属相互接続線640hまたは640bの静電容量である。静電容量Cb2は、チップ313または311上のチップ間バッファ704aまたは701aに対応する金属パッド600cまたは600bの静電容量である。静電容量Cb3は、チップ313または311上のチップ間バッファ704aまたは701aに対応する寄生静電容量である。
こうして、図39Aまたは39Iに示されているチップ間バッファ702aまたは703aの負荷または装荷を定義することができる。図39Cまたは39Dに示されている2段カスケードチップ間ドライバの、NMOSトランジスタ752aまたは753aおよびPMOSトランジスタ752bまたは753bのドレインが金属パッド600bまたは600cに接続されている、最終段のインバータ425bまたは426bの負荷または装荷などの、チップ間バッファ702aまたは703aの負荷または装荷は、2pFから0.001pFまでの範囲など、2pFより小さく、例えば、1pFより小さいか、または0.3pFよりも小さいものとすることができる。1GHzを超えるクロックレートもしくは信号周波数については、図39Aまたは39Iに示されているチップ間バッファ702aまたは703aの負荷もしくは装荷またはサイズは、0.1pFから0.001pFまでの範囲など、0.1pFより小さいものとしてよい。
図39Hまたは39Jに示されているチップ間バッファ701a、702a、703a、または704aのサイズは、チップ間バッファ701a、702a、703a、または704aの負荷または装荷によって特徴付けることができる。図39Hまたは39Jを参照すると、チップ間バッファ701a、702a、703a、または704aの負荷もしくは装荷は、チップ間バッファ701a、702a、703a、または704aの全等価静電容量負荷であり、チップ間バッファ701a、702a、703a、または704aは、静電容量Ca1+静電容量Ca2+静電容量Ca3+静電容量Cg1+静電容量Cg2+静電容量Cb1+静電容量Cb2+静電容量Cb3に等しい負荷もしくは装荷を駆動するため特定のサイズを有するように設計されていることがわかる。静電容量Ca1は、チップ311または313上のチップ間バッファ701a、702a、703a、または704aと金属パッド600bまたは600cとの間の金属相互接続線640b、640d、640f、または640hの静電容量である。静電容量Ca2は、チップ311または313上のチップ間バッファ701a、702a、703a、または704aに対応する金属パッド600bまたは600cの静電容量である。静電容量Ca3は、チップ311または313上のチップ間バッファ701a、702a、703a、または704aに対応する寄生静電容量である。静電容量Cg1は、マイクロバンプ317の静電容量である。静電容量Cg2は、キャッシュメモリチップ311とチップ313との間の間隙内の寄生静電容量である。静電容量Cb1は、チップ313または311上のチップ間バッファ703a、704a、701a、または702aと金属パッド600cまたは600bとの間の金属相互接続線640f、640h、640b、または640dの静電容量である。静電容量Cb2は、チップ313または311上のチップ間バッファ703a、704a、701a、または702aに対応する金属パッド600cまたは600bの静電容量である。静電容量Cb3は、チップ313または311上のチップ間バッファ703a、704a、701a、または702aに対応する寄生静電容量である。
こうして、図39Hまたは39Jに示されているチップ間バッファ701a、702a、703a、または704aの負荷または装荷を定義することができる。多段カスケードトライステートバッファの、NMOSトランジスタおよびPMOSトランジスタのドレインが金属パッド600bまたは600cに接続されている、最終段のトライステートドライバの負荷または装荷などの、チップ間バッファ701a、702a、703a、または704aの負荷または装荷は、2pFから0.001pFまでの範囲など、2pFより小さく、例えば、1pFより小さいか、または0.3pFよりも小さいものとすることができる。1GHzを超えるクロックレートもしくは信号周波数については、図39Hまたは39Jに示されているチップ間バッファ701a、702a、703a、または704aの負荷もしくは装荷またはサイズは、0.1pFから0.001pFまでの範囲など、0.1pFより小さいものとしてよい。
図39Aまたは39Hに示されているオフチップバッファ42bのサイズは、オフチップバッファ42bの負荷または装荷によって特徴付けることができる。図39Aまたは39Hを参照すると、オフチップバッファ42bの負荷もしくは装荷は、オフチップバッファ42bの全等価静電容量負荷であり、オフチップバッファ42bは、静電容量Ca1+静電容量Ca2+静電容量Ca3+静電容量Ca4+静電容量Ca5+静電容量Cxzに等しい負荷もしくは装荷を駆動するため特定のサイズを有するように設計されていることがわかる。静電容量Ca1は、キャッシュメモリチップ311上のチップバッファ42bと金属パッド600sとの間、およびキャッシュメモリチップ311上のオフチップバッファ42bと金属パッド887との間の金属相互線属線640mの静電容量である。静電容量Ca2は、キャッシュメモリチップ311上のオフチップバッファ42bに対応する金属パッド600sの静電容量である。静電容量Ca3は、キャッシュメモリチップ311上のオフチップバッファ42bに対応する金属パッド887の静電容量である。静電容量Ca4は、キャッシュメモリチップ311上のオフチップバッファ42bに対応する寄生静電容量である。静電容量Ca5は、オフチップバッファ42bから金属パッド600sまでの間およびオフチップバッファ42bから金属パッド887までの間の寄生静電容量である。静電容量Cxzは、ウェハレベルの試験プロセスの実行中の、ただし基板301または302などの外部回路と接合した後の、試験デバイスの静電容量およびこの試験デバイス中の寄生静電容量とすることができ、静電容量Cxzは、金属バンプまたはピラー27の静電容量と、金属バンプまたはピラー27から基板301もしくは302上の別のチップまたは受動デバイスへの相互接続における寄生静電容量と、基板301もしくは302上の金属相互接続線の静電容量と、基板301もしくは302上の別のチップまたは受動デバイスの静電容量と、基板301もしくは302上の別のチップまたは受動デバイスに対応する寄生容量とを含むことができる。
こうして、図39Aまたは39Hに示されているオフチップバッファ42bの負荷または装荷を定義することができる。多段カスケードチップ間ドライバの、NMOSトランジスタおよびPMOSトランジスタのドレインが金属パッド600sおよび887に接続されている、最終段のドライバの負荷または装荷などの、オフチップバッファ42bの負荷または装荷は、2から100pFまでの範囲など、2pFより大きく、例えば、5pFより大きいか、または10pFよりも大きいものとすることができる。図39Aまたは39Hに示されているオフチップバッファ42bの負荷または装荷は、図39Aまたは39Hに示されているチップ間バッファ702aの負荷または装荷より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きい。
図39Iまたは39Jに示されているオフチップバッファ42bのサイズは、オフチップバッファ42bの負荷または装荷によって特徴付けることができる。図39Iまたは39Jを参照すると、オフチップバッファ42bの負荷もしくは装荷は、オフチップバッファ42bの全等価静電容量負荷であり、オフチップバッファ42bは、静電容量Ca1+静電容量Ca2+静電容量Ca3+静電容量Ca4+静電容量Cxzに等しい負荷もしくは装荷を駆動するため特定のサイズを有するように設計されていることがわかる。静電容量Ca1は、キャッシュメモリチップ311上のオフチップバッファ42bと金属パッド600sとの間の金属相互接続線640mの静電容量である。静電容量Ca2は、キャッシュメモリチップ311上のオフチップバッファ42bに対応する金属パッド600sの静電容量である。静電容量Ca3は、キャッシュメモリチップ311上のオフチップバッファ42bに対応する寄生静電容量である。静電容量Ca4は、オフチップバッファ42bから金属パッド600sまでの間の寄生静電容量である。静電容量Cxzは、ウェハレベルの試験プロセスの実行中の、ただし基板301または302などの外部回路と接合した後の、試験デバイスの静電容量およびこの試験デバイス中の寄生静電容量とすることができ、静電容量Cxzは、ワイヤボンディング接合ワイヤ119の静電容量と、ワイヤボンディング接合ワイヤ119から基板301もしくは302上の別のチップまたは受動デバイスへの相互接続における寄生静電容量と、基板301もしくは302上の金属相互接続線の静電容量と、基板301もしくは302上の別のチップまたは受動デバイスの静電容量と、基板301もしくは302上の別のチップまたは受動デバイスに対応する寄生容量とを含むことができる。
こうして、図39Iまたは39Jに示されているオフチップバッファ42bの負荷または装荷を定義することができる。多段カスケードチップ間ドライバの、NMOSトランジスタおよびPMOSトランジスタのドレインが金属パッド600sに接続されている、最終段のドライバの負荷または装荷などの、オフチップバッファ42bの負荷または装荷は、2から100pFまでの範囲など、2pFより大きく、例えば、5pFより大きいか、または10pFよりも大きいものとすることができる。図39Iまたは39Jに示されているオフチップバッファ42bの負荷または装荷は、図39Iまたは39Jに示されているチップ間バッファ702aの負荷または装荷より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きい。
図39A、39H、39I、または39Jに示されているオフチップバッファ42cのサイズは、オフチップバッファ42cの負荷または装荷によって特徴付けることができる。図39A、39H、39I、または39Jを参照すると、オフチップバッファ42cの負荷もしくは装荷は、オフチップバッファ42cの全等価静電容量負荷であり、オフチップバッファ42cは、静電容量Ca1+静電容量Ca2+静電容量Ca3+静電容量Ca4+静電容量Cxzに等しい負荷もしくは装荷を駆動するため特定のサイズを有するように設計されていることがわかる。静電容量Ca1は、チップ313上のオフチップバッファ42cと金属パッド600wとの間の金属相互接続線640pの静電容量である。静電容量Ca2は、チップ313上のオフチップバッファ42cに対応する金属パッド600wの静電容量である。静電容量Ca3は、チップ313上のオフチップバッファ42cに対応する寄生静電容量である。静電容量Ca4は、オフチップバッファ42cから金属パッド600wまでの間の寄生静電容量である。静電容量Cxzは、ウェハレベルの試験プロセスの実行中の試験デバイスの静電容量およびこの試験デバイス中の寄生静電容量とすることができる。
こうして、図39A、39H、39I、または39Jに示されているオフチップバッファ42cの負荷または装荷を定義することができる。多段カスケードチップ間ドライバの、NMOSトランジスタおよびPMOSトランジスタのドレインが金属パッド600wに接続されている、最終段のドライバの負荷または装荷などの、オフチップバッファ42cの負荷または装荷は、2から100pFまでの範囲など、2pFより大きく、例えば、5pFより大きいか、または10pFよりも大きいものとすることができる。図39A、39H、39I、または39Jに示されているオフチップバッファ42cの負荷または装荷は、図39A、39H、39I、または39Jに示されているチップ間バッファ703aの負荷または装荷より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きい。
図39A、39H、39I、または39Jに示されているチップ間バッファ702aまたは703aのサイズは、チップ間バッファ702aまたは703aのピーク駆動電流によって特徴付けることができ、図39A、39H、39I、または39Jに示されているオフチップバッファ42bまたは42cのサイズは、オフチップバッファ42bまたは42cのピーク駆動電流によって特徴付けることができる。オフチップバッファ42bまたは42cのピーク駆動電流は、チップ間バッファ702aまたは703aのピーク駆動電流より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きい。
例えば、図39Aまたは39Iに示されているチップ間バッファ702aに関して、PMOSトランジスタ752bがオンで、NMOSトランジスタ752aがオフである場合、チップ間バッファ702aによって駆動される前記の負荷もしくは装荷は、充電電流を充電する。NMOSトランジスタ752aがオンで、PMOSトランジスタ752bがオフである場合、チップ間バッファ702aによって駆動される前記の負荷または装荷は、放電電流を放電する。NMOSトランジスタ752aまたはPMOSトランジスタ752bのピーク充電または放電電流(バイアス電圧の関数)を使用して、チップ間バッファ702aのピーク駆動電流を定義することができる。図39Aまたは39Iに示されているオフチップバッファ42bに関して、PMOSトランジスタ4204がオンで、NMOSトランジスタ4203がオフである場合、オフチップバッファ42bによって駆動される前記の負荷もしくは装荷は、充電電流を充電する。NMOSトランジスタ4203がオンで、PMOSトランジスタ4204がオフである場合、オフチップバッファ42bによって駆動される前記の負荷または装荷は、放電電流を放電する。NMOSトランジスタ4203またはPMOSトランジスタ4204のピーク充電または放電電流(バイアス電圧の関数)を使用して、オフチップバッファ42bのピーク駆動電流を定義することができる。オフチップバッファ42bのピーク駆動電流は、チップ間バッファ702aのピーク駆動電流より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きい。
図39A、39H、39I、または39Jに示されているチップ間バッファ702aまたは703aのサイズは、チップ間バッファ702aまたは703aの最終段のドライバ内のトランジスタのオン抵抗によって特徴付けることができ、図39A、39H、39I、または39Jに示されているオフチップバッファ42bまたは42cのサイズは、オフチップバッファ42bまたは42cの最終段のドライバ内のトランジスタのオン抵抗によって特徴付けることができる。オフチップバッファ42bまたは42cのオン抵抗は、チップ間バッファ702aまたは703aのオン抵抗より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きい。
例えば、図39Aまたは39Iに示されているチップ間バッファ702aに関して、PMOSトランジスタ752bがオンで、NMOSトランジスタ752aがオフである場合、チップ間バッファ702aによって駆動される前記の負荷もしくは装荷は充電され、PMOSトランジスタ752bは、オン抵抗を持つ抵抗器と等価である。NMOSトランジスタ752aがオンで、PMOSトランジスタ752bがオフである場合、チップ間バッファ702aによって駆動される前記の負荷または装荷は放電され、NMOSトランジスタ752aは、オン抵抗の抵抗を持つ抵抗器と等価である。NMOSトランジスタ752aまたはPMOSトランジスタ752bのオン抵抗(バイアス電圧の関数)を使用して、チップ間バッファ702aのサイズを特徴付けることができる。図39Aまたは39Iに示されているオフチップバッファ42bに関して、PMOSトランジスタ4204がオンで、NMOSトランジスタ4203がオフである場合、オフチップバッファ42bによって駆動される前記の負荷もしくは装荷は充電され、PMOSトランジスタ4204は、オン抵抗を持つ抵抗器と等価である。NMOSトランジスタ4203がオンで、PMOSトランジスタ4204がオフである場合、オフチップバッファ42bによって駆動される前記の負荷または装荷は放電され、NMOSトランジスタ4203は、オン抵抗を持つ抵抗器と等価である。NMOSトランジスタ4203またはPMOSトランジスタ4204のオン抵抗(バイアス電圧の関数)を使用して、オフチップバッファ42bのサイズを特徴付けることができる。
図39Aまたは39Iに示されているチップ間バッファ702aのサイズは、NMOSトランジスタ752aまたはPMOSトランジスタ752bの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ752aおよびPMOSトランジスタ752bのドレインは、金属相互接続線640dを通してキャッシュメモリチップ311の金属パッド600bに接続される。チップ間バッファ702aが図39Cに示されている2段カスケードチップ間ドライバである場合、チップ間バッファ702aのサイズは、最終段のドライバ425b内のNMOSトランジスタ752aまたはPMOSトランジスタ752bの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ752aおよびPMOSトランジスタ752bのドレインは、金属相互接続線640dを通してキャッシュメモリチップ311の金属パッド600bに接続される。NMOSトランジスタ752aまたはPMOSトランジスタ752bの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。NMOSトランジスタ752aの物理的チャネル幅と物理的チャネル長との比は、1から50までの範囲、例えば、1から20までの範囲内とすることができ、PMOSトランジスタ752bの物理的チャネル幅と物理的チャネル長との比は、1から100までの範囲、例えば、1から40までの範囲内とすることができる。
図39Aまたは39Iに示されているチップ間バッファ703aのサイズは、NMOSトランジスタ753aまたはPMOSトランジスタ753bの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ753aおよびPMOSトランジスタ753bのドレインは、金属相互接続線640fを通してチップ313の金属パッド600cに接続される。チップ間バッファ703aが図39Dに示されている2段カスケードチップ間ドライバである場合、チップ間バッファ703aのサイズは、最終段のドライバ426b内のNMOSトランジスタ753aまたはPMOSトランジスタ753bの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ753aおよびPMOSトランジスタ753bのドレインは、金属相互接続線640fを通してチップ313の金属パッド600cに接続される。NMOSトランジスタ753aまたはPMOSトランジスタ753bの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。NMOSトランジスタ753aの物理的チャネル幅と物理的チャネル長との比は、1から50までの範囲、例えば、1から20までの範囲内であり、PMOSトランジスタ753bの物理的チャネル幅と物理的チャネル長との比は、1から100までの範囲、例えば、1から40までの範囲内である。
図39Aまたは39Iに示されているオフチップバッファ42bのサイズは、NMOSトランジスタ4203またはPMOSトランジスタ4204の物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ4203およびPMOSトランジスタ4204のドレインは、金属相互接続線640mを通してキャッシュメモリチップ311の金属パッド600sまたは887に接続される。オフチップバッファ42bが図11Aに示されている2段カスケードオフチップドライバ421である場合、オフチップバッファ42bのサイズは、最終段のドライバ421”内のNMOSトランジスタ4203またはPMOSトランジスタ4204の物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ4203およびPMOSトランジスタ4204のドレインは、金属相互接続線640mを通してキャッシュメモリチップ311の金属パッド600sまたは887に接続される。NMOSトランジスタ4203またはPMOSトランジスタ4204の物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。NMOSトランジスタ4203の物理的チャネル幅と物理的チャネル長との比は、30から20000までの範囲など、30より大きく、例えば、50から300までの範囲など、50より大きいものとしてよい。PMOSトランジスタ4204の物理的チャネル幅と物理的チャネル長との比は、60から40000までの範囲など、60より大きく、例えば、100から600までの範囲など、100より大きいものとしてよい。NMOSトランジスタ4203の物理的チャネル幅と物理的チャネル長との比は、NMOSトランジスタ752aの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。PMOSトランジスタ4204の物理的チャネル幅と物理的チャネル長との比は、PMOSトランジスタ752bの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。
図39Aまたは39Iに示されているオフチップバッファ42cのサイズは、NMOSトランジスタ4203aまたはPMOSトランジスタ4204aの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ4203aおよびPMOSトランジスタ4204aのドレインは、金属相互接続線640pを通してチップ313の金属パッド600wに接続される。オフチップバッファ42cが図39Fに示されている2段カスケードオフチップドライバである場合、オフチップバッファ42cのサイズは、最終段のドライバ427b内のNMOSトランジスタ4203aまたはPMOSトランジスタ4204aの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ4203aおよびPMOSトランジスタ4204aのドレインは、金属相互接続線640pを通してチップ313の金属パッド600wに接続される。NMOSトランジスタ4203aまたはPMOSトランジスタ4204aの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。NMOSトランジスタ4203aの物理的チャネル幅と物理的チャネル長との比は、30から20000までの範囲など、30より大きく、例えば、50から300までの範囲など、50より大きい。PMOSトランジスタ4204aの物理的チャネル幅と物理的チャネル長との比は、60から40000までの範囲など、60より大きく、例えば、100から600までの範囲など、100より大きい。NMOSトランジスタ4203aの物理的チャネル幅と物理的チャネル長との比は、NMOSトランジスタ753aの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。PMOSトランジスタ4204aの物理的チャネル幅と物理的チャネル長との比は、PMOSトランジスタ753bの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。
図39Hまたは39Jに示されているチップ間バッファ701aまたは702aのサイズは、チップ間トライステートバッファのトライステートドライバのNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、トライステートドライバは、金属相互接続線640bまたは640dを通してキャッシュメモリチップ311の金属パッド600bに接続され、トライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から50までの範囲、例えば、1から20までの範囲内であり、トライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から100までの範囲、例えば、1から40までの範囲内である。チップ間バッファ701aまたは702aが多段トライステートバッファである場合、チップ間バッファ701aまたは702aのサイズは、多段トライステートバッファの最終段のトライステートドライバ内のNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、最終段のトライステートドライバは、金属相互接続線640bまたは640dを通してキャッシュメモリチップ311の金属パッド600bに接続され、最終段のトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から50までの範囲、例えば、1から20までの範囲内であり、最終段のトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から100までの範囲、例えば、1から40までの範囲内である。NMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。
図39Hまたは39Jに示されているチップ間バッファ703aまたは704aのサイズは、チップ間トライステートバッファのトライステートドライバのNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、トライステートドライバは、金属相互接続線640fまたは640hを通してチップ313の金属パッド600cに接続され、トライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から50までの範囲、例えば、1から20までの範囲内であり、トライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から100までの範囲、例えば、1から40までの範囲内である。チップ間バッファ703aまたは704aが多段トライステートバッファである場合、チップ間バッファ703aまたは704aのサイズは、多段トライステートバッファの最終段のトライステートドライバ内のNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、最終段のトライステートドライバは、金属相互接続線640fまたは640hを通してチップ313の金属パッド600cに接続され、最終段のトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から50までの範囲、例えば、1から20までの範囲内であり、最終段のトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から100までの範囲、例えば、1から40までの範囲内である。NMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。
図39Hまたは39Jに示されているオフチップバッファ42aまたは42bのサイズは、オフチップトライステートバッファのトライステートドライバのNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、トライステートドライバは、金属相互接続線640jまたは640mを通してキャッシュメモリチップ311の金属パッド600tまたは600sに接続され、トライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、30から20000までの範囲など、30より大きく、例えば、50から300までの範囲など、50より大きく、トライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、60から40000までの範囲など、60より大きく、例えば、100から600までの範囲など、100より大きい。オフチップバッファ42aまたは42bが多段トライステートバッファである場合、オフチップバッファ42aまたは42bのサイズは、多段トライステートバッファの最終段のトライステートドライバ内のNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、最終段のトライステートドライバは、金属相互接続線640jまたは640mを通してキャッシュメモリチップ311の金属パッド600tまたは600sに接続され、最終段のトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、30から20000までの範囲など、30より大きく、例えば、50から300までの範囲など、50より大きく、最終段のトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、60から40000までの範囲など、60より大きく、例えば、100から600までの範囲など、100より大きい。NMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。図39Hまたは39Jに示されているオフチップトライステートバッファ42aまたは42bのトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、図39Hまたは39Jに示されているチップ間トライステートバッファ701aまたは702aのトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。図39Hまたは39Jに示されているオフチップトライステートバッファ42aまたは42bのトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、図39Hまたは39Jに示されているチップ間トライステートバッファ701aまたは702aのトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。
図39Hまたは39Jに示されているオフチップバッファ42cまたは42dのサイズは、オフチップトライステートバッファのトライステートドライバのNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、トライステートドライバは、金属相互接続線640pまたは640rを通してチップ313の金属パッド600wまたは600xに接続され、トライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、30から20000までの範囲など、30より大きく、例えば、50から300までの範囲など、50より大きく、トライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、60から40000までの範囲など、60より大きく、例えば、100から600までの範囲など、100より大きい。オフチップバッファ42cまたは42dが多段トライステートバッファである場合、オフチップバッファ42cまたは42dのサイズは、多段トライステートバッファの最終段のトライステートドライバ内のNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、最終段のトライステートドライバは、金属相互接続線640pまたは640rを通してチップ313の金属パッド600wまたは600xに接続され、最終段のトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、30から20000までの範囲など、30より大きく、例えば、50から300までの範囲など、50より大きく、最終段のトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、60から40000までの範囲など、60より大きく、例えば、100から600までの範囲など、100より大きい。NMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。図39Hまたは39Jに示されているオフチップトライステートバッファ42cまたは42dのトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、図39Hまたは39Jに示されているチップ間トライステートバッファ703aまたは704aのトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。図39Hまたは39Jに示されているオフチップトライステートバッファ42cまたは42dのトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、図39Hまたは39Jに示されているチップ間トライステートバッファ703aまたは704aのトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。
図42A〜42Oは、図37A、37D、38A、38D、39A、39H、39I、または39Jに示されているチップ313を形成するためのプロセスを示す断面図である。図42Aを参照すると、シリコンウェハなどの半導体ウェハ10aは、シリコン基板1と、シリコン基板1内に、および/またはシリコン基板1の上に前記のオフチップバッファ42cおよび前記のオフチップESD回路43cを備える前記のオフチップ回路40cと、シリコン基板1内に、および/またはシリコン基板1の上に前記のオフチップバッファ42dおよび前記のオフチップESD回路43dを備える前記のオフチップ回路40dと、シリコン基板1内の、および/またはシリコン基板1の上の、前記のチップ間回路20eおよび20fと、シリコン基板1内の、および/またはシリコン基板1の上の、前記の内部回路20gおよび20hと、シリコン基板1の上の複数の誘電体層30と、シリコン基板1の上の複数の細線金属層60と、誘電体層30のビア30’内の複数のビアプラグ60’と、細線金属層60の上に、また誘電体層30の上に、またオフチップ回路40cおよび40dの上に、またチップ間回路20eおよび20fの上に、また内部回路20gおよび20hの上にある、絶縁層5、つまり、パッシベーション層とを設けられていることがわかる。
半導体ウェハ10aは、前記の金属パッド600c、600w、および600xを有する。金属パッド600c、600w、および600xは、パッシベーション層5の下の最上位の細線金属層60によって構成され、アルミニウム、アルミニウム銅合金、または電気メッキされた銅を含む。金属パッド600c、600w、および600xのそれぞれは、0.5から3マイクロメートルまでの範囲または20ナノメートルから1.5マイクロメートルまでの範囲の厚さ、ならびに0.2から0.95マイクロメートルまでの範囲など、1マイクロメートルより小さい幅を有するものとしてよい。パッシベーション層5内の複数の開口部50が、金属パッド600c、600w、および600xの上にあり、それらを露出し、金属パッド600c、600w、および600xは、開口部50の底部にある。開口部50のそれぞれは、10から100マイクロメートルまでの範囲、好ましくは20から60マイクロメートルまでの範囲の幅を有することができる。
シリコン基板1は、600から1000マイクロメートルまでの範囲、50マイクロメートルから1ミリメートルまでの範囲、または75から250マイクロメートルまでの範囲の厚さt1を有する。あるいは、シリコン基板1を、シリコンゲルマニウム(SiGe)基板またはガリウムヒ素(GaAs)基板などの、他の半導体基板と置き換えることができる。誘電体層30は、隣接する細線金属層60の間にそれぞれ挿入され、隣接する細線金属層60は、誘電体層30の内部でビアプラグ60’を通して相互接続される。誘電体層30は、好適な1つまたは複数のプロセスによって、例えば、CVD(化学気相成長法)プロセス、PECVD(プラズマ化学気相成長法)プロセス、高密度プラズマ(HDP)CVDプロセス、またはスピンオンコーティング法によって形成されうる。誘電体層30の材料として、酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、オキシ炭化ケイ素(SiOC)、または窒化炭素ケイ素(SiCN)が挙げられる。誘電体層30のそれぞれは、1つまたは複数の無機層で構成することができ、0.1から1.5マイクロメートルまでの範囲の厚さを有するものとしてよい。例えば、誘電体層30のそれぞれは、オキシ窒化ケイ素または窒化炭素ケイ素の層、およびオキシ窒化ケイ素または窒化炭素ケイ素の層上の酸化ケイ素またはオキシ炭化ケイ素の層を含むことができる。あるいは、誘電体層30のそれぞれは、0.02から1.2マイクロメートルまでの範囲の厚さを有する、酸化ケイ素層などの、酸化物層と、酸化物層上の0.02から1.2マイクロメートルまでの範囲の厚さを有する、窒化ケイ素層などの、窒化物層とを含むことができる。
細線金属層60のそれぞれは、20ナノメートルから1.5マイクロメートルまでの範囲、好ましくは100ナノメートルから1マイクロメートルまでの範囲の厚さを有する。細線金属層60のそれぞれは、0.05から0.95マイクロメートルまでの範囲など、1マイクロメートルより小さい幅を有する金属トレースを含むことができる。細線金属層60の材料として、電気メッキした銅、アルミニウム、アルミニウム銅合金、または前記の材料の複合材が挙げられる。
例えば、細線金属層60のそれぞれは、誘電体層30のうちの1つにおける、20ナノメートルから1.5マイクロメートルまでの範囲、好ましくは100ナノメートルから1マイクロメートルまでの範囲の厚さを有する電気メッキした銅層と、電気メッキした銅層の底面および側壁の、窒化チタン層、チタンタングステン合金層、窒化タンタル層、チタン層、またはタンタル層などの、接着/バリア層と、電気メッキした銅層と接着/バリア層との間の銅のシード層とを含みうる。銅のシード層は、電気メッキした銅層の底面および側面にあり、電気メッキした銅層の底面および側壁と接触している。電気メッキした銅層、銅のシード層、および接着/バリア層は、好適な1つまたは複数のプロセスによって、例えば、電気メッキプロセス、スパッタリングプロセス、および化学機械研磨(CMP)プロセスを含むダマシンまたは二重ダマシンプロセスによって形成されうる。
あるいは、細線金属層60のそれぞれは、誘電体層30のうちの1つの上面上の接着/バリア層と、接着/バリア層の上面上の、20ナノメートルから1.5マイクロメートルまでの範囲、好ましくは100ナノメートルから1マイクロメートルまでの範囲の厚さを有するスパッタリングされたアルミニウムまたはアルミニウム銅合金層と、スパッタリングされたアルミニウムまたはアルミニウム銅合金層の上面上の反射防止層とを備えることができる。スパッタリングされたアルミニウムまたはアルミニウム銅合金層、接着/バリア層、および反射防止層は、好適な1つまたは複数のプロセスによって、例えば、スパッタリングプロセスおよびエッチングプロセスを含むプロセスによって形成することができる。スパッタリングされたアルミニウムまたはアルミニウム銅合金層の側壁は、接着/バリア層および反射防止層によって覆われていない。接着/バリア層および反射防止層は、チタン層、窒化チタン層、またはチタンタングステン層とすることができる。
半導体ウェハ10aは、細線金属層60およびビアプラグ60’によって構成される部分をそれぞれが含む前記の金属相互接続線640e、640f、640g、640h、640n、640p、640q、および640rを有するが、金属相互接続線640eおよび640gは、図42A〜42Oに示されていない。半導体ウェハ10aは、前記の試験インターフェイス回路333cおよび333d(図42A〜42Oに示されていない)も含む。金属相互接続線640eは、チップ間回路20eと内部回路20gを接続することができ、金属相互接続線640gは、チップ間回路20fと内部回路20hを接続することができる。チップ間回路20eは、金属相互接続線640fを通して、金属パッド600cのうちの1つに、また試験インターフェイス回路333cに接続されうる。チップ間回路20fは、金属相互接続線640hを通して、金属パッド600cのうちの別の1つに、また試験インターフェイス回路333dに接続されうる。金属相互接続線640nは、オフチップバッファ42cと試験インターフェイス回路333cを接続することができ、金属相互接続線640qは、オフチップバッファ42dと試験インターフェイス回路333dを接続することができる。オフチップバッファ42cは、金属相互接続線640pを通して、オフチップESD回路43cに、また試験金属パッド600wに接続されうる。オフチップバッファ42dは、金属相互接続線640rを通して、オフチップESD回路43dに、また試験金属パッド600xに接続されうる。
パッシベーション層5は、チップ間回路20eおよび20f、内部回路20gおよび20h、オフチップ回路40cおよび40d、ならびに細線金属層60を、水分および外来イオン汚染による損傷から保護することができる。言い換えると、可動イオン(ナトリウムイオンなど)、遷移金属(金、銀、および銅など)、および不純物が、パッシベーション層5を貫通して、チップ間回路20eおよび20f、内部回路20gおよび20h、オフチップ回路40cおよび40d、ならびに細線金属層60に達するのを防ぐことができる。
パッシベーション層5は、好適な1つまたは複数のプロセスによって、例えば、化学気相成長(CVD)法によって形成することができ、典型的には、0.3から1.5マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する。パッシベーション層5は、一般に、酸化ケイ素(SiO2など)、窒化ケイ素(Si34)、オキシ窒化ケイ素(SiONなど)、オキシ炭化ケイ素(SiOC)、PSG(リン珪酸ガラス)、窒化炭素ケイ素(SiCNなど)、または前記の材料の複合材から作られる。
パッシベーション層5は、1つまたは複数の無機層で構成することができる。例えば、パッシベーション層5は、0.2から1.2マイクロメートルまでの範囲の厚さを有する、酸化ケイ素またはオキシ炭化ケイ素(SiOC)などの酸化物層および酸化物層上の0.2から1.2マイクロメートルまでの範囲の厚さを有する、窒化ケイ素、オキシ窒化ケイ素、または窒化炭素ケイ素(SiCN)などの窒化物層の複合層とすることができる。あるいは、パッシベーション層5は、0.2から1.2マイクロメートルまでの範囲の厚さを有する窒化ケイ素、オキシ窒化ケイ素、または窒化炭素ケイ素(SiCN)の単層とすることができる。例示的な場合において、パッシベーション層5は、半導体ウェハ10aの最上位無機層を備え、半導体ウェハ10aの最上位無機層は、0.2から1.5マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを有する窒化ケイ素層とすることができる。
図42Bを参照すると、図42Aに示されている半導体ウェハ10aを構成した後に、開口部50内に、また開口部50によって露出されている金属パッド600wおよび600x上にフラックスまたは絶縁体33を形成することができることがわかる。次に、説明が簡単になるように反転した図でステップが例示されている図42Cを参照すると、半導体ウェハ10aのシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。
次に、説明が簡単になるように反転した図でステップが例示されている図42Dを参照すると、複数のシリコン貫通ビア11(これらのうち2つのみ、図42D〜42Nに示されている)が、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成されて、細線金属層60の領域60aを露出し、絶縁層3が、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11の側壁上に形成されていることがわかる。シリコン貫通ビア11は、薄化シリコン基板1および(複数可)誘電体層30を完全に貫通する。シリコン貫通ビア11のそれぞれは、5から100マイクロメートルまでの範囲、または3から50マイクロメートルまでの範囲の直径または幅W1、および1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の深さを有するものとしてよい。絶縁層3として、例えば、窒化ケイ素層などの窒化物層、ポリイミド層、ベンゾシクロブテン層、またはポリベンゾオキサゾール層などのポリマー層、酸窒化ケイ素層、窒化炭素ケイ素(SiCN)層、オキシ炭化ケイ素(SiOC)層、または酸化ケイ素層が挙げられる。図42Dに示されているようなシリコン貫通ビア11および絶縁層3を形成するプロセスは、図24D〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eならびに絶縁層3を形成するプロセスとして参照することができる。図42Dに示されている絶縁層3の詳細は、図24D〜24Hまたは図24I〜24Nに例示されているような絶縁層3の詳細として参照することができる。
次に、説明が簡単になるように反転した図でステップが例示されている図42Eを参照すると、2つの金属層4および9によって構成される相互接続構造88を、シリコン貫通ビア11内に、また絶縁層3上に、また細線金属層60の領域60a上に、また薄化シリコン基板1の裏面1aに形成することができ、金属層4は、絶縁層3上の、またシリコン貫通ビア11内の、またシリコン貫通ビア11によって露出されている細線金属層60の領域60a上の接着/バリア層4aと接着/バリア層4a上のシード層4bとからなることがわかる。金属層9の側壁は、金属層4によって覆われていない。図42Eに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図42Eに示されているような接着/バリア層4aおよびシード層4bを含む金属層4の詳細は、図25Aに例示されているような接着/バリア層4aおよびシード層4bを含む金属層4の詳細として参照することができる。図42Eに示されている金属層9の詳細は、図25Dに例示されているような金属層9の詳細として参照することができる。
次に、説明が簡単になるように反転した図でステップが例示されている図42Fを参照すると、ポリマー層14は、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、また硬化プロセスを含むプロセスを使用して、金属層9上に、また絶縁層3上に、また相互接続構造88の側壁に形成されることがわかる。ポリマー層14内の2つの開口部14aは、金属層9の2つの領域の上にあり、それらを露出している。ポリマー層14は、3から25マイクロメートルまでの範囲、好ましくは5から15マイクロメートルまでの範囲など、2マイクロメートルより大きく、また誘電体層30のそれぞれの厚さより大きく、またパッシベーション層5の厚さより大きい厚さを有する。ポリマー層14の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
次に、説明が簡単になるように反転した図でステップが例示されている図42Gを参照すると、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層16zを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、ポリマー層14上に、また開口部14aによって露出されている金属層9の領域上に形成することができ、次いで、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層18zを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層16z上に形成することができることがわかる。接着/バリア層16zの材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層18zの材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
例えば、接着/バリア層16zが、好適な1つまたは複数のプロセスによって、例えば、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層14上に、また開口部14aによって露出される金属層9の領域上に、スパッタリングすることによって形成される場合、シード層18zは、好適な1つまたは複数のプロセスによって、例えば、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層16zが、好適な1つまたは複数のプロセスによって、例えば、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層14上に、また開口部14aによって露出される金属層9の領域上に、スパッタリングすることによって形成される場合、シード層18zは、好適な1つまたは複数のプロセスによって、例えば、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
シード層18zを形成した後、1から60マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層31が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによってシード層18z上に形成される。次に、露光および現像のプロセスによりフォトレジスト層31のパターン形成を行い、シード層18zを露出する複数の開口部31aをフォトレジスト層31内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層31を露光することができる。
次に、電気メッキプロセスを使用することによって、金属層27yを、開口部31aによって露出されている前記の材料のシード層18z上に、また開口部31a内に形成し、次いで、電気メッキプロセスを使用することによって、ハンダ層27zを開口部31a内の金属層27y上に形成する。金属層27yおよびハンダ層27zのそれぞれは、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きく、またシード層18zの厚さより大きく、また接着/バリア層16zの厚さより大きい厚さを有する。金属層27yの材料として、銅および/またはニッケルが挙げられ、ハンダ層27zの材料として、ビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金が挙げられる。ハンダ層27zは、キャッシュメモリチップ321と接合するために使用されうる。
例えば、金属層27yは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つ銅の単一金属層を開口部31aによって露出されているシード層18z、好ましくは前記の銅層18z上に電気メッキすることによって形成することができ、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層27zを、電気メッキプロセスを使用することによって銅の単一金属層上に形成することができる。
あるいは、金属層27yを、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つニッケルの単一金属層を開口部31aによって露出されているシード層18z、好ましくは前記の銅またはニッケル層18z上に電気メッキすることによって形成することができ、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層27zを、電気メッキプロセスを使用することによってニッケルの単一金属層上に形成することができる。
あるいは、金属層27yは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つ銅層を開口部31aによって露出されているシード層18z、好ましくは前記の銅層18z上に電気メッキし、次いで、0.3から6マイクロメートルまでの範囲、好ましくは1から3マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを持つニッケル層を、電気メッキされた銅層上に電気メッキすることによって形成することができる。1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層27zを、電気メッキプロセスを使用することによって金属層27yの電気メッキされたニッケル層上に形成することができる。
次に、説明が簡単になるように反転した図でステップが例示されている図42Hを参照すると、フォトレジスト層31は、無機溶液を使用して、またはアミドとともに有機溶液を使用して、除去されることがわかる。フォトレジスト層31からの一部の残留物が、金属層27yの下にないシード層18z上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、シード層18zから残留物を除去することができる。次に、金属層27yの下にないシード層18zを除去し、次いで、金属層27yの下にない接着/バリア層16zを除去する。
例えば、金属層27yの下にないシード層18zおよび金属層27yの下にない接着/バリア層16zは、Arスパッタリングエッチングプロセス、反応性イオンエッチング(RIE)プロセス、またはイオンミリングプロセスなどの乾式エッチングプロセスによって除去することができる。
あるいは、金属層27yの下にないシード層18zおよび金属層27yの下にない接着/バリア層16zは、ウェットエッチングプロセスによって除去することができる。シード層18zが銅層である場合、NH4OHを含む溶液またはH2SO4を含む溶液でエッチングすることができる。接着/バリア層16zがチタンタングステン合金層である場合、過酸化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができる。接着/バリア層16zがチタン層である場合、フッ化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができる。
金属層27yの下にないシード層18zが、ウェットエッチングプロセスを使用して除去される場合、シード層18zの上に覆い被さる金属層27yの下にアンダーカットが形成される。金属層27yの下にあるシード層18zは、金属層27yの第2の側壁から凹んでいる第1の側壁を有し、第1の側壁と第2の側壁との間の距離は、0.1から2マイクロメートルまでの範囲内である。
したがって、図42Hに示されているように、薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、ポリマー層14、金属層4および9によって構成される相互接続構造88、および接着/バリア層16z、シード層18z、金属層27y、およびハンダ層27zによって構成される複数のマイクロバンプ317c、つまり金属バンプとともに形成される。マイクロバンプ317cのそれぞれは、2から70マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、2マイクロメートルよりも大きい高さ、および3から30マイクロメートルまでの範囲など、3マイクロメートルより大きい幅もしくは直径を有する。隣接する2つのマイクロバンプ317cの間のピッチP2は、60マイクロメートルより小さく、好ましくは、5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。マイクロバンプ317cの1つは、相互接続構造88を通してマイクロバンプ317cのうちの別の1つに接続することができる。マイクロバンプ317cは、キャッシュメモリチップ321と接合するために使用される。チップ間回路20eは、金属相互接続線640fを通して相互接続構造88に、金属相互接続線640fおよび相互接続構造88を通してマイクロバンプ317cに、金属相互接続線640f、相互接続構造88、および金属相互接続線640hを通してチップ間回路20fに接続されうる。チップ間回路20fは、金属相互接続線640hを通して相互接続構造88に、金属相互接続線640hおよび相互接続構造88を通してマイクロバンプ317cに接続されうる。
あるいは、相互接続構造88を電源プレーン、電源バス、電源トレース、または電源線などの電源相互接続部に使用し、これにより、チップ間回路20eの電源ノード、内部回路20gの電源ノード、オフチップバッファ42cの電源ノード、オフチップESD回路43cのノード、試験インターフェイス回路333cの電源ノード、チップ間回路20fの電源ノード、内部回路20hの電源ノード、オフチップバッファ42dの電源ノード、オフチップESD回路43dのノード、および試験インターフェイス回路333dの電源ノードと、シリコン貫通ビア11を通して接続することができる。チップ間回路20e、内部回路20g、オフチップバッファ42c、および試験インターフェイス回路333cの電源ノードは、チップ間回路20f、内部回路20h、オフチップバッファ42d、および試験インターフェイス回路333dの電源ノードに、相互接続構造88を通して接続されうる。オフチップESD回路43cのノードは、オフチップESD回路43dのノードに、相互接続構造88を通して接続されうる。
あるいは、相互接続構造88をグランドプレーン、グランドバス、グランドトレース、またはグランド線などのグランド相互接続部に使用し、これにより、チップ間回路20eのグランドノード、内部回路20gのグランドノード、オフチップバッファ42cのグランドノード、オフチップESD回路43cのノード、試験インターフェイス回路333cのグランドノード、チップ間回路20fのグランドノード、内部回路20hのグランドノード、オフチップバッファ42dのグランドノード、オフチップESD回路43dのノード、および試験インターフェイス回路333dのグランドノードと、シリコン貫通ビア11を通して接続することができる。チップ間回路20e、内部回路20g、オフチップバッファ42c、および試験インターフェイス回路333cのグランドノードは、チップ間回路20f、内部回路20h、オフチップバッファ42d、および試験インターフェイス回路333dのグランドノードに、相互接続構造88を通して接続されうる。オフチップESD回路43cのノードは、オフチップESD回路43dのノードに、相互接続構造88を通して接続されうる。
図42Iを参照すると、図42Hに示されているマイクロバンプ317cを形成した後に、フラックスまたは絶縁体33を除去して、パッシベーション層5内の開口部50によって露出されている金属パッド600c、600w、および600xを露出させることができることがわかる。次に、図42Jを参照すると、ポリマー層95が、半導体ウェハ10aのパッシベーション層5上に適宜形成されうることがわかる。ポリマー層95内の複数の開口部950が、開口部50によって露出された金属パッド600c、600w、および600xの上にあり、それらを露出する。ポリマー層95は、3から50マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲など、2マイクロメートルより大きく、またパッシベーション層5の厚さより大きく、また誘電体層30のそれぞれの厚さより大きい厚さを有するものとしてよい。ポリマー層95の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
次に、図42Kを参照すると、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層32yを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスによって、ポリマー層95上に、また開口部950によって露出されている金属パッド600c、600w、および600x上に形成することができ、次いで、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層32zを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層32z上に形成することができることがわかる。接着/バリア層32yの材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層32zの材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
接着/バリア層32yが、好適な1つまたは複数のプロセスによって、例えば、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層95上に、また開口部950によって露出されている金属パッド600c、600w、および600x上に、スパッタリングすることによって形成される場合、シード層32zは、好適な1つまたは複数のプロセスによって、例えば、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層32yが、好適な1つまたは複数のプロセスによって、例えば、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層95上に、また開口部950によって露出される金属パッド600c、600w、および600x上に、スパッタリングすることによって形成される場合、シード層32zは、好適な1つまたは複数のプロセスによって、例えば、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
シード層32zを形成した後、1から60マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層71が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによってシード層32z上に形成される。次に、露光および現像のプロセスによりフォトレジスト層71のパターン形成を行い、シード層32zを露出する複数の開口部710をフォトレジスト層71内に形成し、開口部710は、金属パッド600cの上にあるが、試験用の金属パッド600wおよび600xの上に、フォトレジスト層71内の開口部はない。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層71を露光することができる。
次に、電気メッキプロセスを使用することによって、金属層34yを、開口部710によって露出されている前記の材料のシード層32z上に、また開口部710内に形成し、次いで、電気メッキプロセスを使用することによって、ハンダ層34zを開口部710内の金属層34y上に形成する。金属層34yおよびハンダ層34zは両方とも、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きく、またシード層32zの厚さより大きく、また接着/バリア層32yの厚さより大きい厚さを有する。金属層34yの材料として、銅および/またはニッケルが挙げられ、ハンダ層34zの材料として、ビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金が挙げられる。ハンダ層34zは、キャッシュメモリチップ311と接合するために使用されうる。
例えば、金属層34yは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つ銅の単一金属層を開口部710によって露出されているシード層32z、好ましくは前記の銅層32z上に電気メッキすることによって形成することができ、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層34zを、電気メッキプロセスを使用することによって銅の単一金属層上に形成することができる。
あるいは、金属層34yは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つニッケルの単一金属層を開口部710によって露出されているシード層32z、好ましくは前記の銅またはニッケル層32z上に電気メッキすることによって形成することができ、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層34zを、電気メッキプロセスを使用することによってニッケルの単一金属層上に形成することができる。
あるいは、金属層34yは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つ銅層を開口部710によって露出されているシード層32z、好ましくは前記の銅層32z上に電気メッキし、次いで、0.3から6マイクロメートルまでの範囲、好ましくは1から3マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを持つニッケル層を、電気メッキされた銅層上に電気メッキすることによって形成することができる。1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層34zを、電気メッキプロセスを使用することによって金属層34yの電気メッキされたニッケル層上に形成することができる。
次に、図42Lを参照すると、フォトレジスト層71は、無機溶液を使用して、またはアミドとともに有機溶液を使用して、除去されることがわかる。フォトレジスト層71からの一部の残留物が、金属層34yの下にないシード層32z上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、シード層32zから残留物を除去することができる。次に、金属層34yの下にないシード層32zを除去し、次いで、金属層34yの下にない接着/バリア層32yを除去する。
例えば、金属層34yの下にないシード層32zおよび金属層34yの下にない接着/バリア層32yは、Arスパッタリングエッチングプロセス、反応性イオンエッチング(RIE)プロセス、またはイオンミリングプロセスなどのドライエッチングプロセスによって除去することができる。
あるいは、金属層34yの下にないシード層32zおよび金属層34yの下にない接着/バリア層32yは、湿式エッチングプロセスによって除去することができる。シード層32zが銅層である場合、NH4OHを含む溶液またはH2SO4を含む溶液でエッチングすることができる。接着/バリア層32yがチタンタングステン合金層である場合、過酸化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができる。接着/バリア層32yがチタン層である場合、フッ化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができる。
金属層34yの下にないシード層32zが、ウェットエッチングプロセスを使用して除去される場合、シード層32zの上に覆い被さる金属層34yの下にアンダーカットが形成される。金属層34yの下にあるシード層32zは、金属層34yの第2の側壁から凹んでいる第1の側壁を有し、第1の側壁と第2の側壁との間の距離は、0.1から2マイクロメートルまでの範囲内である。
したがって、図42Lに示されているように、接着/バリア層32y、シード層32z、金属層34y、およびハンダ層34zによって構成される複数のマイクロバンプ317d、つまり、金属バンプが、開口部50および950によって露出されている金属パッド600c上に、またポリマー層95上に、また薄化シリコン基板1の活性側に形成され、キャッシュメモリチップ311と接合するために使用される。マイクロバンプ317dのそれぞれは、2から70マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、2マイクロメートルよりも大きい高さ、および3から30マイクロメートルまでの範囲など、3マイクロメートルより大きい幅もしくは直径を有する。隣接する2つのマイクロバンプ317dの間のピッチP3は、60マイクロメートルより小さく、好ましくは、5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。金属相互接続線640fおよび640hは、開口部50および950を通してマイクロバンプ317dと接続する。チップ間回路20eは、金属相互接続線640fを通して、マイクロバンプ317dのうちの1つに接続され、チップ間回路20fは、金属相互接続線640hを通して、マイクロバンプ317dのうちの別の1つに接続されうる。開口部50および950によって露出されている金属パッド600wおよび600x上に形成されたマイクロバンプはない、つまり、金属パッド600wおよび600xは、試験用の開口部50および950によって露出されている。
あるいは、図42Mを参照すると、ポリマー層95を省くことができる、つまり、接着/バリア層32yをパッシベーション層5上に、またパッシベーション層5内の開口部50によって露出される金属パッド600c上に形成することができることがわかる。したがって、接着/バリア層32y、シード層32z、金属層34y、およびハンダ層34zによって構成される複数のマイクロバンプ317dは、開口部50によって露出されている金属パッド600c上に、またパッシベーション層5上に、また薄化シリコン基板1の活性側に形成されうる。
図42Nを参照すると、マイクロバンプ317dを形成した後に、半導体ウェハ10aを、ダイソーイングプロセスによって、図37A、37D、38A、38D、39A、39H、39I、または39Jに示されている複数のチップ313に切り分けることができることがわかる。あるいは、図42Oを参照すると、図42B〜42Iに示されているステップを省くことができる、つまり、図42Aに示されている半導体ウェハ10aを構成した後に、図42J〜42Lに示されているステップを実行して、ポリマー層95およびマイクロバンプ317dを形成し、次いで、半導体ウェハ10aを、ダイソーイングプロセスによって、図37B、37C、38B、38C、39A、39H、39I、または39Jに示されている複数のチップ313に切り分けることができることがわかる。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているチップ313のIC構造6cは、図42Nまたは42Oに例示されているように、チップ間回路20eおよび20fと、内部回路20gおよび20hと、オフチップバッファ42cおよびオフチップESD回路43cを含むオフチップ回路40cと、オフチップバッファ42dおよびオフチップESD回路43dを含むオフチップ回路40dと、誘電体層30と、ビア30’と、細線金属層60と、ビアプラグ60’と、試験インターフェイス回路333cおよび333dと、金属相互接続線640e、640f、640g、640h、640n、640p、640q、および640rとを収容する。
図43A〜43Eは、最終的に図37A、37D、38A、または38Dに示されている複数のキャッシュメモリチップ321に切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。図43Aを参照すると、シリコンウェハなどの半導体ウェハ10bは、シリコン基板1と、シリコン基板1内の、および/またはシリコン基板1の上の、複数のチップ間回路20jおよび20kと、シリコン基板1内の、および/またはシリコン基板1の上の、複数の内部回路20mおよび20nと、シリコン基板1内に、および/またはシリコン基板1の上にオフチップバッファ42eおよびオフチップESD回路43eを備えるオフチップ回路40eと、シリコン基板1内に、および/またはシリコン基板1の上にオフチップバッファ42fおよびオフチップESD回路43fを備えるオフチップ回路40fと、シリコン基板1の上の複数の誘電体層30と、シリコン基板1の上の複数の細線金属層60と、誘電体層30のビア30’内の複数のビアプラグ60’と、細線金属層60の上に、また誘電体層30の上に、またチップ間回路20jおよび20kの上に、またオフチップ回路40eおよび40fの上に、また内部回路20mおよび20nの上にある、絶縁層5、つまり、パッシベーション層とを設けられていることがわかる。
半導体ウェハ10bは、前記の金属パッド600d、600y、および600zを有する。金属パッド600d、600y、および600zは、パッシベーション層5の下の最上位の細線金属層60によって構成され、アルミニウム、アルミニウム銅合金、または電気メッキされた銅を含む。金属パッド600d、600y、および600zのそれぞれは、0.5から3マイクロメートルまでの範囲または20ナノメートルから1.5マイクロメートルまでの範囲の厚さ、ならびに0.2から0.95マイクロメートルまでの範囲など、1マイクロメートルより小さい幅を有するものとしてよい。パッシベーション層5内の複数の開口部50が、金属パッド600d、600y、および600zの上にあり、それらを露出し、金属パッド600d、600y、および600zは、開口部50の底部にある。開口部50のそれぞれは、10から100マイクロメートルまでの範囲、好ましくは20から60マイクロメートルまでの範囲の幅を有することができる。
半導体ウェハ10bは、細線金属層60およびビアプラグ60’によって構成される部分をそれぞれが含む複数の金属相互接続線640s、640t、640u、640v、640w、640x、640y、および640zを有する。オフチップバッファ42eは、金属相互接続線640sを通して、オフチップESD回路43eに、また試験金属パッド600zに接続されうる。オフチップバッファ42fは、金属相互接続線640tを通して、オフチップESD回路43fに、また試験金属パッド600yに接続されうる。内部回路20mは、金属相互接続線640yを通して、チップ間回路20jに接続され、内部回路20nは、金属相互接続線640zを通して、チップ間回路20kに接続されうる。
半導体ウェハ10bは、2つの試験インターフェイス回路333eおよび333f(図示せず)も備える。試験インターフェイス回路333eは、金属相互接続線640uを通して、オフチップバッファ42eに接続され、試験インターフェイス回路333fは、金属相互接続線640vを通して、オフチップバッファ42fに接続されうる。チップ間回路20jは、金属相互接続線640wを通して、試験インターフェイス回路333eに、また金属パッド600dのうちの1つに接続されうる。チップ間回路20kは、金属相互接続線640xを通して、試験インターフェイス回路333fに、また金属パッド600dのうちの別の1つに接続されうる。
パッシベーション層5は、チップ間回路20jおよび20k、内部回路20mおよび20n、オフチップ回路40eおよび40f、試験インターフェイス回路333eおよび333f、ならびに細線金属層60を、水分および外来イオン汚染による損傷から保護することができる。言い換えると、可動イオン(ナトリウムイオンなど)、遷移金属(金、銀、および銅など)、および不純物が、パッシベーション層5を貫通して、チップ間回路20jおよび20k、内部回路20mおよび20n、オフチップ回路40eおよび40f、試験インターフェイス回路333eおよび333f、ならびに細線金属層60に達するのを防ぐことができる。図43Aに示されているようなシリコン基板1、誘電体層30、細線金属層60、およびパッシベーション層5の明細は、それぞれ図42Aに例示されているようなシリコン基板1、誘電体層30、細線金属層60、およびパッシベーション層5の明細として参照することができる。
次に、図43Bを参照すると、ポリマー層95が、半導体ウェハ10bのパッシベーション層5上に適宜形成されうることがわかる。ポリマー層95内の複数の開口部950が、開口部50によって露出された金属パッド600d、600y、および600zの上にあり、それらを露出する。図43Bに示されているようなポリマー層95の詳細は、図42Jに例示されているようなポリマー層95の詳細として参照することができる。
次に、図43Cを参照すると、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層32wを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、ポリマー層95上に、また開口部950によって露出されている金属パッド600d、600y、および600z上に形成することができ、次いで、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層32xを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層32w上に形成することができることがわかる。接着/バリア層32wの材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層32xの材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
接着/バリア層32wが、好適な1つまたは複数のプロセスによって、例えば、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層95上に、また開口部950によって露出されている金属パッド600d、600y、および600z上に、スパッタリングすることによって形成される場合、シード層32xは、好適な1つまたは複数のプロセスによって、例えば、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層32wが、好適な1つまたは複数のプロセスによって、例えば、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層95上に、また開口部950によって露出される金属パッド600d、600y、および600z上に、スパッタリングすることによって形成される場合、シード層32xは、好適な1つまたは複数のプロセスによって、例えば、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
シード層32xを形成した後、1から60マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層71が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによってシード層32x上に形成される。次に、露光および現像のプロセスによりフォトレジスト層71のパターン形成を行い、シード層32xを露出する複数の開口部710をフォトレジスト層71内に形成し、開口部710は、金属パッド600dの上にあるが、試験用の金属パッド600yおよび600zの上に、フォトレジスト層71内の開口部はない。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層71を露光することができる。
次に、電気メッキプロセスを使用することによって、金属層34wを、開口部710によって露出されている前記の材料のシード層32x上に、また開口部710内に形成し、次いで、電気メッキプロセスを使用することによって、ハンダ層34xを開口部710内に、また開口部710内の金属層34w上に形成する。金属層34wおよびハンダ層34xは両方とも、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きく、またシード層32xの厚さより大きく、また接着/バリア層32wの厚さより大きい厚さを有する。金属層34wの材料として、銅および/またはニッケルが挙げられ、ハンダ層34xの材料として、ビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金が挙げられる。ハンダ層34xは、チップ313と接合するために使用されうる。
例えば、金属層34wは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つ銅の単一金属層を開口部710によって露出されているシード層32x、好ましくは前記の銅層32x上に電気メッキすることによって形成することができ、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層34xを、電気メッキプロセスを使用することによって銅の単一金属層上に形成することができる。
あるいは、金属層34wは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つニッケルの単一金属層を開口部710によって露出されているシード層32x、好ましくは前記の銅またはニッケル層32x上に電気メッキすることによって形成することができ、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層34xを、電気メッキプロセスを使用することによってニッケルの単一金属層上に形成することができる。
あるいは、金属層34wは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つ銅層を開口部710によって露出されているシード層32x、好ましくは前記の銅層32x上に電気メッキし、次いで、0.3から6マイクロメートルまでの範囲、好ましくは1から3マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを持つニッケル層を、電気メッキされた銅層上に電気メッキすることによって形成することができる。1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層34xを、電気メッキプロセスを使用することによって金属層34wの電気メッキされたニッケル層上に形成することができる。
次に、図43Dを参照すると、フォトレジスト層71は、無機溶液を使用して、またはアミドとともに有機溶液を使用して、除去されることがわかる。フォトレジスト層71からの一部の残留物が、金属層34wの下にないシード層32x上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、シード層32xから残留物を除去することができる。次に、金属層34wの下にないシード層32xを除去し、次いで、金属層34wの下にない接着/バリア層32wを除去する。
例えば、金属層34wの下にないシード層32xおよび金属層34wの下にない接着/バリア層32wは、Arスパッタリングエッチングプロセス、反応性イオンエッチング(RIE)プロセス、またはイオンミリングプロセスなどのドライエッチングプロセスによって除去することができる。
あるいは、金属層34wの下にないシード層32xおよび金属層34wの下にない接着/バリア層32wは、ウェットエッチングプロセスによって除去することができる。シード層32xが銅層である場合、NH4OHを含む溶液またはH2SO4を含む溶液でエッチングすることができる。接着/バリア層32wがチタンタングステン合金層である場合、過酸化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができる。接着/バリア層32wがチタン層である場合、フッ化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができる。
金属層34wの下にないシード層32xが、湿式エッチングプロセスを使用して除去される場合、シード層3xの上に覆い被さる金属層34wの下にアンダーカットが形成される。金属層34wの下にあるシード層32xは、金属層34wの第2の側壁から凹んでいる第1の側壁を有し、第1の側壁と第2の側壁との間の距離は、0.1から2マイクロメートルまでの範囲内である。
したがって、図43Dに示されているように、接着/バリア層32w、シード層32x、金属層34w、およびハンダ層34xによって構成される複数のマイクロバンプ317e、つまり、金属バンプが、開口部50および950によって露出されている金属パッド600d上に、またポリマー層95上に、また薄化シリコン基板1の活性側に形成される。マイクロバンプ317eのそれぞれは、2から70マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、2マイクロメートルよりも大きい高さ、および3から30マイクロメートルまでの範囲など、3マイクロメートルより大きい幅もしくは直径を有する。隣接する2つのマイクロバンプ317eの間のピッチP2は、60マイクロメートルより小さく、好ましくは、5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。開口部50および950によって露出されている金属パッド600yおよび600z上に形成されたマイクロバンプはない、つまり、金属パッド600yおよび600zは、試験用の開口部50および950によって露出されている。
チップ間回路20jおよび試験インターフェイス回路333eは、金属相互接続線640wを通して、また開口部50のうちの1つを通して、マイクロバンプ317eのうちの1つに接続することができ、チップ間回路20kおよび試験インターフェイス回路333fは、金属相互接続線640xを通して、また開口部50のうちの別の1つを通して、マイクロバンプ317eのうちの別の1つに接続することができる。ある場合には、マイクロバンプ317eのうちの1つは、金属相互接続線640wを通して、チップ間回路20jおよび試験インターフェイス回路333eの信号ノードに接続することができ、マイクロバンプ317eのうちの別の1つは、金属相互接続線640xを通して、チップ間回路20kおよび試験インターフェイス回路333dの信号ノードに接続することができる。別の場合には、マイクロバンプ317eのうちの1つは、金属相互接続線640wを通して、チップ間回路20jおよび試験インターフェイス回路333eの電源またはグランドノードに接続することができ、マイクロバンプ317eのうちの別の1つは、金属相互接続線640xを通して、チップ間回路20kおよび試験インターフェイス回路333dの電源またはグランドノードに接続することができる。
あるいは、図43Eを参照すると、ポリマー層95を省くことができる、つまり、接着/バリア層32wをパッシベーション層5上に、またパッシベーション層5内の開口部50によって露出される金属パッド600d上に形成することができることがわかる。したがって、接着/バリア層32w、シード層32x、金属層34w、およびハンダ層34xによって構成される複数のマイクロバンプ317eは、開口部50によって露出されている金属パッド600d上に、またパッシベーション層5上に、また薄化シリコン基板1の活性側に形成されうる。
図43Dまたは43Eに示されている半導体ウェハ10bは、複数のキャッシュメモリチップ321に最終的に切り分けることができる。
図44A〜44Cは、最終的に図37A、37D、38A、または38Dに示されているように複数のキャッシュメモリチップ321に切り分けられる半導体ウェハ10bとチップ313を接合するためのプロセスを示す断面図である。
図44Aを参照すると、リフローまたは加熱プロセスを使用してマイクロバンプ317cのハンダ層27zをマイクロバンプ317eのハンダ層34xと結合することによって図42Nに示されているチップ313を図43Dに示されている半導体ウェハ10bと接合することができることがわかる。リフローまたは加熱プロセスの実行中に、ハンダ層27zおよびハンダ層34xを、金属層27yと34wとの間の、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層35内に溶かし込む。したがって、接着/バリア層16zおよび32w、シード層18zおよび32x、金属層27yおよび34w、ならびにハンダ層35によって構成される前記のマイクロバンプ317aを、半導体ウェハ10bの金属パッド600dとチップ313の底部スキーム103の相互接続構造88の複数の接点との間に形成することができる。マイクロバンプ317aのそれぞれは、5から100マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有し、金属パッド600dのうちの1つと、また相互接続構造88の接点のうちの1つと接触する。隣接する2つのマイクロバンプ317aの間のピッチは、60マイクロメートルより小さく、好ましくは5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。金属パッド600dは、マイクロバンプ317aを通して相互接続構造88に接続することができる。
次に、図44Bを参照すると、ポリマーなどの、充填剤を含まない前記のアンダーフィル107eが、チップ313とキャッシュメモリチップ321との間の間隙内に充填され、これによりマイクロバンプ317aが取り囲まれることがわかる。次に、図44Cを参照すると、半導体ウェハ10bを切断して、マイクロバンプ317aを通して互いに接続されているチップ313および321を含む複数の積層ユニットに分離することができることがわかる。図37A、37D、38A、または38Dに示されているキャッシュメモリチップ321のIC構造6dは、チップ間回路20jおよび20kと、内部回路20mおよび20nと、オフチップバッファ42eおよびオフチップESD回路43eを含むオフチップ回路40eと、オフチップバッファ42fおよびオフチップESD回路43fを含むオフチップ回路40fと、試験インターフェイス回路333eおよび333fと、誘電体層30と、ビア30’と、細線金属層60と、ビアプラグ60’と、金属相互接続線640s、640t、640u、640v、640w、640x、640y、および640zとを収容する。
図45Aは、チップ311および図37A、37D、38A、38D、または44Cに示されているキャッシュメモリチップ321のインターフェイス回路を示す回路図の一例である。図45Aに示されているチップ313の回路図に関する詳細な説明については、図39A、39D〜39J、および40A〜40Fの図解を参照されたい。図45Bは、チップ311および図37A、37D、38A、38D、または44Cに示されているキャッシュメモリチップ321のインターフェイス回路を示す回路図の別の例である。図45Bに示されている回路図は、チップ間バッファ701a、702a、703a、および704aが、トライステートドライバおよびトライステートレシーバをそれぞれが備えるチップ間トライステートバッファを具備するように設計され、オフチップバッファ42c、42d、42e、および42fが、トライステートドライバおよびトライステートレシーバをそれぞれが備えるオフチップトライステートバッファを具備するように設計されているという点を除いて、図45Aに示されている回路図に類似している。図45Bに示されているチップ313の回路図に関する詳細な説明については、図39Hおよび40A〜40Fの図解を参照されたい。
図45Aおよび45Bを参照すると、図45Aまたは45Bに示されているキャッシュメモリチップ321は、チップ間回路20jおよび20kと、内部回路20mおよび20nと、オフチップバッファ42eおよびオフチップESD回路43eを含むオフチップ回路40eと、オフチップバッファ42fおよびオフチップESD回路43fを含むオフチップ回路40fと、試験インターフェイス回路333eおよび333fとを備えることがわかる。
チップ間回路20jは、チップ間バッファ701aおよびチップ間ESD回路701bを備える。チップ間回路20jのチップ間バッファ701aは、第1のノードFN1および第2のノードSN1を有し、チップ間ESD回路701bは、第1のノードFN1に接続されているノードEnを有する。チップ間バッファ701aの第1のノードFN1は、チップ間ESD回路701bのノードEnに、またキャッシュメモリチップ321の金属相互接続線640wを通して試験インターフェイス回路333eの第1の端子F5に、また金属相互接続線640wを通してキャッシュメモリチップ321の、アルミニウムもしくは電気メッキされた銅を含む、金属パッド600dの左側のパッドに、また金属相互接続線640wおよび金属パッド600dの左側のパッドを通してマイクロバンプ317aの左側のマイクロバンプに、また金属相互接続線640w、金属パッド600dの左側のパッド、マイクロバンプ317aの左側のマイクロバンプ、およびチップ313の金属相互接続線640fを通してチップ313のチップ間バッファ703aの第2のノードSN3に接続することができる。チップ間バッファ701aの第2のノードSN1は、キャッシュメモリチップ321の金属相互接続線640yを通して内部回路20mに接続されうる。図45Aまたは45Bに示されているようなチップ間バッファ701aの明細は、図39A、39B、または39Hに例示されているようなチップ間バッファ701aの明細として参照することができ、図45Aまたは45Bに示されているようなチップ間ESD回路701bの明細は、図39Aまたは39Hに例示されているようなチップ間ESD回路701bの明細として参照することができる。
チップ間回路20kは、チップ間バッファ702aおよびチップ間ESD回路702bを備える。チップ間回路20kのチップ間バッファ702aは、第1のノードFN2および第2のノードSN2を有し、チップ間ESD回路702bは、第2のノードSN2に接続されているノードEnを有する。チップ間バッファ702aの第1のノードFN2は、キャッシュメモリチップ321の金属相互接続線640zを通して内部回路20nに接続することができる。チップ間バッファ702aの第2のノードSN2は、チップ間ESD回路702bのノードEnに、またキャッシュメモリチップ321の金属相互接続線640xを通して試験インターフェイス回路333fの第1の端子F6に、また金属相互接続線640xを通してキャッシュメモリチップ321の、アルミニウムもしくは電気メッキされた銅を含む、金属パッド600dの右側のパッドに、また金属相互接続線640xおよび金属パッド600dの右側のパッドを通してマイクロバンプ317aの右側のマイクロバンプに、また金属相互接続線640x、金属パッド600dの右側のパッド、マイクロバンプ317aの右側のマイクロバンプ、およびチップ313の金属相互接続線640hを通してチップ313のチップ間バッファ704aの第1のノードFN4に接続することができる。図45Aまたは45Bに示されているようなチップ間バッファ702aの明細は、図39A、39C、または39Hに例示されているようなチップ間バッファ702aの明細として参照することができ、図45Aまたは45Bに示されているようなチップ間ESD回路702bの詳細は、図39Aまたは39Hに例示されているようなチップ間ESD回路702bの詳細として参照することができる。
チップ間ESD回路701bおよび702bは、チップパッケージングまたは組み立て製造プロセスの際の帯電防止のためにチップ313とキャッシュメモリチップ321との間のチップ間バッファ20jおよび20kに使用される。あるいは、チップ313とキャッシュメモリチップ321との間のチップ間バッファ20jおよび20kにESD回路が必要ない場合がある、つまり、チップ間ESD回路701bおよび702bを省くことができる。言い換えると、金属相互接続線640wおよび640xに接続されるESD回路はないということである。
オフチップバッファ42eは、第1のノードFN5および第2のノードSN5を有し、オフチップESD回路43eは、第1のノードFN5に、また試験金属パッド600zに接続されているノードEnを有する。オフチップバッファ42eの第1のノードFN5は、金属相互接続線640sを通して、キャッシュメモリチップ321の、アルミニウムまたは電気メッキした銅を含む、オフチップESD回路43eのノードEn、および試験金属パッド600zに接続されうる。オフチップバッファ42eの第2のノードSN5は、キャッシュメモリチップ321の金属相互接続線640uを通して試験インターフェイス回路333eの第2の端子S5に接続されうる。図45Aまたは45Bに示されているようなオフチップバッファ42eの詳細は、図39Aまたは39Hに例示されているようなオフチップバッファ42aの詳細として参照することができ、図45Aまたは45Bに示されているようなオフチップESD回路43eの詳細は、図39Aまたは39Hに例示されているようなオフチップESD回路43aの詳細として参照することができる。
オフチップバッファ42fは、第1のノードFN6および第2のノードSN6を有し、オフチップESD回路43fは、第2のノードSN6に、また試験金属パッド600yに接続されているノードEnを有する。オフチップバッファ42fの第1のノードFN6は、キャッシュメモリチップ321の金属相互接続線640vを通して試験インターフェイス回路333fの第2の端子S6に接続されうる。オフチップバッファ42fの第2のノードSN6は、金属相互接続線640tを通して、キャッシュメモリチップ321の、アルミニウムまたは電気メッキした銅を含む、オフチップESD回路43fのノードEn、および試験金属パッド600yに接続されうる。図45Aまたは45Bに示されているようなオフチップバッファ42fの詳細は、図39Aまたは39Hに例示されているようなオフチップバッファ42aの詳細として参照することができ、図45Aまたは45Bに示されているようなオフチップESD回路43eの詳細は、図39Aまたは39Hに例示されているようなオフチップESD回路43aの詳細として参照することができる。
図45Aまたは45Bに示されている内部回路20mおよび20nのそれぞれは、NORゲート、NANDゲート、ANDゲート、ORゲート、フラッシュメモリセル、ダイナミックランダムアクセスメモリ(DRAM)セル、スタティックランダムアクセスメモリ(SRAM)セル、不揮発性メモリセル、消去可能プログラム可能読み出し専用メモリ(EROM)セル、読み出し専用メモリ(ROM)セル、磁気ランダムアクセスメモリ(MRAM)セルアナログ/デジタル(A/D)コンバータ、デジタル/アナログ(D/A)コンバータ、オペアンプ、センス増幅器、インバータ、加算器、マルチプレクサ、ダイプレクサ、乗算器、相補型金属酸化膜半導体(CMOS)デバイス、バイポーラCMOSデバイス、バイポーラ回路、またはアナログ回路とすることができる。図45Aまたは45Bに示されている内部回路20mおよび20nのそれぞれは、その物理的チャネル幅と物理的チャネル長との比が例えば約0.1から20までの範囲、例えば約0.1から10までの範囲、または例えば約0.2から2までの範囲であるNMOSトランジスタを備えることができる。あるいは、図45Aまたは45Bに示されている内部回路20mおよび20nのそれぞれは、その物理的チャネル幅と物理的チャネル長との比が例えば約0.2から40までの範囲、例えば約0.2から40までの範囲、または例えば約0.4から4までの範囲であるPMOSトランジスタを備えることができる。
図45Aまたは45Bに示されている試験インターフェイス回路333eおよび333fは両方とも、スキャン試験回路とすることができ、スキャン試験回路は、キャッシュメモリチップ321がソーイングまたはダイシングでウェハから切り離される前のウェハレベルの試験で、またはチップ321および313が互いに連結された後のパッケージレベルの試験で実行することができ、このスキャン試験回路は、スキャンイン信号を入力するか、またはスキャンアウト信号を出力することによってフリップフロップを試験するために使用される。
図45Aに示されているチップ間バッファ702aのサイズは、NMOSトランジスタ752aまたはPMOSトランジスタ752bの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ752aおよびPMOSトランジスタ752bのドレインは、金属相互接続線640xを通してキャッシュメモリチップ321の金属パッド600dに接続される。チップ間バッファ702aが図39Cに示されている2段カスケードチップ間ドライバである場合、チップ間バッファ702aのサイズは、最終段のドライバ425b内のNMOSトランジスタ752aまたはPMOSトランジスタ752bの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ752aおよびPMOSトランジスタ752bのドレインは、金属相互接続線640xを通してキャッシュメモリチップ321の金属パッド600dに接続される。NMOSトランジスタ752aまたはPMOSトランジスタ752bの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。NMOSトランジスタ752aの物理的チャネル幅と物理的チャネル長との比は、1から50までの範囲、例えば、1から20までの範囲内とすることができ、PMOSトランジスタ752bの物理的チャネル幅と物理的チャネル長との比は、1から100までの範囲、例えば、1から40までの範囲内とすることができる。
図45Aに示されているオフチップバッファ42fのサイズは、NMOSトランジスタ4203またはPMOSトランジスタ4204の物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ4203およびPMOSトランジスタ4204のドレインは、金属相互接続線640tを通してキャッシュメモリチップ321の試験金属パッド600yに接続される。オフチップバッファ42fが図11Aに示されている2段カスケードオフチップドライバ421である場合、オフチップバッファ42fのサイズは、最終段のドライバ421”内のNMOSトランジスタ4203またはPMOSトランジスタ4204の物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、NMOSトランジスタ4203およびPMOSトランジスタ4204のドレインは、金属相互接続線640tを通してキャッシュメモリチップ321の試験金属パッド600yに接続される。NMOSトランジスタ4203またはPMOSトランジスタ4204の物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。NMOSトランジスタ4203の物理的チャネル幅と物理的チャネル長との比は、30から20000までの範囲など、30より大きく、例えば、50から300までの範囲など、50より大きいものとしてよい。PMOSトランジスタ4204の物理的チャネル幅と物理的チャネル長との比は、60から40000までの範囲など、60より大きく、例えば、100から600までの範囲など、100より大きいものとしてよい。オフチップバッファ42fのNMOSトランジスタ4203の物理的チャネル幅と物理的チャネル長との比は、チップ間バッファ702aのNMOSトランジスタ752aの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。オフチップバッファ42fのPMOSトランジスタ4204の物理的チャネル幅と物理的チャネル長との比は、チップ間バッファ702aのPMOSトランジスタ752bの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。
図45Bに示されているチップ間バッファ701aまたは702aのサイズは、チップ間トライステートバッファのトライステートドライバのNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、トライステートドライバは、金属相互接続線640wまたは640xを通してキャッシュメモリチップ321の金属パッド600dのうちの1つに接続され、トライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から50までの範囲、例えば、1から20までの範囲内であり、トライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から100までの範囲、例えば、1から40までの範囲内である。チップ間バッファ701aまたは702aが多段トライステートバッファである場合、チップ間バッファ701aまたは702aのサイズは、多段トライステートバッファの最終段のトライステートドライバ内のNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、最終段のトライステートドライバは、金属相互接続線640wまたは640xを通してキャッシュメモリチップ321の金属パッド600dのうちの1つに接続され、最終段のトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から50までの範囲、例えば、1から20までの範囲内であり、最終段のトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、1から100までの範囲、例えば、1から40までの範囲内である。NMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。
図45Bに示されているオフチップバッファ42eまたは42fのサイズは、オフチップトライステートバッファのトライステートドライバのNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、トライステートドライバは、金属相互接続線640sまたは640tを通してキャッシュメモリチップ321の金属パッド600zまたは600yに接続され、トライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、30から20000までの範囲など、30より大きく、例えば、50から300までの範囲など、50より大きく、トライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、60から40000までの範囲など、60より大きく、例えば、100から600までの範囲など、100より大きい。オフチップバッファ42eまたは42fが多段トライステートバッファである場合、オフチップバッファ42eまたは42fのサイズは、多段トライステートバッファの最終段のトライステートドライバ内のNMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比によって特徴付けることができ、最終段のトライステートドライバは、金属相互接続線640sまたは640tを通してキャッシュメモリチップ321の金属パッド600zまたは600yに接続され、最終段のトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、30から20000までの範囲など、30より大きく、例えば、50から300までの範囲など、50より大きく、最終段のトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、60から40000までの範囲など、60より大きく、例えば、100から600までの範囲など、100より大きい。NMOSトランジスタまたはPMOSトランジスタの物理的チャネル幅および物理的チャネル長の定義については、図21および22の図解を参照されたい。図45Bに示されているオフチップトライステートバッファ42eまたは42fのトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、図45Bに示されているチップ間トライステートバッファ701aまたは702aのトライステートドライバのNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。図45Bに示されているオフチップトライステートバッファ42eまたは42fのトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、図45Bに示されているチップ間トライステートバッファ701aまたは702aのトライステートドライバのPMOSトランジスタの物理的チャネル幅と物理的チャネル長との比より、3から100倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとしてよい。
チップ間ESD回路701bおよび702bのそれぞれならびにオフチップESD回路43eおよび43fのそれぞれは、2つの逆バイアスダイオードから、またはPMOSトランジスタおよびNMOSトランジスタからそれぞれ構成される1つまたは複数のESD(静電放電)ユニットを備えることができる。
キャッシュメモリチップ321のチップ間ESD回路701bまたは702bのサイズは、チップ間ESD回路701bまたは702bの装荷(loading)もしくは静電容量として定義され、キャッシュメモリチップ321のオフチップESD回路43eまたは43fのサイズは、オフチップESD回路43eまたは43fの装荷もしくは静電容量として定義されうる。ある場合には、キャッシュメモリチップ321のチップ間ESD回路701bおよび702bのそれぞれは、0.01から2pFまでの範囲など、2pF(ピコファラッド)より小さい、例えば、0.01から0.5pFまでの範囲など、0.5pFより小さい装荷または静電容量を有し、キャッシュメモリチップ321の大きなオフチップESD回路43eおよび43fのそれぞれは、2から100pFまでの範囲など、2pFより大きい、例えば、5から100pFまでの範囲など、5pFより大きい装荷または静電容量を有する。別の場合には、キャッシュメモリチップ321のチップ間ESD回路701bおよび702bのそれぞれは、0.01から1pFまでの範囲など、1pFより小さい装荷または静電容量を有し、キャッシュメモリチップ321の大きなオフチップESD回路43eおよび43fのそれぞれは、1から100pFまでの範囲など、1pFより大きい装荷または静電容量を有する。
あるいは、キャッシュメモリチップ321のチップ間ESD回路701bまたは702bのサイズは、チップ間ESD回路701bまたは702bの有効面積として定義され、キャッシュメモリチップ321の大きなオフチップESD回路43eまたは43fのサイズは、大きなオフチップESD回路43eまたは43fの有効面積として定義されうる。チップ間ESD回路701bおよび702bのそれぞれの有効面積およびオフチップESD回路43eおよび43fのそれぞれの有効面積を計算または定義することは、図40A〜40fに例示されているステップとして参照することができる。
例えば、キャッシュメモリチップ321のチップ間ESD回路701bおよび702bのそれぞれは、6.5から1300平方ミリメートルまでの範囲など、1300平方ミリメートル未満、例えば、6.5から325平方ミリメートルまでの範囲など、325平方ミリメートル未満の有効面積を有するものとしてよく、キャッシュメモリチップ321のオフチップESD回路43eおよび43fのそれぞれは、1300から65000平方ミリメートルまでの範囲など、1300平方ミリメートルを超える、例えば、3250から65000平方ミリメートルまでの範囲など、3250平方ミリメートルを超える有効面積を有するものとしてよい。あるいは、キャッシュメモリチップ321のチップ間ESD回路701bおよび702bのそれぞれは、650平方ミリメートル未満の有効面積を有するものとしてよく、キャッシュメモリチップ321のオフチップESD回路43eおよび43fのそれぞれは、650平方ミリメートルを超える有効面積を有するものとしてよい。
オフチップESD回路43eの有効面積またはオフチップESD回路43eの装荷または静電容量として定義される、キャッシュメモリチップ321のオフチップESD回路43eのサイズは、チップ間ESD回路701bの有効面積またはチップ間ESD回路701bの装荷または静電容量として定義される、キャッシュメモリチップ321のチップ間ESD回路701bのサイズより、3から50倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとすることができる。
オフチップESD回路43fの有効面積またはオフチップESD回路43fの装荷または静電容量として定義される、キャッシュメモリチップ321のオフチップESD回路43fのサイズは、チップ間ESD回路702bの有効面積またはチップ間ESD回路702bの装荷または静電容量として定義される、キャッシュメモリチップ321のチップ間ESD回路702bのサイズより、3から50倍までの範囲など、3倍超、10倍、25倍、または50倍大きいものとすることができる。
図46A〜46Mは、最終的に図37A、37B、38A、または38Bに示されている複数のキャッシュメモリチップ311に切り分けられる半導体ウェハを形成するためのプロセスを示す断面図である。図46Aを参照すると、シリコンウェハなどの半導体ウェハ10cは、シリコン基板1と、シリコン基板1内に、および/またはシリコン基板1の上にオフチップバッファ42aおよびオフチップESD回路43aを備える前記のオフチップ回路40aと、シリコン基板1内に、および/またはシリコン基板1の上にオフチップバッファ42bおよびオフチップESD回路43bを備えるオフチップ回路40bと、シリコン基板1内の、および/またはシリコン基板1の上の、チップ間回路20aおよび20bと、シリコン基板1内の、および/またはシリコン基板1の上の、内部回路20cおよび20dと、シリコン基板1の上の複数の誘電体層30と、シリコン基板1の上の複数の細線金属層60と、誘電体層30のビア30’内の複数のビアプラグ60’と、細線金属層60の上に、また誘電体層30の上に、またオフチップ回路40aおよび40bの上に、またチップ間回路20aおよび20bの上に、また内部回路20cおよび20dの上にある、絶縁層5、つまり、パッシベーション層とを設けられていることがわかる。
半導体ウェハ10cは、前記の金属パッド600b、600s、および600tを有する。金属パッド600b、600s、および600tは、パッシベーション層5の下の最上位の細線金属層60によって構成され、アルミニウム、アルミニウム銅合金、または電気メッキされた銅を含む。金属パッド600b、600s、および600tのそれぞれは、0.5から3マイクロメートルまでの範囲または20ナノメートルから1.5マイクロメートルまでの範囲の厚さ、ならびに0.2から0.95マイクロメートルまでの範囲など、1マイクロメートルより小さい幅を有するものとしてよい。パッシベーション層5内の複数の開口部50が、金属パッド600b、600s、および600tの上にあり、それらを露出し、金属パッド600b、600s、および600tは、開口部50の底部にある。開口部50のそれぞれは、10から100マイクロメートルまでの範囲、好ましくは20から60マイクロメートルまでの範囲の幅を有することができる。
半導体ウェハ10cは、細線金属層60およびビアプラグ60’によって構成される部分をそれぞれが含む前記の金属相互接続線640a、640b、640c、640d、640i、640j、640k、および640mを有する。半導体ウェハ10cは、前記の試験インターフェイス回路333aおよび333b(図46A〜46Nに示されていない)も含む。内部回路20cは、金属相互接続線640aを通して、チップ間回路20aに接続され、内部回路20dは、金属相互接続線640cを通して、チップ間回路20bに接続されうる。チップ間回路20aは、金属相互接続線640bを通して、金属パッド600bのうちの1つに、また試験インターフェイス回路333aに接続されうる。チップ間回路20bは、金属相互接続線640dを通して、金属パッド600bのうちの別の1つに、また試験インターフェイス回路333bに接続されうる。試験インターフェイス回路333aは、金属相互接続線640iを通して、オフチップバッファ42aに接続され、試験インターフェイス回路333bは、金属相互接続線640kを通して、オフチップバッファ42bに接続されうる。オフチップバッファ42aは、金属相互接続線640jを通して、オフチップESD回路43aに、また試験金属パッド600tに接続されうる。オフチップバッファ42bは、金属相互接続線640mを通して、オフチップESD回路43bに、また試験金属パッド600sに接続されうる。
パッシベーション層5は、チップ間回路20aおよび20b、内部回路20cおよび20d、オフチップ回路40aおよび40b、試験インターフェイス回路333aおよび333b、ならびに細線金属層60を、水分および外来イオン汚染による損傷から保護することができる。言い換えると、可動イオン(ナトリウムイオンなど)、遷移金属(金、銀、および銅など)、および不純物が、パッシベーション層5を貫通して、チップ間回路20aおよび20b、内部回路20cおよび20d、オフチップ回路40aおよび40b、試験インターフェイス回路333aおよび333b、ならびに細線金属層60に達するのを防ぐことができる。図46Aに示されているようなシリコン基板1、誘電体層30、細線金属層60、およびパッシベーション層5の詳細は、それぞれ図42Aに例示されているようなシリコン基板1、誘電体層30、細線金属層60、およびパッシベーション層5の詳細として参照することができる。
図46Bを参照すると、図46Aに示されている半導体ウェハ10cを構成した後に、開口部50内に、また開口部50によって露出されている金属パッド600b、600s、および600t上にフラックスまたは絶縁体33を形成することができることがわかる。次に、説明が簡単になるように反転した図でステップが例示されている図46Cを参照すると、半導体ウェハ10cのシリコン基板1は、機械研削または化学機械研磨(CMP)によるシリコン基板1の裏面1aの研磨によって、1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の厚さt2まで薄化されることがわかる。
次に、説明が簡単になるように反転した図でステップが例示されている図46Dを参照すると、複数のシリコン貫通ビア11(これらのうち2つのみ、図46A〜46Nに示されている)が、薄化シリコン基板1内に、また少なくとも1つの誘電体層30内に形成されて、細線金属層60の領域60aを露出し、絶縁層3が、薄化シリコン基板1の裏面1a上に、またシリコン貫通ビア11の側壁上に形成されていることがわかる。シリコン貫通ビア11は、薄化シリコン基板1および(複数可)誘電体層30を完全に貫通する。シリコン貫通ビア11のそれぞれは、5から100マイクロメートルまでの範囲、または3から50マイクロメートルまでの範囲の直径または幅W1、および1から10マイクロメートルまでの範囲、3から50マイクロメートルまでの範囲、または10から150マイクロメートルまでの範囲の深さを有するものとしてよい。絶縁層3として、例えば、窒化ケイ素層などの窒化物層、ポリイミド層、ベンゾシクロブテン層、またはポリベンゾオキサゾール層などのポリマー層、酸窒化ケイ素層、窒化炭素ケイ素(SiCN)層、オキシ炭化ケイ素(SiOC)層、または酸化ケイ素層が挙げられる。図46Dに示されているようなシリコン貫通ビア11および絶縁層3を形成するプロセスは、図24D〜24Hまたは図24I〜24Nに例示されているようなシリコン貫通ビア11a、11b、11c、11d、および11eならびに絶縁層3を形成するプロセスとして参照することができる。図46Dに示されている絶縁層3の詳細は、図24D〜24Hまたは図24I〜24Nに例示されているような絶縁層3の詳細として参照することができる。
次に、説明が簡単になるように反転した図でステップが例示されている図46Eを参照すると、2つの金属層4および9によって構成される複数の相互接続構造88を、シリコン貫通ビア11内に、また絶縁層3上に、また細線金属層60の領域60a上に、また薄化シリコン基板1の裏面1aに形成することができ、金属層4は、絶縁層3上の、またシリコン貫通ビア11内の、またシリコン貫通ビア11によって露出されている細線金属層60の領域60a上の接着/バリア層4aと接着/バリア層4a上のシード層4bとからなることがわかる。金属層9の側壁は、金属層4によって覆われていない。図46Eに示されているような相互接続構造88を形成するプロセスは、図25A〜25Fに例示されているような相互接続構造88を形成するプロセスとして参照することができる。図46Eに示されているような接着/バリア層4aおよびシード層4bを含む金属層4の詳細は、図25Aに例示されているような接着/バリア層4aおよびシード層4bを含む金属層4の詳細として参照することができる。図46Eに示されている金属層9の詳細は、図25Dに例示されているような金属層9の詳細として参照することができる。
相互接続構造88の左側の構造は、オフチップバッファ42aに、またオフチップESD回路43aに、また試験金属パッド600tに、シリコン貫通ビア11の1つまたは複数を通して、また金属相互接続線640jを通して、接続されうる。相互接続構造88の右側の構造は、オフチップバッファ42bに、またオフチップESD回路43bに、また試験金属パッド600sに、シリコン貫通ビア11の1つまたは複数を通して、また金属相互接続線640mを通して、接続されうる。
相互接続構造88のうちの真ん中の構造は、電源プレーン、電源バス、電源トレース、または電源線などの電源相互接続部に使用し、これにより、チップ間回路20aの電源ノード、内部回路20cの電源ノード、オフチップバッファ42aの電源ノード、オフチップESD回路43aのノード、試験インターフェイス回路333aの電源ノード、チップ間回路20bの電源ノード、内部回路20dの電源ノード、オフチップバッファ42bの電源ノード、オフチップESD回路43bのノード、および試験インターフェイス回路333bの電源ノードと、シリコン貫通ビア11を通して接続することができる。チップ間回路20a、内部回路20c、オフチップバッファ42a、および試験インターフェイス回路333aの電源ノードは、チップ間回路20b、内部回路20d、オフチップバッファ42b、および試験インターフェイス回路333bの電源ノードに、相互接続構造88のうちの真ん中の構造を通して接続されうる。オフチップESD回路43aのノードは、オフチップESD回路43bのノードに、相互接続構造88のうちの真ん中の構造を通して接続されうる。
あるいは、相互接続構造88のうちの真ん中の構造をグランドプレーン、グランドバス、グランドトレース、またはグランド線などのグランド相互接続部に使用し、これにより、チップ間回路20aのグランドノード、内部回路20cのグランドノード、オフチップバッファ42aのグランドノード、オフチップESD回路43aのノード、試験インターフェイス回路333aのグランドノード、チップ間回路20bのグランドノード、内部回路20dのグランドノード、オフチップバッファ42bのグランドノード、オフチップESD回路43bのノード、および試験インターフェイス回路333bのグランドノードと、シリコン貫通ビア11を通して接続することができる。チップ間回路20a、内部回路20c、オフチップバッファ42a、および試験インターフェイス回路333aのグランドノードは、チップ間回路20b、内部回路20d、オフチップバッファ42b、および試験インターフェイス回路333bのグランドノードに、相互接続構造88のうちの真ん中の構造を通して接続されうる。オフチップESD回路43aのノードは、オフチップESD回路43bのノードに、相互接続構造88のうちの真ん中の構造を通して接続されうる。
次に、説明が簡単になるように反転した図でステップが例示されている図46Fを参照すると、ポリマー層14は、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスを含み、また硬化プロセスを含むプロセスを使用して、金属層9上に、また絶縁層3上に、また相互接続構造88の側壁に形成されることがわかる。ポリマー層14内の複数の開口部14aは、金属層9の複数の領域の上にあり、それらを露出している。ポリマー層14は、3から25マイクロメートルまでの範囲、好ましくは5から15マイクロメートルまでの範囲など、2マイクロメートルより大きく、また誘電体層30のそれぞれの厚さより大きく、またパッシベーション層5の厚さより大きい厚さを有する。ポリマー層14の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
次に、説明が簡単になるように反転した図でステップが例示されている図46Gを参照すると、0.02から0.5マイクロメートルまでの範囲、好ましくは0.1から0.2マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層16を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、ポリマー層14上に、また開口部14aによって露出されている金属層9の領域上に形成することができ、次いで、0.05から0.5マイクロメートルまでの範囲、好ましくは0.08から0.15マイクロメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層18を、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層16上に形成することができることがわかる。図46Gに示されているような接着/バリア層16およびシード層18の詳細は、それぞれ図25Hに例示されているような接着/バリア層16およびシード層18の詳細として参照することができる。図46Gに示されているような接着/バリア層16およびシード層18を形成するプロセスは、それぞれ図25Hに例示されているような接着/バリア層16およびシード層18を形成するプロセスとして参照することができる。
シード層18を形成した後、1から60マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層31が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによってシード層18上に形成される。次に、露光および現像のプロセスによりフォトレジスト層31のパターン形成を行い、シード層18を露出する複数の開口部31aをフォトレジスト層31内に形成する。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層31を露光することができる。
次に、複数の金属バンプまたはピラー27を、相互接続構造88の上に、また開口部31aによって露出されている前記の材料のシード層18上に、また開口部31a内に形成することができる。金属バンプまたはピラー27は、5から150マイクロメートルまでの範囲、好ましくは10から100マイクロメートルまでの範囲など、5マイクロメートルより大きい、またシード層18の厚さより大きく、また接着/バリア層16の厚さより大きく、また細線金属層60のそれぞれの厚さより大きい厚さを有することができる。金属バンプまたはピラー27は、5から150マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、1マイクロメートルより大きい、また細線金属層60のそれぞれの厚さより大きい幅を有することができる。金属バンプまたはピラー27の材料として、銅、金、ニッケル、アルミニウム、銀、ハンダ、白金、または前記の材料の複合材が挙げられる。図46Gに示されているような金属バンプまたはピラー27の詳細は、図25Jに例示されているような金属バンプまたはピラー27の詳細として参照することができる。図46Gに示されているような金属バンプまたはピラー27を形成するプロセスは、図25Jに例示されているような金属バンプまたはピラー27を形成するプロセスとして参照することができる。
次に、説明が簡単になるように反転した図でステップが例示されている図46Hを参照すると、フォトレジスト層31は、無機溶液を使用して、またはアミドとともに有機溶液を使用して、除去されることがわかる。フォトレジスト層31からの一部の残留物が、金属バンプまたはピラー27の下にないシード層18上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、シード層18から残留物を除去することができる。次に、金属バンプまたはピラー27の下にないシード層18を除去し、次いで、金属バンプまたはピラー27の下にない接着/バリア層16を除去する。図46Hに示されているような金属バンプまたはピラー27の下にないシード層18を除去するプロセスは、図25Lに例示されているような金属バンプまたはピラー27の下にないシード層18を除去するプロセスとして参照することができ、図46Hに示されているような金属バンプまたはピラー27の下にない接着/バリア層16を除去するプロセスは、図25Lに例示されているような金属バンプまたはピラー27の下にない接着/バリア層16を除去するプロセスとして参照することができる。
金属バンプまたはピラー27の下にない接着/バリア層16が、ウェットエッチングプロセスを使用して除去される場合、接着/バリア層16の上に覆い被さる金属バンプまたはピラー27の下に複数のアンダーカットが形成される。金属バンプまたはピラー27の下にある接着/バリア層16は、金属バンプまたはピラー27の第2の側壁から凹んでいる第1の側壁を有し、第1の側壁と第2の側壁との間の距離d1は、0.1から2マイクロメートルまでの範囲内である。
したがって、図46Hに示されているように、半導体ウェハ10cの薄化シリコン基板1の裏面1aの底部スキーム103は、絶縁層3、ポリマー層14、接着/バリア層16、シード層18、金属バンプまたはピラー27、および金属層4によって構成される相互接続構造88とともに形成されうる。金属バンプまたはピラー27をシード層18および接着/バリア層1を通して相互接続構造88に接続することができ、また前記の基板301またはBGA基板302と接合するために使用することができる。隣接する2つの金属バンプまたはピラー27の間のピッチは、60マイクロメートルより小さく、好ましくは5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。
図46Iを参照すると、図46Hに示されている金属バンプまたはピラー27を形成した後に、フラックスまたは絶縁体33を除去して、パッシベーション層5内の開口部50によって露出されている金属パッド600b、600s、および600tを露出させることができることがわかる。次に、図46Jを参照すると、ポリマー層95が、半導体ウェハ10cのパッシベーション層5上に適宜形成されうることがわかる。ポリマー層95内の複数の開口部950が、開口部50によって露出された金属パッド600b、600s、および600tの上にあり、それらを露出する。ポリマー層95は、3から50マイクロメートルまでの範囲、好ましくは2から30マイクロメートルまでの範囲など、2マイクロメートルより大きく、またパッシベーション層5の厚さより大きく、また誘電体層30のそれぞれの厚さより大きい厚さを有するものとしてよい。ポリマー層95の材料として、ベンゾシクロブテン(BCB)、ポリイミド(PI)、ポリベンゾオキサゾール(PBO)、またはエポキシ樹脂が挙げられる。
次に、図46Kを参照すると、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する接着/バリア層32sを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスによって、ポリマー層95上に、また開口部950によって露出されている金属パッド600b、600s、および600t上に形成することができ、次いで、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有するシード層32tを、スパッタリングプロセスまたは蒸着プロセスなどの物理的気相成長(PVD)プロセスを使用することによって、接着/バリア層32s上に形成することができることがわかる。接着/バリア層32sの材料として、チタン、チタンタングステン合金、窒化チタン、クロム、タンタル、窒化タンタル、または前記の材料の複合材が挙げられ、シード層32tの材料として、銅、ニッケル、アルミニウム、金、銀、白金、またはパラジウムが挙げられる。
接着/バリア層32sが、好適な1つまたは複数のプロセスによって、例えば、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、チタンタングステン合金、チタン、または窒化チタンの単層などのチタン含有層を、ポリマー層95上に、また開口部950によって露出されている金属パッド600b、600s、および600t上に、スパッタリングすることによって形成される場合、シード層32tは、好適な1つまたは複数のプロセスによって、例えば、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、チタン含有層上にスパッタリングすることによって形成されうる。
あるいは、接着/バリア層32sが、好適な1つまたは複数のプロセスによって、例えば、1から300ナノメートルまでの範囲、好ましくは1.5から100ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する、タンタルまたは窒化タンタルの単層などのタンタル含有層を、ポリマー層95上に、また開口部950によって露出される金属パッド600b、600s、および600t上に、スパッタリングすることによって形成される場合、シード層32tは、好適な1つまたは複数のプロセスによって、例えば、20から500ナノメートルまでの範囲、好ましくは35から300ナノメートルまでの範囲など、1マイクロメートルより小さい厚さを有する銅層、ニッケル層、アルミニウム層、金層、銀層、白金層、またはパラジウム層を、タンタル含有層上にスパッタリングすることによって形成されうる。
シード層32tを形成した後、1から60マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有する、ポジ型フォトレジスト層またはネガ型フォトレジスト層などの、フォトレジスト層71が、スピンオンコーティングプロセス、ラミネート加工プロセス、スクリーン印刷プロセス、またはスプレープロセスによってシード層32t上に形成される。次に、露光および現像のプロセスによりフォトレジスト層71のパターン形成を行い、シード層32tを露出する複数の開口部710をフォトレジスト層71内に形成し、開口部710は、金属パッド600bの上にあるが、試験用の金属パッド600sおよび600tの上に、フォトレジスト層71内の開口部はない。1Xステッパーまたは1Xコンタクトアライナーを使用することで、露光プロセスにおいてフォトレジスト層71を露光することができる。
次に、電気メッキプロセスを使用することによって、金属層34sを、開口部710によって露出されている前記の材料のシード層32t上に、また開口部710内に形成し、次いで、電気メッキプロセスを使用することによって、ハンダ層34tを開口部710内の金属層34s上に形成する。金属層34sおよびハンダ層34tは両方とも、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きく、またシード層32tの厚さより大きく、また接着/バリア層32sの厚さより大きい厚さを有する。金属層34sの材料として、銅および/またはニッケルが挙げられ、ハンダ層34tの材料として、ビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金が挙げられる。ハンダ層34tは、チップ313と接合するために使用されうる。
例えば、金属層34sは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つ銅の単一金属層を開口部710によって露出されているシード層32t、好ましくは前記の銅層32t上に電気メッキすることによって形成することができ、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層34tを、電気メッキプロセスを使用することによって銅の単一金属層上に形成することができる。
あるいは、金属層34sは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つニッケルの単一金属層を開口部710によって露出されているシード層32t、好ましくは前記の銅またはニッケル層32t上に電気メッキすることによって形成することができ、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層34tを、電気メッキプロセスを使用することによってニッケルの単一金属層上に形成することができる。
あるいは、金属層34sは、好適な1つまたは複数のプロセスによって、例えば、1から30マイクロメートルまでの範囲、好ましくは2から20マイクロメートルまでの範囲、または5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを持つ銅層を開口部710によって露出されているシード層32t、好ましくは前記の銅層32t上に電気メッキし、次いで、0.3から6マイクロメートルまでの範囲、好ましくは1から3マイクロメートルまでの範囲など、0.2マイクロメートルより大きい厚さを持つニッケル層を、電気メッキされた銅層上に電気メッキすることによって形成することができる。1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層34tを、電気メッキプロセスを使用することによって金属層34sの電気メッキされたニッケル層上に形成することができる。
次に、図46Lを参照すると、フォトレジスト層71は、無機溶液を使用して、またはアミドとともに有機溶液を使用して、除去されることがわかる。フォトレジスト層71からの一部の残留物が、金属層34sの下にないシード層32t上に残る可能性がある。その後、O2プラズマまたは200PPM未満のフッ素、および酸素を含むプラズマなどのプラズマにより、シード層32tから残留物を除去することができる。次に、金属層34sの下にないシード層32tを除去し、次いで、金属層34sの下にない接着/バリア層32sを除去する。
例えば、金属層34sの下にないシード層32tおよび金属層34sの下にない接着/バリア層32sは、Arスパッタリングエッチングプロセス、反応性イオンエッチング(RIE)プロセス、またはイオンミリングプロセスなどの乾式エッチングプロセスによって除去することができる。
あるいは、金属層34sの下にないシード層32tおよび金属層34sの下にない接着/バリア層32sは、ウェットエッチングプロセスによって除去することができる。シード層32tが銅層である場合、NH4OHを含む溶液またはH2SO4を含む溶液でエッチングすることができる。接着/バリア層32sがチタンタングステン合金層である場合、過酸化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができる。接着/バリア層32sがチタン層である場合、フッ化水素を含む溶液で、またはNH4OHおよび過酸化水素を含む溶液で、エッチングすることができる。
金属層34sの下にないシード層32tが、湿式エッチングプロセスを使用して除去される場合、シード層32tの上に覆い被さる金属層34sの下にアンダーカットが形成される。金属層34sの下にあるシード層32tは、金属層34sの第2の側壁から凹んでいる第1の側壁を有し、第1の側壁と第2の側壁との間の距離は、0.1から2マイクロメートルまでの範囲内である。
したがって、図46Lに示されているように、接着/バリア層32s、シード層32t、金属層34w、およびハンダ層34sによって構成される複数のマイクロバンプ317f、つまり、金属バンプが、開口部50および950によって露出されている金属パッド600b上に、またポリマー層95上に、また薄化シリコン基板1の活性側に形成され、チップ313と接合するために使用される。マイクロバンプ317fのそれぞれは、2から70マイクロメートルまでの範囲、好ましくは5から50マイクロメートルまでの範囲など、2マイクロメートルよりも大きい高さ、および3から30マイクロメートルまでの範囲など、3マイクロメートルより大きい幅もしくは直径を有する。隣接する2つのマイクロバンプ317fの間のピッチP3は、60マイクロメートルより小さく、好ましくは、5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。チップ間回路20aは、金属相互接続線640b、および開口部50のうちの1つを通して、マイクロバンプ317fのうちの1つに接続され、チップ間回路20bは、金属相互接続線640d、および開口部50のうちの別の1つを通して、マイクロバンプ317fのうちの別の1つに接続されうる。開口部50および950によって露出されている金属パッド600sおよび600t上に形成されたマイクロバンプはない、つまり、金属パッド600sおよび600tは、キャッシュメモリチップ311を試験するための開口部50および950によって露出されている。
あるいは、図46Mを参照すると、ポリマー層95を省くことができる、つまり、接着/バリア層32sをパッシベーション層5上に、またパッシベーション層5内の開口部50によって露出される金属パッド600b上に形成することができることがわかる。したがって、接着/バリア層32s、シード層32t、金属層34s、およびハンダ層34tによって構成される複数のマイクロバンプ317fは、開口部50によって露出されている金属パッド600b上に、またパッシベーション層5上に、また薄化シリコン基板1の活性側に形成されうる。
あるいは、図46Nを参照すると、図46B〜46Iに示されているステップを省くことができる、つまり、図46Aに示されている半導体ウェハ10cを構成した後に、図46J〜46Lに示されているステップを実行して、ポリマー層95およびマイクロバンプ317fを形成することができる。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているキャッシュメモリチップ311のIC構造6bは、図46Mまたは46Nに例示されているように、チップ間回路20aおよび20bと、内部回路20cおよび20dと、オフチップバッファ42aおよびオフチップESD回路43aを含むオフチップ回路40aと、オフチップバッファ42bおよびオフチップESD回路43bを含むオフチップ回路40bと、誘電体層30と、ビア30’と、細線金属層60と、ビアプラグ60’と、試験インターフェイス回路333aおよび333bと、金属相互接続線640a、640b、640c、640d、640i、640j、640k、および640mとを収容する。
図37Aまたは38Aに示されているプロセッサユニット303は、図47A〜47Cに例示されているプロセスを使用して形成することができる。図47A〜47Cは、最終的に複数のキャッシュメモリチップ311に切り分けられる半導体ウェハ10cと、キャッシュメモリチップ321と接合されているチップ313を接合するためのプロセスを示す断面図である。図47Aを参照すると、図44Cに示されている積層ユニットのチップ313は、リフローまたは加熱プロセスを使用してチップ313のマイクロバンプ317dのハンダ層34zを半導体ウェハ10cのマイクロバンプ317fのハンダ層34tと結合することによって図46Lに示されている半導体ウェハ10cと接合されることがわかる。リフローまたは加熱プロセスの実行中に、ハンダ層34zおよびハンダ層34tを、金属層34yと34sとの間の、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層35a内に溶かし込む。したがって、接着/バリア層32sおよび32y、シード層32tおよび32z、金属層34sおよび34y、ならびにハンダ層35aによって構成される前記のマイクロバンプ317を、半導体ウェハ10cの金属パッド600bとチップ313の金属パッド600cとの間に形成することができる。マイクロバンプ317のそれぞれは、5から100マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する。マイクロバンプ317は、金属パッド600bおよび600cと接触し、金属パッド600bは、マイクロバンプ317を通して金属パッド600cに接続することができる。隣接する2つのマイクロバンプ317の間のピッチは、60マイクロメートルより小さく、好ましくは5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。
次に、図47Bを参照すると、ポリマーなどの、充填剤を含まない前記のアンダーフィル107dが、半導体ウェハ10cとチップ313との間の間隙内に充填され、これによりマイクロバンプ317が取り囲まれることがわかる。次に、前記のヒートスプレッダまたはヒートシンク315(図47Bおよび47Cに示されていない)を、接着剤の前記の薄膜319(図47Bおよび47Cに示されていない)を使用してヒートスプレッダまたはヒートシンク315をキャッシュメモリチップ321のシリコン基板1に接着することによって、キャッシュメモリチップ321のシリコン基板1上に実装することができる。
次に、図47Cを参照すると、半導体ウェハ10cを切断して、キャッシュメモリチップ311および321、チップ313、およびヒートスプレッダまたはヒートシンク315を含む複数のプロセッサユニット303に分離することができ、チップ313および321は、マイクロバンプ317aを通して互いに接続され、チップ311および313は、マイクロバンプ317を通して互いに接続されることがわかる。次に、図37Aまたは38Aを参照すると、図47Cに示されているプロセッサユニット303は、キャッシュメモリチップ311の金属バンプまたはピラー27を基板301またはBGA基板302の複数の接点と結合することによって、基板301またはBGA基板302と接合することができ、次いで、ポリマーなどの、充填剤を含まない前記のアンダーフィル107cがキャッシュメモリチップ311と基板301またはBGA基板302との間の間隙内に充填され、これにより金属バンプまたはピラー27が取り囲まれることがわかる。
したがって、キャッシュメモリチップ311の、図47Cに示されている、オフチップバッファ42aおよびオフチップESD回路43aは、キャッシュメモリチップ311の、図47Cに示されている、相互接続構造88のうちの左側の構造を通して、またキャッシュメモリチップ311の、これもまた図47Cに示されている、金属バンプもしくはピラー27のうちの左側の金属バンプもしくはピラーを通して、基板301またはBGA基板302の、信号バス、信号トレース、信号線、または信号パッドなどの、信号相互接続部に接続されうる。キャッシュメモリチップ311の、図47Cに示されている、オフチップバッファ42bおよびオフチップESD回路43bは、キャッシュメモリチップ311の、図47Cに示されている、相互接続構造88のうちの右側の構造を通して、またキャッシュメモリチップ311の、これもまた図47Cに示されている、金属バンプもしくはピラー27のうちの右側の金属バンプもしくはピラーを通して、基板301またはBGA基板302の、信号バス、信号トレース、信号線、または信号パッドなどの、別の信号相互接続部に接続されうる。
キャッシュメモリチップ311の、これもまた図47Cに示されている、金属バンプもしくはピラー27のうちの真ん中の2つの金属バンプもしくはピラーは、基板301またはBGA基板302の、電源プレーン、電源バス、電源トレース、または電源線などの、電源相互接続部に接続され、キャッシュメモリチップ311の、図47Cに示されている、相互接続構造88のうちの真ん中の相互接続構造は、金属バンプもしくはピラー27のうちの真ん中の2つの金属バンプもしくはピラーを通して基板301またはBGA基板302の電源相互接続部に接続されうる。
あるいは、キャッシュメモリチップ311の、これもまた図47Cに示されている、金属バンプもしくはピラー27のうちの真ん中の2つの金属バンプもしくはピラーは、基板301またはBGA基板302の、グランドプレーン、グランドバス、グランドトレース、またはグランド線などの、グランド相互接続部に接続され、キャッシュメモリチップ311の、図47Cに示されている、相互接続構造88のうちの真ん中の相互接続構造は、金属バンプもしくはピラー27のうちの真ん中の2つの金属バンプもしくはピラーを通して基板301またはBGA基板302のグランド相互接続部に接続されうる。
図37Bまたは38Bに示されているプロセッサユニット303は、図47D〜47Fに例示されているプロセスを使用して形成することができる。図47D〜47Fは、最終的に複数のキャッシュメモリチップ311に切り分けられる半導体ウェハ10cとチップ313とを接合するためのプロセスを示す断面図である。図47Dを参照すると、図42Oに示されているチップ313は、リフローまたは加熱プロセスを使用してチップ313のマイクロバンプ317dのハンダ層34zを半導体ウェハ10cのマイクロバンプ317fのハンダ層34tと結合することによって図46Lに示されている半導体ウェハ10cと接合されることがわかる。リフローまたは加熱プロセスの実行中に、ハンダ層34zおよびハンダ層34tを、金属層34yと34sとの間の、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層35a内に溶かし込む。したがって、接着/バリア層32sおよび32y、シード層32tおよび32z、金属層34sおよび34y、ならびにハンダ層35aによって構成される前記のマイクロバンプ317を、半導体ウェハ10cの金属パッド600bとチップ313の金属パッド600cとの間に形成することができる。マイクロバンプ317のそれぞれは、5から100マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する。マイクロバンプ317は、金属パッド600bおよび600cと接触し、金属パッド600bは、マイクロバンプ317を通して金属パッド600cに接続することができる。隣接する2つのマイクロバンプ317の間のピッチは、60マイクロメートルより小さく、好ましくは5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。
次に、図47Eを参照すると、ポリマーなどの、充填剤を含まない前記のアンダーフィル107dが、半導体ウェハ10cとチップ313との間の間隙内に充填され、これによりマイクロバンプ317が取り囲まれ、次いで、前記のヒートスプレッダまたはヒートシンク315が、接着剤の前記の薄膜319を使用してヒートスプレッダまたはヒートシンク315をチップ313のシリコン基板1に接着することによって、チップ313のシリコン基板1上に実装されることがわかる。
次に、図47Fを参照すると、半導体ウェハ10cを切断して、キャッシュメモリチップ311、チップ313、およびヒートスプレッダまたはヒートシンク315を含む複数のプロセッサユニット303に分離することができ、チップ311および313は、マイクロバンプ317を通して互いに接続されることがわかる。次に、図37Bまたは38Bを参照すると、図47Fに示されているプロセッサユニット303は、キャッシュメモリチップ311の金属バンプまたはピラー27を基板301またはBGA基板302の複数の接点と結合することによって、基板301またはBGA基板302と接合することができ、次いで、ポリマーなどの、充填剤を含まない前記のアンダーフィル107cがキャッシュメモリチップ311と基板301またはBGA基板302との間の間隙内に充填され、これにより金属バンプまたはピラー27が取り囲まれることがわかる。
したがって、キャッシュメモリチップ311の、図47Fに示されている、オフチップバッファ42aおよびオフチップESD回路43aは、キャッシュメモリチップ311の、図47Fに示されている、相互接続構造88のうちの左側の構造を通して、またキャッシュメモリチップ311の、これもまた図47Fに示されている、金属バンプもしくはピラー27のうちの左側の金属バンプもしくはピラーを通して、基板301またはBGA基板302の、信号バス、信号トレース、信号線、または信号パッドなどの、信号相互接続部に接続されうる。キャッシュメモリチップ311の、図47Fに示されている、オフチップバッファ42bおよびオフチップESD回路43bは、キャッシュメモリチップ311の、図47Fに示されている、相互接続構造88のうちの右側の構造を通して、またキャッシュメモリチップ311の、これもまた図47Fに示されている、金属バンプもしくはピラー27のうちの右側の金属バンプもしくはピラーを通して、基板301またはBGA基板302の、信号バス、信号トレース、信号線、または信号パッドなどの、別の信号相互接続部に接続されうる。
キャッシュメモリチップ311の、これもまた図47Fに示されている、金属バンプもしくはピラー27のうちの真ん中の2つの金属バンプもしくはピラーは、基板301またはBGA基板302の、電源プレーン、電源バス、電源トレース、または電源線などの、電源相互接続部に接続され、キャッシュメモリチップ311の、図47Fに示されている、相互接続構造88のうちの真ん中の相互接続構造は、金属バンプもしくはピラー27のうちの真ん中の2つの金属バンプもしくはピラーを通して基板301またはBGA基板302の電源相互接続部に接続されうる。
あるいは、キャッシュメモリチップ311の、これもまた図47Fに示されている、金属バンプもしくはピラー27のうちの真ん中の2つの金属バンプもしくはピラーは、基板301またはBGA基板302の、グランドプレーン、グランドバス、グランドトレース、またはグランド線などの、グランド相互接続部に接続され、キャッシュメモリチップ311の、図47Fに示されている、相互接続構造88のうちの真ん中の相互接続構造は、金属バンプもしくはピラー27のうちの真ん中の2つの金属バンプもしくはピラーを通して基板301またはBGA基板302のグランド相互接続部に接続されうる。
図47G〜47Iは、最終的に図37Cまたは38Cに示されているように複数のキャッシュメモリチップ311に切り分けられる半導体ウェハ10cとチップ313を接合するためのプロセスを示す断面図である。
図37Cまたは38Cに示されているプロセッサユニット303は、図47G〜47Iに例示されているプロセスを使用して形成することができる。図47G〜47Iは、最終的に複数のキャッシュメモリチップ311に切り分けられる半導体ウェハ10cとチップ313とを接合するためのプロセスを示す断面図である。図47Gを参照すると、図42Oに示されているチップ313は、リフローまたは加熱プロセスを使用してチップ313のマイクロバンプ317dのハンダ層34zを半導体ウェハ10cのマイクロバンプ317fのハンダ層34tと結合することによって図46Nに示されている半導体ウェハ10cと接合されることがわかる。リフローまたは加熱プロセスの実行中に、ハンダ層34zおよびハンダ層34tを、金属層34yと34sとの間の、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層35a内に溶かし込む。したがって、接着/バリア層32sおよび32y、シード層32tおよび32z、金属層34sおよび34y、ならびにハンダ層35aによって構成される前記のマイクロバンプ317を、半導体ウェハ10cの金属パッド600bとチップ313の金属パッド600cとの間に形成することができる。マイクロバンプ317のそれぞれは、5から100マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する。マイクロバンプ317は、金属パッド600bおよび600cと接触し、金属パッド600bは、マイクロバンプ317を通して金属パッド600cに接続することができる。隣接する2つのマイクロバンプ317の間のピッチは、60マイクロメートルより小さく、好ましくは5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。
次に、図47Hを参照すると、ポリマーなどの、充填剤を含まない前記のアンダーフィル107dが、半導体ウェハ10cとチップ313との間の間隙内に充填され、これによりマイクロバンプ317が取り囲まれ、次いで、前記のヒートスプレッダまたはヒートシンク315が、接着剤の前記の薄膜319を使用してヒートスプレッダまたはヒートシンク315をチップ313のシリコン基板1に接着することによって、チップ313のシリコン基板1上に実装されることがわかる。
次に、図47Iを参照すると、半導体ウェハ10cを切断して、キャッシュメモリチップ311、チップ313、およびヒートスプレッダまたはヒートシンク315を含む複数のプロセッサユニット303に分離することができ、チップ311および313は、マイクロバンプ317を通して互いに接続されることがわかる。
図37Cまたは38Cを参照すると、図47Iに例示されているステップの後に、図47Iに示されているプロセッサユニット303を、前記の接着剤319aを使用してキャッシュメモリチップ311のシリコン基板1を基板301またはBGA基板302の上部側上に接着することによって基板301またはBGA基板302上に実装することができることがわかる。次に、金線または銅線などの、前記のワイヤ119cを、ワイヤボンディングプロセスを使用することによってキャッシュメモリチップ311の試験金属パッド600tおよび600sと、また基板301またはBGA基板302の複数の接点と接合することができる。キャッシュメモリチップ311の試験金属パッド600tおよび600sは、ワイヤボンディング接合ワイヤ119cを通して基板301またはBGA基板302の上部側の接点に接続することができる。次に、前記の成形コンパウンド323が、基板301またはBGA基板302上に形成され、ワイヤボンディング接合ワイヤ119cならびにチップ311および313が封入され、ヒートスプレッダまたはヒートシンク315は、成形コンパウンド323によって露わにされ、成形コンパウンド323の上面323aと実質的に同一平面上にある表面315aを有する。
そこで、キャッシュメモリチップ311の、図47Iに示されている、オフチップバッファ42aおよびオフチップESD回路43aは、ワイヤボンディング接合ワイヤ119cの1つを通して基板301またはBGA基板302の、信号バス、信号トレース、信号線、または信号パッドなどの、信号相互接続部に接続されうる。キャッシュメモリチップ311の、図47Iに示されている、オフチップバッファ42bおよびオフチップESD回路43bは、ワイヤボンディング接合ワイヤ119cの別の1つを通して基板301またはBGA基板302の、信号バス、信号トレース、信号線、または信号パッドなどの、別の信号相互接続部に接続されうる。
図37Dまたは38Dに示されているプロセッサユニット303は、図47J〜47Lに例示されているプロセスを使用して形成することができる。図47J〜47Lは、最終的に複数のキャッシュメモリチップ311に切り分けられる半導体ウェハ10cと、キャッシュメモリチップ321と接合されているチップ313を接合するためのプロセスを示す断面図である。図47Jを参照すると、図44Cに示されている積層ユニットのチップ313は、リフローまたは加熱プロセスを使用してチップ313のマイクロバンプ317dのハンダ層34zを半導体ウェハ10cのマイクロバンプ317fのハンダ層34tと結合することによって図46Nに示されている半導体ウェハ10cと接合されることがわかる。リフローまたは加熱プロセスの実行中に、ハンダ層34zおよびハンダ層34tを、金属層34yと34sとの間の、1から30マイクロメートルまでの範囲、好ましくは5から25マイクロメートルまでの範囲など、1マイクロメートルより大きい厚さを有するビスマス、インジウム、アンチモン、スズ、スズ鉛合金、スズ銀合金、またはスズ銀銅合金を含むハンダ層35a内に溶かし込む。したがって、接着/バリア層32sおよび32y、シード層32tおよび32z、金属層34sおよび34y、ならびにハンダ層35aによって構成される前記のマイクロバンプ317を、半導体ウェハ10cの金属パッド600bとチップ313の金属パッド600cとの間に形成することができる。マイクロバンプ317のそれぞれは、5から100マイクロメートルまでの範囲、好ましくは10から60マイクロメートルまでの範囲など、5マイクロメートルより大きい厚さを有する。マイクロバンプ317は、金属パッド600bおよび600cと接触し、金属パッド600bは、マイクロバンプ317を通して金属パッド600cに接続することができる。隣接する2つのマイクロバンプ317の間のピッチは、60マイクロメートルより小さく、好ましくは5から40マイクロメートルまでの範囲、好ましくは10から30マイクロメートルまでの範囲など、40マイクロメートルより小さいものとしてよい。
次に、図47Kを参照すると、ポリマーなどの、充填剤を含まない前記のアンダーフィル107dが、半導体ウェハ10cとチップ313との間の間隙内に充填され、これによりマイクロバンプ317が取り囲まれ、次いで、前記のヒートスプレッダまたはヒートシンク315(図47Kおよび47Lに示されていない)が、接着剤の前記の薄膜319(図47Kおよび47Lに示されていない)を使用してヒートスプレッダまたはヒートシンク315をキャッシュメモリチップ321のシリコン基板1に接着することによって、キャッシュメモリチップ321のシリコン基板1上に実装されることがわかる。
次に、図47Lを参照すると、半導体ウェハ10cを切断して、キャッシュメモリチップ311および321、チップ313、およびヒートスプレッダまたはヒートシンク315を含む複数のプロセッサユニット303に分離することができ、チップ313および321が、マイクロバンプ317aを通して互いに接続され、チップ311および313は、マイクロバンプ317を通して互いに接続されることがわかる。
図37Dまたは38Dを参照すると、図47Lに例示されているステップの後に、図47Lにされているプロセッサユニット303を、前記の接着剤319aを使用してキャッシュメモリチップ311のシリコン基板1を基板301またはBGA基板302の上部側上に接着することによって基板301またはBGA基板302上に実装することができることがわかる。次に、金線または銅線などの、前記のワイヤ119cを、ワイヤボンディングプロセスを使用することによってキャッシュメモリチップ311の試験金属パッド600tおよび600sと、また基板301またはBGA基板302の複数の接点と接合することができる。キャッシュメモリチップ311の試験金属パッド600tおよび600sは、ワイヤボンディング接合ワイヤ119cを通して基板301またはBGA基板302の上部側の接点に接続することができる。次に、前記の成形コンパウンド323が、基板301またはBGA基板302上に形成され、ワイヤボンディング接合ワイヤ119cならびにチップ311、313、および321が封入され、ヒートスプレッダまたはヒートシンク315は、成形コンパウンド323によって露わにされ、成形コンパウンド323の上面323aと実質的に同一平面上にある表面315aを有する。
したがって、キャッシュメモリチップ311の、図47Lに示されている、オフチップバッファ42aおよびオフチップESD回路43aは、ワイヤボンディング接合ワイヤ119cの1つを通して基板301またはBGA基板302の、信号バス、信号トレース、信号線、または信号パッドなどの、信号相互接続部に接続されうる。キャッシュメモリチップ311の、図47Lに示されている、オフチップバッファ42bおよびオフチップESD回路43bは、ワイヤボンディング接合ワイヤ119cの別の1つを通して基板301またはBGA基板302の、信号バス、信号トレース、信号線、または信号パッドなどの、別の信号相互接続部に接続されうる。
あるいは、積層DRAM BGAパッケージ139を、図48Aに示されているように別の積層DRAMパッケージ1390で置き換えることができる。積層DRAMパッケージ1390は、ボールグリッドアレイ(BGA)基板1391と、BGA基板1391の上部側の上にある複数の積層DRAMチップ1392と、積層DRAMチップ1392上の制御チップ1996であって、データの読み書きをそこからまたはその中に行うためにどのDRAMチップ1392を活性化するかを決定するために使用されうる制御チップ1996と、BGA基板1391の底部側上のビスマス、インジウム、スズ鉛合金、スズ銀合金、もしくはスズ銀銅合金を含む複数のハンダボール1393と、DRAMチップ1392のうちの1つをDRAMチップ1392のうちの別の1つに、またはBGA基板1391に接続する、金線、銅線、もしくはアルミニウム線などの、複数のワイヤボンディング接合ワイヤ1394と、ワイヤボンディング接合ワイヤ1394およびDRAMチップ1392を封入する、BGA基板1391の上部側上の、またDRAMチップ1392上の、ポリマーまたはエポキシ系材料などの、成形コンパウンド1395とを備える。積層DRAMパッケージ1390は、図37A、37B、37C、および37Dに示されている基板301上に、または図38A、38B、38C、および38Dに示されているBGA基板302上に設けることができる、つまり、ハンダボール1393は、それぞれ、BGA基板1391の底部側と接合された頂端および図37A、37B、37C、および37Dに示されている基板301の上部側と、または図38A、38B、38C、および38Dに示されているBGA基板302の上部側と接合された底端を有し、したがって、BGA基板1391は、ハンダボール1393を通して図37A、37B、37C、および37Dに示されている基板301に、またはハンダボール1393を通して図38A、38B、38C、および38Dに示されているBGA基板302に接続されうる。BGA基板1391は、BTエポキシなどの、エポキシ系ポリマーを含んでいてもよい。
図48Cおよび48Dは、再分配回路層(redistribution circuit layer)1411がもっぱらスパッタリングされたアルミニウムからなる、図48Bの切断線F−F’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられた、または組み込まれたさまざまなタイプのDRAMチップ1392の断面図を示している。図48Eおよび48Fは、再分配回路層1411がもっぱら電気メッキされた銅または金からなる、図48Bの切断線F−F’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられたさまざまなタイプのDRAMチップ1392の断面図を示している。図48Gは、別の実施形態による、図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられたDRAMチップ1392の上面図を示している。図48Hおよび48Iは、再分配回路層1411がもっぱらスパッタリングされたアルミニウムからなる、図48Gの切断線G−G’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられたさまざまなタイプのDRAMチップ1392の断面図を示している。図48Jおよび48Kは、再分配回路層1411がもっぱら電気メッキされた銅または金からなる、図48Gの切断線G−G’にそって図48Aに示されている積層DRAM BGAパッケージ1390内に組み立てられたさまざまなタイプのDRAMチップ1392の断面図を示している。DRAMチップ1392の断面図を示している図48C〜48Fおよび48H〜48Kを参照すると、DRAMチップ1392のそれぞれは、シリコン半導体基板1と、シリコン半導体基板1上で0.002から0.2マイクロメートルまでの範囲の厚さを有する、シリコン半導体基板1内のMOSデバイスのソース201およびドレイン202、および金属ゲートまたはポリゲートなどのゲート203などの、拡散部分をそれぞれ有する、複数のMOSデバイス1397からそれぞれ構成される、複数のオフチップドライバまたはレシーバ42と、複数のメモリセルとメモリセルに接続されたセンス増幅器をそれぞれ備える8個のメモリバンク1404と、シリコン半導体基板1の上の複数の金属層6とを収容することができ、金属層6のいずれか1つは、電気メッキした銅とともに形成された1つの金属層またはダマシン銅については0.01から3マイクロメートルまでの範囲の厚さを有し、1つの金属層、および金属層6の間の電気メッキした銅、複数の誘電体または絶縁層30の底部および側壁におけるチタン、窒化チタン、タンタル、または窒化タンタル層については0.01から3マイクロメートルまでの範囲の厚さを有する、スパッタリングしたアルミニウムとすることができ、誘電体または絶縁層30のそれぞれは、スパッタリングしたアルミニウムから作られた金属層6については0.01から3マイクロメートルまでの範囲の厚さを有する酸化ケイ素であるか、または適宜炭素を含有し、下にあるダマシン銅上で0.005から0.1マイクロメートルまでの範囲の厚さを有する薄い窒化ケイ素またはオキシ窒化ケイ素層、ならびに適宜炭素を含有し、ダマシン銅で作られた金属層6に対する薄い窒化ケイ素層またはオキシ窒化ケイ素層上で0.05から3マイクロメートルまでの範囲の厚さを有する酸化ケイ素層、ならびに金属層6および誘電体または絶縁層30の上のパッシベーション層5を含む複合材とすることができ、パッシベーション層5は、上部金属層6のダマシン銅上で0.3から2マイクロメートルまでの範囲の厚さを有する窒化ケイ素またはオキシ窒化ケイ素層であるか、または上部金属層6のスパッタリングしたアルミニウム上で0.3から2マイクロメートルまでの範囲の厚さを有する酸化ケイ素層ならびに酸化ケイ素層上で0.3から2マイクロメートルまでの範囲の厚さを有する窒化ケイ素またはオキシ窒化ケイ素層を含む複合材とすることができることがわかる。パッシベーション層5内の開口部1407は、上部金属層6の複数の接点1408の上にあり、接点1408は、開口部1407の底部にある。接点1408が、オフチップドライバ42に接続される場合、接続点1408は、オフチップドライバ42のMOSデバイス1397のドレインに接続され、接点1408が、オフチップレシーバ42に接続される場合、接続点1408は、オフチップレシーバ42のMOSデバイス1397のゲートに接続される。上記の説明を除いて、他の実施形態における要素を示すのと同じ参照番号で示される要素は、他の実施形態における要素として参照することができる。
図48C、48D、48H、および48Iを参照すると、DRAMチップ1392のそれぞれは、パッシベーション層5上の再分配回路層1411をさらに収容し、再分配回路層1411は、0.002から0.05マイクロメートルまでの範囲の厚さを有する、チタン、チタンタングステン合金、窒化チタン、タンタル、または窒化タンタルなどの、接着層1401、ならびに接着層1401上で、0.7から5マイクロメートルまでの範囲の厚さを有するスパッタリングしたアルミニウム層1402、ならびに再分配回路層1411上の、またパッシベーション層5上の別のパッシベーション層1400を収容し、パッシベーション層1400は、再分配回路層1411のスパッタリングしたアルミニウム層1402上で、またパッシベーション層5上で0.3から2マイクロメートルまでの範囲の厚さを有する酸化ケイ素層、および酸化ケイ素層上で0.3から2マイクロメートルまでの範囲の厚さを有する窒化ケイ素またはオキシ窒化ケイ素層を含む複合材とすることができることがわかる。パッシベーション層1400内の複数の開口部1431が、再分配回路層1411の、ワイヤボンディングで接合するように構成された、接点1418の上にあり、接点1418は、開口部1431の底部にある。
あるいは、図48E、48F、48J、および48Kを参照すると、DRAMチップ1392のそれぞれは、パッシベーション層5上に、2から30マイクロメートルまでの範囲の厚さを有するポリイミドまたはベンゾシクロブテン(BCB)などのポリマー層1409を含み、ポリマー層1409内の開口部1410は、上部金属層6の接点1408の上にあることがわかる。再分配回路層1411を、ポリマー層1409上に形成することができる。この場合、再分配回路層1411は、0.002から0.05マイクロメートルまでの範囲の厚さを有する、チタン、チタンタングステン合金、または窒化チタンなどの、接着層1412、接着層1412上の0.002から0.1マイクロメートルまでの範囲の厚さを有する銅または金シード層1413、ならびに銅または金シード層1413上の電気メッキした銅または金層1405を収容する。層1405が電気メッキした銅である場合、好適な1つまたは複数のプロセスによって、例えば、電気メッキまたは無電解メッキプロセスによって形成されたオプションのニッケル層1414を電気メッキした銅層1405上に設けることができ、また好適な1つまたは複数のプロセスによって、例えば、電気メッキまたは無電解メッキプロセスによって形成されたオプションの金層1415をニッケル層1414上に設けることができる。適宜、DRAMチップ1392のそれぞれは、再分配回路層1411上に、またポリマー層1409上に、2から30マイクロメートルまでの範囲の厚さを有するポリイミドまたはベンゾシクロブテン(BCB)などの別のポリマー層1416を含むことができ、ポリマー層1416内の開口部1417が、再分配回路層1411の、ワイヤボンディングで接合するように構成された、接点1418の上にあり、接点1418は、開口部1417の底部にある。あるいは、ポリマー層1409を省くことができる。再分配回路層1411をパッシベーション層5上に直接設けることができ、パッシベーション層5と再分配回路層1411との間にポリマー層はない。あるいは、ポリマー層1416を省くことができ、再分配回路層1411の上にポリマー層はない。
図48Bおよび48Gは、2つの実施形態によるDRAMチップ1392の上面斜視図を示している。図48Gを参照すると、接点1408が、DRAMチップの2つの対向するエッジ1392aおよび1392bと平行なDRAMチップ1392の中心線1403内に配置されていることがわかる。あるいは、図48Bを参照すると、接点1408は、それぞれDRAMチップ1392の中心線1403から左右に離れ、DRAMチップの2つの対向するエッジ1392aおよび1392bと平行な、2つの中心線1421および1422内に配置されていることがわかる。点線で囲まれている図48Bおよび48Gに示されている領域1419は、オフチップドライバもしくはレシーバ42が設けられている、シリコン半導体基板1の上面の一領域を示している、つまり、オフチップドライバもしくはレシーバ42が接点1408の平面より低い水平面にあるものとしてよく、オフチップドライバもしくはレシーバ42のそれぞれは、図48C〜48Fおよび48H〜48Kに示されているように、接点1408の1つに接続され、その近くに位置決めされる。ある場合には、図48C、48E、48H、および48Jに示されているように、接点1408の真下に、オフチップドライバもしくはレシーバはない。例えば、図48Cおよび48Eに示されているような、オフチップドライバまたはレシーバ42は、オフチップドライバまたはレシーバ42に接続されている接点1408の平面より低い水平面にあり、図48Bに示されている接点1408がそれぞれDRAMチップ1392の中心線1403から左右に離れた2つの中心線1421および1422内に配置された状態で、30から250マイクロメートルまでの範囲の、接点1408の中心からの水平オフセットpを有する。また、図48Gに示されているような接点1408が、DRAMチップの2つの対向するエッジ1392aおよび1392bと平行なDRAMチップ1392の中心線1403内に配置されている場合、オフチップドライバまたはレシーバ42に接続されている接点1408の平面より低い水平面にあるオフチップドライバまたはレシーバ42は、図48Hおよび48Jに示されているように、30から250マイクロメートルまでの範囲の、接点1408の中心からの水平オフセットpを有することができる。
別の場合には、接点1408の真下に、オフチップドライバもしくはレシーバがありうる。図48Dおよび48Fに示されているように、接点1408は、オフチップドライバまたはレシーバ42の真上にあり、オフチップドライバまたはレシーバ42に接続することができ、図48Bに示されている接点1408はそれぞれDRAMチップ1392の中心線1403から左右に離れた2つの中心線1421および1422内に配置され、パッシベーション層5内の開口部1407の幅または直径Dは、できる限り小さな値とすることができ、例えば、0.2から20マイクロメートルまでの範囲、好ましくは0.5から5マイクロメートルまでの範囲とすることができる。また、接点1408が、DRAMチップの2つの対向するエッジ1392aおよび1392bと平行なDRAMチップ1392の中心線1403内に配置されている場合、図48Iおよび48Kに示されているような接点1408は、オフチップドライバまたはレシーバ42の真上にあり、オフチップドライバまたはレシーバ42に接続することができ、パッシベーション層5内の開口部1407の幅または直径Dは、できる限り小さな値とすることができ、例えば、0.2から20マイクロメートルまでの範囲、好ましくは0.5から5マイクロメートルまでの範囲とすることができる。
図48Bおよび48Gを参照すると、DRAMチップ1392の左または右のエッジと中心線1403との間の距離sは、150から500マイクロメートルまでの範囲内でありうることがわかる。8個のメモリバンク1404のうちの4個は、領域1419の左側にあり、8個のメモリバンク1404のうちの残り4個は、領域1419の右側にある。図48Gを参照すると、再分配回路層1411は、エッジ1392aの近くに一列に配置されている、ワイヤボンディング接合用に構成された接点1418のうちの1つに接点1408のうちの1つをそれぞれ接続する複数の再分配トレース1411aでパターン形成されうることがわかる。あるいは、図48Bを参照すると、再分配回路層1411は、エッジ1392aの近くに二列に配置されている、ワイヤボンディング接合用に構成された、接点1418のうちの1つに接点1408のうちの1つをそれぞれ接続する複数の再分配トレース1411aでパターン形成されうることがわかる。
図48B〜48Kに例示されているような複数の前記のDRAMチップ1392は、積層することができる。例えば、以下で説明するように、4個、8個、16個、または32個のDRAMチップ1392を積層することが可能である。
図48Aを参照すると、最低位DRAMチップ1392は、1から50マイクロメートルまでの範囲の厚さを持つ、ポリマーまたはエポキシ系材料などの接着剤の薄膜1420を使用して最低位DRAMチップ1392のシリコン半導体基板1をBGA基板1391の上部側に接着することによってBGA基板1391上に実装することができることがわかる。上側DRAMチップ1392は、接着剤の別の薄膜1420を使用して上側DRAMチップ1392のシリコン基板1を下側チップ1392の上部側に接着することによって下側DRAMチップ1392上に実装することができる。制御チップ1996は、接着剤の別の薄膜1420を使用して制御チップ1996を最上位DRAMチップ1392の上部側に接着することによって最上位DRAMチップ1392上に実装することができる。上側DRAMチップ1392は、下側DRAMチップ1392に被さる右側部分を有し、下側DRAMチップ1392は、上側DRAMチップ1392の真下にない左側部分を有する。上側DRAMチップ1392は、下側DRAMチップ1392の側壁からリセスされている左側壁を有する。ワイヤボンディング接合ワイヤ1394はそれぞれ、上側DRAMチップ1392の接点1418または制御チップ1996の接点1433と接合された端部、ならびに下側DRAMチップ1392の接点1418と、またはBGA基板1391の金属パッド1399と接合された別の端部を有することができる。特に、ワイヤボンディング接合ワイヤ1394が銅線である場合、ワイヤボンディング接合ワイヤ1394はそれぞれ、上側DRAMチップ1392の電気メッキされた銅層1405と、または制御チップ1996の接点1433の銅と接合された端部、ならびに下側DRAMチップ1392の電気メッキされた銅層1405と、またはBGA基板1391の金属パッド1399の銅と接合された別の端部を有することができる。ワイヤボンディング接合ワイヤ1394が金線である場合、ワイヤボンディング接合ワイヤ1394はそれぞれ、上側DRAMチップ1392のスパッタリングされたアルミニウム層1402、電気メッキされた金層1405、もしくは金層1415と、または制御チップ1996の接点1433の金もしくはアルミニウムと接合された端部、ならびに下側DRAMチップ1392のスパッタリングされたアルミニウム層1402、電気メッキされた金層1405、または金層1415と、またはBGA基板1391の金属パッド1399の金と接合された別の端部を有することができる。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されている前記のモジュール137は、基板301またはBGA基板302上にただ1つのプロセッサユニット303を備えることができる。あるいは、モジュール137は、基板301またはBGA基板302上に複数のプロセッサユニット303を備えることができ、これらのプロセッサユニット303のうちのいずれか1つを組み立て、これらのプロセッサユニット303のうちのいずれか1つの回路を設計する方法は、図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているプロセッサユニット303を組み立て、図39A、39H、39I、または39Jに示されているプロセッサユニット303の回路を設計する方法のうちのいずれか1つとして参照することができる。
例えば、モジュール137は、基板301またはBGA基板302上に3つのプロセッサユニット303を備えることができる。これらのプロセッサユニット303のうちの1つのプロセッサユニット内のチップ313は、x86アーキテクチャで設計された、または非x86アーキテクチャで設計された中央演算処理装置(CPU)チップとすることができ、これらのプロセスユニット303のうちの別のプロセッサユニット内のチップ313は、グラフィックスプロセッシングユニット(GPU)チップとすることができ、これらのプロセッサユニット303のうちの他の1つのプロセッサユニット内のチップ313は、ベースバンドチップとすることができる。
あるいは、モジュール137は、基板301またはBGA基板302上に2つのプロセッサユニット303を備えることができる。プロセッサユニット303のうちの1つの中のチップ313は、グラフィックスプロセッシングユニット(GPU)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)とすることができ、これらのプロセッサユニット303のうちの他の1つの中のチップ313は、ベースバンドチップとすることができる。
あるいは、モジュール137は、基板301またはBGA基板302上に2つのプロセッサユニット303を備えることができる。プロセッサユニット303のうちの1つの中のチップ313は、ベースバンド回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)とすることができ、これらのプロセスユニット303のうちの他のプロセッサユニット内のチップ313は、グラフィックスプロセッシングユニット(GPU)チップとすることができる。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されている前記のモジュール137、図36Aに示されている前記のチップパッケージモジュール118、図36Bに示されている前記のチップパッケージモジュール118a、図36Cに示されている前記のチップパッケージモジュール118b、図36Dに示されている前記のチップパッケージモジュール118c、図29A〜29Tに示されている前記の半導体チップ、前記の半導体ウェハ10から切り出された前記の半導体チップ、および前記の半導体ウェハ10から切り出された前記の半導体チップを含む前記のチップパッケージは、電話機、コードレス電話、携帯電話、スマートフォン、ネットブックコンピュータ、ノートブックコンピュータ、デジタルカメラ、デジタルビデオカメラ、デジタルフォトフレーム、パーソナルデジタルアシスタント(PDA)、ポケットパーソナルコンピュータ、携帯パーソナルコンピュータ、電子ブック、電子書籍、デスクトップコンピュータ、タブレットもしくはスレートコンピュータ、車載電子製品、モバイルインターネットデバイス(MID)、携帯テレビ、プロジェクタ、モバイルプロジェクタ、ピコプロジェクタ、スマートプロジェクタ、3Dビデオディスプレイ、3Dテレビ(3D TV)、3Dビデオゲーム機、モバイルコンピュータデバイス、コンピュータおよび電話機の機能を組み合わせて提供するデバイスもしくはシステムであるモバイルコンピュフォン(mobile compuphone)(モバイルフォンピュータ(mobile phoneputer)またはモバイルパーソナルコンピュータフォン(mobile personal computer phone)ともいう)、または例えば、クラウドコンピューティングに使用される、高性能および/または低電力コンピュータもしくはサーバーに使用することができる。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているモジュール137が、モバイルコンピュフォンに使用される場合、ワイヤボンディング接合積層メモリBGAパッケージ138によって実現される大容量記憶装置の記憶容量は、4ギガバイトから1兆バイトまでの範囲など、4ギガバイトより大きく、積層DRAM BGAパッケージ139によって実現されるメインメモリの記憶容量は、100メガバイトから256ギガバイトまでの範囲、好ましくは256メガバイトから64ギガバイトまでの範囲など、100メガバイトより大きい。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているモジュール137が、高性能および/または低電力コンピュータもしくはサーバーに使用される場合、3Dテレビ(3D TV)などの3Dビデオディスプレイ、または3Dゲーム機、ならびに高性能および/または低電力コンピュータもしくはサーバーは、例えば、クラウドコンピューティングに使用することができ、ワイヤボンディング接合積層メモリBGAパッケージ138によって実現される大容量記憶装置の記憶容量は、4ギガバイトから128兆バイトまでの範囲、好ましくは64ギガバイトから1兆バイトまでの範囲など、4ギガバイトより大きく、積層DRAM BGAパッケージ139によって実現されるメインメモリの記憶容量は、256メガバイトから1兆バイトまでの範囲、好ましくは1から256ギガバイトまでの範囲など、256メガバイトより大きい。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているモジュール137が、モバイルコンピュフォンに使用される場合、キャッシュメモリチップ311は、ダイナミックランダムアクセスメモリ(DRAM)チップ、同期型ダイナミックランダムアクセスメモリ(SDRAM)チップ、またはスタティックランダムアクセスメモリ(SRAM)チップとすることができ、キャッシュメモリチップ311の記憶容量は、10メガバイトから32ギガバイトまでの範囲、好ましくは100メガバイトから4ギガバイトまでの範囲である。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているモジュール137が、高性能および/または低電力コンピュータもしくはサーバー、3Dビデオディスプレイ、または3Dビデオゲーム機に使用される場合、キャッシュメモリチップ311は、ダイナミックランダムアクセスメモリ(DRAM)チップ、スタティックランダムアクセスメモリ(SRAM)チップ、または同期型ダイナミックランダムアクセスメモリ(SDRAM)チップとすることができ、キャッシュメモリチップ311の記憶容量は、10メガバイトから32ギガバイトまでの範囲、好ましくは100メガバイトから4ギガバイトまでの範囲である。
図37A、37B、37C、37D、38A、38B、38C、または38Dに示されているモジュール137は、例えば、高性能ビデオディスプレイ、特に3Dビデオディスプレイ用に備えることができ、その場の(内蔵)ビデオディスプレイまたはその場の(内蔵)3Dビデオディスプレイに接続することができるか、または3D TVまたは3Dビデオゲーム機などの外部3Dビデオディスプレイに接続することができる。
あるいは、図37A、37B、37C、37D、38A、38B、38C、または38Dにおいて、チップ313は、同期型ダイナミックランダムアクセスメモリ(SDRAM)チップ、スタティックランダムアクセスメモリ(SRAM)チップ、またはダイナミックランダムアクセスメモリ(DRAM)チップなどのメモリチップとすることができるが、チップ311は、x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ARM、Strong ARM、またはMIPなどの非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップ、ベースバンドチップ、グラフィックスプロセッシングユニット(GPU)チップ、デジタル信号処理(DSP)チップ、無線ローカルエリアネットワーク(WLAN)チップ、全地球測位システム(GPS)チップ、Bluetoothチップ、ベースバンド回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックを含まない、ベースバンド回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、無線ローカルエリアネットワーク(WLAN)回路ブロックを含まない、ベースバンド回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、ベースバンド回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、ベースバンド回路ブロックおよび中央演算処理装置(CPU)回路ブロックを含まない、グラフィックスプロセッシングユニット(GPU)回路ブロックおよび無線ローカルエリアネットワーク(WLAN)回路ブロックを含むシステムオンチップ(SOC)、グラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを含むシステムオンチップ(SOC)、または中央演算処理装置(CPU)回路ブロック、Bluetooth回路ブロック、全地球測位システム(GPS)回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、および/または無線ローカルエリアネットワーク(WLAN)回路ブロックを含むチップとすることができる。このアーキテクチャは、図39A、39H、39I、または39Jに例示されている回路を使用しても設計することができることに留意されたい。
したがって、本開示の態様および実施形態は、以前の技術に勝るメリットおよび利点をもたらしうる。
論じられているコンポーネント、ステップ、特徴、メリット、および利点は、例示することをのみ目的としている。これらのいずれも、またこれらに関係する説明も、保護の範囲を制限する意図をいっさい持たない。他のいくつもの実施形態も企図される。これらは、少なくした、追加した、および/または異なる、コンポーネント、ステップ、特徴、メリット、および利点を有する実施形態を含む。これらは、コンポーネントおよび/またはステップの配置および/または順序が異なる実施形態も含む。
本開示を読むと、当業者であれば、本開示の実施形態をコンピュータのハードウェア、ソフトウェア、ファームウェア、またはそのようなものの組み合わせによって、また1つまたは複数のネットワークを介して、中に実装するか、または使いやすくすることができることを理解するであろう。適切なソフトウェアは、本開示に従ってチップ構造の加工を設計し、および/または制御する方法ならびに技術(ならびにその一部分)を実施するためのコンピュータ可読または機械可読命令を含むことができる。任意の好適なソフトウェア言語(機械依存または機械独立の)を利用することができる。さらに、本開示の実施形態は、さまざまな信号、例えば、無線RFまたはIR通信リンクを介して伝送されるか、またはインターネットからダウンロードされるような信号に含まれるか、またはそのような信号によって搬送されうる。
特に指定のない限り、以下の請求項に含む、本明細書に記載されているすべての測定結果、値、格付け、位置、大きさ、サイズ、および他の詳細は、近似値であるか、または公称値であり、必ずしも正確ではなく、これらが関係する機能と、またこれらが関係する技術において慣例的であるものと矛盾しない妥当な範囲を有することが意図されている。
本開示に引用されているすべての論文、特許、特許出願、および他の刊行物はすべて、参照により本明細書に組み込まれる。
どのような内容が記載されていようと、また例示されていようと、請求項に引用されているかどうかに関係なく、コンポーネント、ステップ、特徴、目的、メリット、利点、または等価物を公衆に供するものと解釈すべきでない。
保護の範囲は、請求項によってのみ限定される。この範囲は、本明細書および引き続く審査履歴に照らして解釈されるときに請求項において使用される言語の通常の意味と一致する広さの範囲であるものであり、またすべての構造的および機能的等価物を包含するものであることが意図され、そのように解釈すべきである。
以下に、本願出願の当初の特許請求の範囲に記載された発明を付記する。
[1]モジュールであって、
基板と、
前記基板上のプロセッサユニットであって、前記プロセッサユニットは、前記基板の上に第1のキャッシュメモリチップを、前記第1のキャッシュメモリチップの上にプロセッサチップを備え、前記第1のキャッシュメモリチップは、前記第1のキャッシュメモリチップと前記プロセッサチップとの間の複数のマイクロバンプを通して前記プロセッサチップに接続され、前記複数のマイクロバンプのうちの隣接する2つのマイクロバンプの間のピッチは、60マイクロメートルより小さい、プロセッサユニットと、
前記基板上の大容量記憶装置であって、前記大容量記憶装置は、前記基板の上に第1のメモリチップを、前記第1のメモリチップの上に第2のメモリチップを備え、前記第1のメモリチップは、少なくとも1つの第1のワイヤボンディング接合ワイヤを通して前記第2のメモリチップに接続される、大容量記憶装置と、
前記基板上のメインメモリであって、前記メインメモリは、前記基板の上に第1のダイナミックランダムアクセスメモリチップを、前記第1のダイナミックランダムアクセスメモリチップの上に第2のダイナミックランダムアクセスメモリチップを備える、メインメモリと、
前記基板に接続されたコネクタと
を備えるモジュール。
[2]前記モジュールは、コンピュータ、携帯電話、モバイルコンピュフォン(mobile compuphone)、カメラ、電子ブック、デジタルフォトフレーム、車載電子製品、3Dビデオディスプレイ、3Dテレビ、3Dビデオゲーム機、プロジェクタ、またはクラウドコンピューティングに使用されるサーバー内に実装される[1]のモジュール。
[3]前記プロセッサチップは、x86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロック、グラフィックスプロセッシングユニット(GPU)回路ブロック、ベースバンド回路ブロック、デジタル信号処理(DSP)回路ブロック、または無線ローカルエリアネットワーク(WLAN)回路ブロックを備える[1]のモジュール。
[4]前記プロセッサチップは、x86アーキテクチャを使用して設計された、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)チップを備える[1]のモジュール。
[5]前記プロセッサチップは、ベースバンド回路ブロック、無線ローカルエリアネットワーク(WLAN)回路ブロック、およびx86アーキテクチャを使用して、または非x86アーキテクチャを使用して設計された中央演算処理装置(CPU)回路ブロックを備え、グラフィックスプロセッシングユニット(GPU)回路ブロックを含まないシステムオンチップ(SOC)を備える[1]のモジュール。
[6]前記第1のキャッシュメモリチップは、ダイナミックランダムアクセスメモリ(DRAM)チップ、同期型ダイナミックランダムアクセスメモリ(SDRAM)チップ、またはスタティックランダムアクセスメモリ(SRAM)チップを備える[1]のモジュール。
[7]前記第1のキャッシュメモリチップは、10メガバイトから32ギガバイトまでの範囲の記憶容量を有する[1]のモジュール。
[8]前記第1のキャッシュメモリチップは、少なくとも1つの第2のワイヤボンディング接合ワイヤを通して前記基板に接続される[1]のモジュール。
[9]前記第1のキャッシュメモリチップは、
シリコン基板と、
前記シリコン基板内の複数のシリコン貫通ビアと、
前記シリコン基板の裏面、及び前記複数のシリコン貫通ビア内の底部スキームと、
前記シリコン基板の上部側の上の第1の誘電体層と、
前記第1の誘電体層の上の第1の金属層と、
前記第1の金属層の上の第2の誘電体層と、
前記第2の誘電体層の上の第2の金属層と、
前記シリコン基板の前記上部側の上、前記第1および第2の誘電体層の上、及び前記第1および第2の金属層の上のパッシベーション層と
を備え、前記パッシベーション層内の複数の開口部のそれぞれは、前記第2の金属層の複数の接点のうちの各1つの接点の上にあり、前記複数の接点は、前記複数の開口部の底部にあり、
前記複数のマイクロバンプは、前記複数の開口部を通して前記複数の接点に接続され、
前記底部スキームは、前記シリコン基板と前記基板との間に金属バンプを備え、
前記第1のキャッシュメモリチップは、前記金属バンプを通して前記基板に接続される、[1]のモジュール。
[10]前記第1のメモリチップは、フラッシュメモリチップまたはダイナミックランダムアクセスメモリ(DRAM)チップを備える[1]のモジュール。
[11]前記プロセッサユニットは、前記プロセッサチップの上に第2のキャッシュメモリチップをさらに備え、
前記第2のキャッシュメモリチップは、前記プロセッサチップに接続される[1]のモジュール。
[12]前記第2のメモリチップは、前記第1のメモリチップから突き出た右側部分を有し、
前記第1のメモリチップは、前記第2のメモリチップの真下にない左側部分を有し、
前記第2のメモリチップは、前記第1のメモリチップの側壁からリセスされた左側壁を有する[1]のモジュール。
[13]前記基板上に無線周波(RF)モジュールをさらに備える[1]のモジュール。
[14]前記第1のダイナミックランダムアクセスメモリチップは、第1のシリコン基板と、
前記第1のシリコン基板の上の第1の誘電体層と、
前記第1の誘電体層の上の第1の金属層と、
前記第1の金属層の上の第2の誘電体層と、
前記第2の誘電体層の上の第2の金属層と、
前記第1のシリコン基板の上、前記第1および第2の誘電体層の上、及び前記第1および第2の金属層の上の第1のパッシベーション層と
を備え、前記第1のパッシベーション層内の複数の開口部のそれぞれは、前記第2の金属層の複数の接点のうちの各1つの接点の上にあり、前記複数の接点は、前記複数の開口部の底部にあり、
前記第2のダイナミックランダムアクセスメモリチップは、第2のシリコン基板と、
前記第2のシリコン基板内の複数のシリコン貫通ビアと、
前記第2のシリコン基板の裏面、及び前記複数のシリコン貫通ビア内の底部スキームと、
前記第2のシリコン基板の上部側の上の第3の誘電体層と、
前記第3の誘電体層の上の第3の金属層と、
前記第3の金属層の上の第4の誘電体層と、
前記第4の誘電体層の上の第4の金属層と、
前記第2のシリコン基板の前記上部側の上、前記第3および第4の誘電体層の上、及び前記第3および第4の金属層の上の第2のパッシベーション層と
を備え、前記底部スキームは、前記第2のシリコン基板と前記第1のダイナミックランダムアクセスメモリチップとの間に金属バンプを備え、
前記金属バンプは、前記複数の開口部のうちの1つの開口部を通して前記複数の接点のうちの1つの接点に接続され、
前記第2のダイナミックランダムアクセスメモリチップは、前記金属バンプを通して前記第1のダイナミックランダムアクセスメモリチップに接続される、[1]のモジュール。
[15]前記コネクタは、充電器、ゲーム機、ディスプレイ、またはテレビに接続するために使用される[1]のモジュール。
[16]前記コネクタは、ユニバーサルシリアルバス(USB)、高品位マルチメディアインターフェイス(HDMI)、DisplayPort、IEEE 1394、または光コネクタを備える[1]のモジュール。
[17]前記第1のキャッシュメモリチップは、第1の金属パッドと、
第2の金属パッドと、
前記第1の金属パッドに接続されている第1のノードを有する試験インターフェイス回路と、
前記第1の金属パッド、及び前記試験インターフェイス回路の前記第1のノードに接続されている第1のチップ間バッファと、
前記試験インターフェイス回路の第2のノードに接続されている第1のノードおよび前記第2の金属パッドに接続されている第2のノードを有するオフチップバッファと、
前記オフチップバッファの前記第2のノード、及び前記第2の金属パッドに接続されているオフチップ静電放電(ESD)回路と
を備え、前記複数のマイクロバンプのうちの1つのマイクロバンプは、前記第1の金属パッド上にあり、
前記複数のマイクロバンプのうちの前記1つのマイクロバンプは、前記第1のチップ間バッファ、及び前記試験インターフェイス回路の前記第1のノードに、前記第1の金属パッドを通して接続され、
前記第2の金属パッドは、前記第1のキャッシュメモリチップと前記プロセッサチップとの間のマイクロバンプを通して前記プロセッサチップに、上向きに、接続されない、[1]のモジュール。
[18]前記オフチップバッファは、第1のNMOSトランジスタを備え、前記第1のチップ間バッファは、第2のNMOSトランジスタを備え、
前記第1のNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比は、前記第2のNMOSトランジスタの物理的チャネル幅と物理的チャネル長との比より、3倍超大きい、[17]のモジュール。
[19]前記プロセッサチップは、第3の金属パッドおよび前記第3の金属パッドに接続されている第2のチップ間バッファを備え、
前記複数のマイクロバンプのうちの前記1つのマイクロバンプは、前記第1および第3の金属パッドの間にあり、
前記複数のマイクロバンプのうちの前記1つのマイクロバンプは、前記第3の金属パッドを通して前記第2のチップ間バッファに接続され、
前記第1のチップ間バッファは、順に前記第1の金属パッド、前記複数のマイクロバンプのうちの前記1つのマイクロバンプ、および前記第3の金属パッドを通して、前記第2のチップ間バッファに接続される、[17]のモジュール。
[20]前記第1のチップ間バッファと前記第2のチップ間バッファとの間の経路に接続された静電放電(ESD)回路はない[19]のモジュール。

Claims (20)

  1. チップパッケージであって、
    第1のチップと、
    前記第1のチップの真上の第2のチップであって、前記第2のチップは、シリコン基板および前記シリコン基板を通るシリコン貫通ビア内の金属相互接続部を備える、第2のチップと、
    前記第1と第2のチップの間の第1の金属バンプであって、前記第2のチップのバッファは、前記第1の金属バンプを通して前記第1のチップのバッファに接続され、前記第2のチップの前記バッファから見た出力静電容量は、2pFより小さい、第1の金属バンプと、
    前記第1と第2のチップの間の第2の金属バンプであって、前記金属相互接続部は、前記第2の金属バンプを通して前記第1のチップに接続される、第2の金属バンプと
    を備えるチップパッケージ。
  2. 前記第1と第2のチップとの間にアンダーフィルをさらに備え、前記アンダーフィルは、前記第1および第2の金属バンプを取り囲む請求項1のチップパッケージ。
  3. 前記第2のチップの真上の第3のチップと、
    前記第2と第3のチップの間の第3の金属バンプと
    をさらに備え、前記第3のチップは、前記第3の金属バンプを通して前記金属相互接続部に接続される請求項1のチップパッケージ。
  4. 前記第2と第3のチップとの間にアンダーフィルをさらに備え、前記アンダーフィルは、前記第3の金属バンプを取り囲む請求項3のチップパッケージ。
  5. 前記第3の金属バンプは、第1のニッケル層、第2のニッケル層、および前記第1のニッケル層と前記第2のニッケル層との間のスズ含有層を備える請求項3のチップパッケージ。
  6. 前記第1のニッケル層は、2から20マイクロメートルまでの範囲の厚さを有する請求項5のチップパッケージ。
  7. 前記第1のニッケル層は、2から20マイクロメートルまでの範囲の厚さを有し、
    前記第2のニッケル層は、2から20マイクロメートルまでの範囲の厚さを有する請求項5のチップパッケージ。
  8. 前記第2のチップの前記バッファはドライバを備え、
    前記第1のチップの前記バッファは、レシーバを備える請求項1のチップパッケージ。
  9. 前記第2のチップの前記バッファはトライステートバッファを備え、
    前記第1のチップの前記バッファは別のトライステートバッファを備える請求項1のチップパッケージ。
  10. 前記金属相互接続部は、前記シリコン貫通ビア内に銅層を備える請求項1のチップパッケージ。
  11. 前記金属相互接続部は、前記シリコン貫通ビア内の前記銅層と前記シリコン基板との間の前記シリコン貫通ビアの側壁上のチタン含有層をさらに備える請求項10のチップパッケージ。
  12. 前記第2のチップは、前記シリコン基板の下に金属層を備え、
    前記シリコン貫通ビアは、前記金属の接点の上にあり、
    前記接点は、前記シリコン貫通ビアの底部にあり、
    前記金属相互接続部はさらに、前記接点上にあり、及び前記シリコン基板の上にある請求項1のチップパッケージ。
  13. 前記第1の金属バンプは、前記第1のチップと前記第2のチップとの間にスズ含有層を備える請求項1のチップパッケージ。
  14. 前記第1の金属バンプは、前記スズ含有層と前記第2のチップとの間に2から20マイクロメートルの範囲の厚さを有する銅層をさらに備える請求項13のチップパッケージ。
  15. 前記第1の金属バンプは、前記スズ含有層と前記第2のチップとの間に2から20マイクロメートルの範囲の厚さを有するニッケル層をさらに備える請求項13のチップパッケージ。
  16. 前記第1の金属バンプは、前記スズ含有層と前記第1のチップとの間に2から20マイクロメートルの範囲の厚さを有する銅層をさらに備える請求項13のチップパッケージ。
  17. 前記第1の金属バンプは、前記スズ含有層と前記第1のチップとの間に2から20マイクロメートルの範囲の厚さを有するニッケル層をさらに備える請求項13のチップパッケージ。
  18. 前記第1のチップは、前記第1のチップの前記バッファおよび前記第1の金属バンプに接続されたESD回路をさらに備え、
    前記ESD回路は、2pFより小さい静電容量を有する請求項1のチップパッケージ。
  19. 前記第2のチップは、前記第2のチップの前記バッファおよび前記第1の金属バンプに接続された静電放電(ESD回路をさらに備え、
    前記ESD回路は、2pFより小さい静電容量を有する請求項1のチップパッケージ。
  20. 前記第1のチップ上のワイヤ接合をさらに備える請求項1のチップパッケージ。
JP2012503470A 2009-03-30 2010-03-11 上部ポストパッシベーション技術および底部構造技術を使用する集積回路チップ Expired - Fee Related JP5714564B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16447309P 2009-03-30 2009-03-30
US61/164,473 2009-03-30
PCT/US2010/027056 WO2010114687A1 (en) 2009-03-30 2010-03-11 Integrated circuit chip using top post-passivation technology and bottom structure technology

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014225498A Division JP2015073107A (ja) 2009-03-30 2014-11-05 上部ポストパッシベーション技術および底部構造技術を使用する集積回路チップ

Publications (2)

Publication Number Publication Date
JP2012522398A JP2012522398A (ja) 2012-09-20
JP5714564B2 true JP5714564B2 (ja) 2015-05-07

Family

ID=42783981

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012503470A Expired - Fee Related JP5714564B2 (ja) 2009-03-30 2010-03-11 上部ポストパッシベーション技術および底部構造技術を使用する集積回路チップ
JP2014225498A Withdrawn JP2015073107A (ja) 2009-03-30 2014-11-05 上部ポストパッシベーション技術および底部構造技術を使用する集積回路チップ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014225498A Withdrawn JP2015073107A (ja) 2009-03-30 2014-11-05 上部ポストパッシベーション技術および底部構造技術を使用する集積回路チップ

Country Status (7)

Country Link
US (2) US8456856B2 (ja)
EP (1) EP2414801B1 (ja)
JP (2) JP5714564B2 (ja)
KR (1) KR101307490B1 (ja)
CN (2) CN105140136B (ja)
TW (1) TWI478313B (ja)
WO (1) WO2010114687A1 (ja)

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5079342B2 (ja) * 2007-01-22 2012-11-21 ルネサスエレクトロニクス株式会社 マルチプロセッサ装置
WO2008103752A1 (en) * 2007-02-21 2008-08-28 Rambus Inc. High-speed memory package
FR2925980B1 (fr) * 2007-12-28 2010-06-04 St Microelectronics Sa Plot de contact electrique
JP5438980B2 (ja) * 2009-01-23 2014-03-12 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8110926B2 (en) * 2009-01-30 2012-02-07 Broadcom Corporation Redistribution layer power grid
CN105140136B (zh) 2009-03-30 2018-02-13 高通股份有限公司 使用顶部后钝化技术和底部结构技术的集成电路芯片
KR101169531B1 (ko) * 2009-07-03 2012-07-27 가부시키가이샤 테라미크로스 반도체구성체 및 그 제조방법과 반도체장치 및 그 제조방법
US8247906B2 (en) * 2009-07-06 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying power to integrated circuits using a grid matrix formed of through-silicon vias
KR101143398B1 (ko) * 2009-07-30 2012-05-22 에스케이하이닉스 주식회사 반도체 집적회로
US20110080184A1 (en) * 2009-10-01 2011-04-07 National Tsing Hua University Method for testing through-silicon-via and the circuit thereof
US8531199B2 (en) * 2009-10-01 2013-09-10 National Tsing Hua University Method for testing through-silicon-via and the circuit thereof
EP2485579A4 (en) * 2009-10-07 2014-12-17 Rain Bird Corp IRRIGATION CONTROL ON VOLUME BUDGET BASE
JP2011100989A (ja) * 2009-10-09 2011-05-19 Renesas Electronics Corp 半導体装置
US9024431B2 (en) * 2009-10-29 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die contact structure and method
JP5544872B2 (ja) 2009-12-25 2014-07-09 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8237460B1 (en) * 2010-02-18 2012-08-07 Amkor Technology, Inc. Pogo pin inserting device for testing semiconductor devices and method therefor
US8759209B2 (en) * 2010-03-25 2014-06-24 Stats Chippac, Ltd. Semiconductor device and method of forming a dual UBM structure for lead free bump connections
KR20110112707A (ko) * 2010-04-07 2011-10-13 삼성전자주식회사 층간 연결 유닛을 갖는 적층 메모리 장치, 이를 포함하는 메모리 시스템, 및 전송선의 지연시간 보상 방법
US8502372B2 (en) 2010-08-26 2013-08-06 Lsi Corporation Low-cost 3D face-to-face out assembly
JP2012064891A (ja) * 2010-09-17 2012-03-29 Toshiba Corp 半導体装置及びその製造方法
TWI664631B (zh) * 2010-10-05 2019-07-01 日商半導體能源研究所股份有限公司 半導體記憶體裝置及其驅動方法
US8927909B2 (en) * 2010-10-11 2015-01-06 Stmicroelectronics, Inc. Closed loop temperature controlled circuit to improve device stability
US9431298B2 (en) * 2010-11-04 2016-08-30 Qualcomm Incorporated Integrated circuit chip customization using backside access
FR2969381A1 (fr) * 2010-12-21 2012-06-22 St Microelectronics Crolles 2 Puce electronique comportant des piliers de connexion, et procede de fabrication
FR2970118B1 (fr) 2010-12-30 2013-12-13 St Microelectronics Crolles 2 Puce de circuits integres et procede de fabrication.
FR2970119B1 (fr) * 2010-12-30 2013-12-13 St Microelectronics Crolles 2 Sas Puce de circuits integres et procede de fabrication.
US8921976B2 (en) * 2011-01-25 2014-12-30 Stmicroelectronics, Inc. Using backside passive elements for multilevel 3D wafers alignment applications
US8598465B2 (en) * 2011-01-27 2013-12-03 Northrop Grumman Systems Corporation Hermetic circuit ring for BCB WSA circuits
CN102623424B (zh) * 2011-01-27 2015-04-08 精材科技股份有限公司 晶片封装体及其形成方法
US8889995B2 (en) 2011-03-03 2014-11-18 Skyworks Solutions, Inc. Wire bond pad system and method
KR20120119960A (ko) * 2011-04-21 2012-11-01 삼성전자주식회사 마이크로 범프 연결성을 테스트할 수 있는 반도체 장치
US8552540B2 (en) * 2011-05-10 2013-10-08 Conexant Systems, Inc. Wafer level package with thermal pad for higher power dissipation
US9402319B2 (en) 2011-05-11 2016-07-26 Vlt, Inc. Panel-molded electronic assemblies
US8966747B2 (en) 2011-05-11 2015-03-03 Vlt, Inc. Method of forming an electrical contact
WO2012159080A1 (en) * 2011-05-19 2012-11-22 The Trustees Of Columbia University In The City Of New York Using graphics processing units in control and/or data processing systems
US20190027409A1 (en) * 2011-06-28 2019-01-24 Monolithic 3D Inc. A 3d semiconductor device and system
KR20130007120A (ko) * 2011-06-29 2013-01-18 에스케이하이닉스 주식회사 반도체 장치 및 그의 테스트 방법
TWI470760B (zh) * 2011-07-21 2015-01-21 Xintec Inc 晶片封裝體及其形成方法
TWI447889B (zh) * 2011-08-05 2014-08-01 Chipmos Technologies Inc 晶片封裝結構
US8912649B2 (en) 2011-08-17 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy flip chip bumps for reducing stress
US9679869B2 (en) 2011-09-02 2017-06-13 Skyworks Solutions, Inc. Transmission line for high performance radio frequency applications
US9437512B2 (en) * 2011-10-07 2016-09-06 Mediatek Inc. Integrated circuit package structure
US10475759B2 (en) 2011-10-11 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure having dies with connectors of different sizes
US9117677B2 (en) * 2011-10-13 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit having a resistor and method of forming the same
US10131534B2 (en) * 2011-10-20 2018-11-20 Snaptrack, Inc. Stacked vias for vertical integration
US9966319B1 (en) 2011-10-27 2018-05-08 Global Circuit Innovations Incorporated Environmental hardening integrated circuit method and apparatus
US9870968B2 (en) 2011-10-27 2018-01-16 Global Circuit Innovations Incorporated Repackaged integrated circuit and assembly method
US10109606B2 (en) 2011-10-27 2018-10-23 Global Circuit Innovations, Inc. Remapped packaged extracted die
US10128161B2 (en) 2011-10-27 2018-11-13 Global Circuit Innovations, Inc. 3D printed hermetic package assembly and method
US10147660B2 (en) 2011-10-27 2018-12-04 Global Circuits Innovations, Inc. Remapped packaged extracted die with 3D printed bond connections
US9935028B2 (en) 2013-03-05 2018-04-03 Global Circuit Innovations Incorporated Method and apparatus for printing integrated circuit bond connections
US10177054B2 (en) 2011-10-27 2019-01-08 Global Circuit Innovations, Inc. Method for remapping a packaged extracted die
US10002846B2 (en) 2011-10-27 2018-06-19 Global Circuit Innovations Incorporated Method for remapping a packaged extracted die with 3D printed bond connections
US8569856B2 (en) * 2011-11-03 2013-10-29 Omnivision Technologies, Inc. Pad design for circuit under pad in semiconductor devices
US9893163B2 (en) 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
KR101327081B1 (ko) * 2011-11-04 2013-11-07 엘지이노텍 주식회사 무선전력 수신장치 및 그 제어 방법
US9817029B2 (en) 2011-12-07 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Test probing structure
JP5749353B2 (ja) * 2011-12-13 2015-07-15 エンパイア テクノロジー ディベロップメント エルエルシー エラストマーの接着
JP2013232620A (ja) * 2012-01-27 2013-11-14 Rohm Co Ltd チップ部品
US9263412B2 (en) * 2012-03-09 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and packaged semiconductor devices
US20130234317A1 (en) 2012-03-09 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging Methods and Packaged Semiconductor Devices
US8683870B2 (en) * 2012-03-15 2014-04-01 Meggitt (Orange County), Inc. Sensor device with stepped pads for connectivity
US8750011B2 (en) * 2012-03-19 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for ROM cells
JP5624578B2 (ja) * 2012-03-23 2014-11-12 株式会社東芝 メモリシステム
JP6001893B2 (ja) * 2012-03-23 2016-10-05 ローム株式会社 セルベースic、セルベースicのレイアウトシステムおよびレイアウト方法
US8946057B2 (en) * 2012-04-24 2015-02-03 Applied Materials, Inc. Laser and plasma etch wafer dicing using UV-curable adhesive film
US9190348B2 (en) 2012-05-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Scheme for connector site spacing and resulting structures
KR101921686B1 (ko) 2012-06-14 2018-11-26 스카이워크스 솔루션즈, 인코포레이티드 와이어 본드 패드 및 관련된 시스템, 장치, 및 방법을 포함하는 전력 증폭기 모듈
US8969177B2 (en) 2012-06-29 2015-03-03 Applied Materials, Inc. Laser and plasma etch wafer dicing with a double sided UV-curable adhesive film
JP6036083B2 (ja) * 2012-09-21 2016-11-30 株式会社ソシオネクスト 半導体装置及びその製造方法並びに電子装置及びその製造方法
US9615453B2 (en) 2012-09-26 2017-04-04 Ping-Jung Yang Method for fabricating glass substrate package
US10622310B2 (en) 2012-09-26 2020-04-14 Ping-Jung Yang Method for fabricating glass substrate package
US8803283B2 (en) * 2012-09-27 2014-08-12 Intel Corporation Vertical meander inductor for small core voltage regulators
JP6128787B2 (ja) 2012-09-28 2017-05-17 キヤノン株式会社 半導体装置
JP5439567B1 (ja) * 2012-10-11 2014-03-12 株式会社東芝 半導体装置
KR20140059569A (ko) * 2012-11-08 2014-05-16 삼성전자주식회사 지그재그형 패드 배선 구조를 포함하는 반도체 소자
US20140133105A1 (en) * 2012-11-09 2014-05-15 Nvidia Corporation Method of embedding cpu/gpu/logic chip into a substrate of a package-on-package structure
US20140151095A1 (en) * 2012-12-05 2014-06-05 Samsung Electro-Mechanics Co., Ltd. Printed circuit board and method for manufacturing the same
US9034752B2 (en) * 2013-01-03 2015-05-19 Micron Technology, Inc. Methods of exposing conductive vias of semiconductor devices and associated structures
CA2898598C (en) 2013-01-18 2023-01-03 Yale University Superconducting device with at least one enclosure
CA2898608C (en) * 2013-01-18 2022-01-11 Yale University Methods for making a superconducting device with at least one enclosure
US8896094B2 (en) 2013-01-23 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for inductors and transformers in packages
US20140210111A1 (en) * 2013-01-25 2014-07-31 Apple Inc. Embedded package on package systems
KR101984831B1 (ko) * 2013-01-31 2019-05-31 삼성전자 주식회사 반도체 패키지 및 그 제조 방법
US9536833B2 (en) 2013-02-01 2017-01-03 Mediatek Inc. Semiconductor device allowing metal layer routing formed directly under metal pad
US9455226B2 (en) 2013-02-01 2016-09-27 Mediatek Inc. Semiconductor device allowing metal layer routing formed directly under metal pad
US8907500B2 (en) 2013-02-04 2014-12-09 Invensas Corporation Multi-die wirebond packages with elongated windows
JP6211772B2 (ja) * 2013-02-14 2017-10-11 ローム株式会社 Lsiのesd保護回路および半導体装置
US20140241062A1 (en) * 2013-02-27 2014-08-28 Lsi Corporation Modular, Scalable Rigid Flex Memory Module
WO2014132938A1 (ja) 2013-02-28 2014-09-04 株式会社村田製作所 半導体装置
CN205081096U (zh) 2013-02-28 2016-03-09 株式会社村田制作所 Esd保护器件
WO2014132939A1 (ja) 2013-02-28 2014-09-04 株式会社村田製作所 半導体装置
US20140252571A1 (en) * 2013-03-06 2014-09-11 Maxim Integrated Products, Inc. Wafer-level package mitigated undercut
US9449945B2 (en) * 2013-03-08 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Filter and capacitor using redistribution layer and micro bump layer
US9006900B2 (en) 2013-03-11 2015-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with advanced pad structure resistant to plasma damage and method for forming the same
US8916972B2 (en) * 2013-03-12 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesion between post-passivation interconnect structure and polymer
US20140266286A1 (en) * 2013-03-13 2014-09-18 Qualcomm Incorporated Through-substrate via with a fuse structure
JP5843049B2 (ja) 2013-04-05 2016-01-13 株式会社村田製作所 Esd保護デバイス
US9123686B2 (en) 2013-04-12 2015-09-01 Western Digital Technologies, Inc. Thermal management for solid-state drive
US9214433B2 (en) * 2013-05-21 2015-12-15 Xilinx, Inc. Charge damage protection on an interposer for a stacked die assembly
US9059123B2 (en) 2013-07-24 2015-06-16 International Business Machines Corporation Active matrix using hybrid integrated circuit and bipolar transistor
TWI511257B (zh) * 2013-07-30 2015-12-01 Univ Nat Chiao Tung 半導體元件之內連接結構
WO2015015566A1 (ja) * 2013-07-30 2015-02-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US9478498B2 (en) 2013-08-05 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Through package via (TPV)
US8890223B1 (en) * 2013-08-06 2014-11-18 Texas Instruments Incorporated High voltage hybrid polymeric-ceramic dielectric capacitor
TWI576870B (zh) * 2013-08-26 2017-04-01 精材科技股份有限公司 電感結構及其製作方法
CN103456353A (zh) * 2013-09-04 2013-12-18 东南大学 一种用于sram亚阈值地址解码器的驱动电路
JP2015065553A (ja) * 2013-09-25 2015-04-09 株式会社東芝 接続部材、半導体デバイスおよび積層構造体
JP6238121B2 (ja) * 2013-10-01 2017-11-29 ローム株式会社 半導体装置
US20150097268A1 (en) * 2013-10-07 2015-04-09 Xintec Inc. Inductor structure and manufacturing method thereof
KR102116896B1 (ko) * 2013-10-14 2020-06-01 삼성디스플레이 주식회사 유기 발광 표시 장치
US10541659B2 (en) 2013-10-15 2020-01-21 Yale University Low-noise josephson junction-based directional amplifier
US20150109025A1 (en) * 2013-10-18 2015-04-23 Qualcomm Incorporated Area saving in latch arrays
EP2866040B1 (en) * 2013-10-22 2017-07-19 Nxp B.V. Circuit and method for detection of IC connection failure
US9343418B2 (en) * 2013-11-05 2016-05-17 Xilinx, Inc. Solder bump arrangements for large area analog circuitry
US9379078B2 (en) * 2013-11-07 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. 3D die stacking structure with fine pitches
WO2015077593A1 (en) * 2013-11-22 2015-05-28 Covarx Corporation 2d matrix array backing interconnect assembly, 2d ultrasonic transducer array, and method of manufacture
US9436790B1 (en) * 2013-11-25 2016-09-06 Marvell International Ltd. Systems and methods for integrated circuit design
US9287227B2 (en) 2013-11-29 2016-03-15 STMicroelectronics (Shenzhen) R&D Co. Ltd Electronic device with first and second contact pads and related methods
US9496173B2 (en) * 2013-12-20 2016-11-15 Intel Corporation Thickened stress relief and power distribution layer
US9559040B2 (en) * 2013-12-30 2017-01-31 International Business Machines Corporation Double-sided segmented line architecture in 3D integration
JP2015135869A (ja) * 2014-01-16 2015-07-27 株式会社テラプローブ 半導体装置、及び半導体装置の製造方法
US9252148B2 (en) 2014-01-22 2016-02-02 Micron Technology, Inc. Methods and apparatuses with vertical strings of memory cells and support circuitry
US9245825B2 (en) 2014-01-23 2016-01-26 Sandisk Technologies Inc. I/O pin capacitance reduction using TSVS
TWI576869B (zh) * 2014-01-24 2017-04-01 精材科技股份有限公司 被動元件結構及其製作方法
CN104810244B (zh) * 2014-01-26 2018-12-18 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法、半导体器件和电子装置
US9472545B2 (en) * 2014-01-31 2016-10-18 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with electrostatic discharge (ESD) protection
US9373594B2 (en) * 2014-02-13 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Under bump metallization
US9948254B2 (en) 2014-02-21 2018-04-17 Yale University Wireless Josephson bifurcation amplifier
US9852998B2 (en) 2014-05-30 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Ring structures in device die
KR20150138703A (ko) * 2014-06-02 2015-12-10 에스케이하이닉스 주식회사 스택 패키지
KR102207162B1 (ko) 2014-06-11 2021-01-25 삼성전자주식회사 카메라 장치 및 카메라 장치를 구비한 전자 장치
US20160014900A1 (en) * 2014-07-10 2016-01-14 United Technologies Corporation Apparatus, system, and method for electronics manufacturing using direct write with fabricated foils
US9589927B2 (en) * 2014-09-19 2017-03-07 Nxp Usa, Inc. Packaged RF amplifier devices with grounded isolation structures and methods of manufacture thereof
EP3007224A1 (en) * 2014-10-08 2016-04-13 Nxp B.V. Metallisation for semiconductor device
US9620453B2 (en) * 2014-10-13 2017-04-11 Globalfoundries Inc. Semiconductor structure including a layer of a first metal between a diffusion barrier layer and a second metal and method for the formation thereof
US9406572B2 (en) * 2014-10-30 2016-08-02 Infineon Technologies Ag Method for processing a substrate and a method of process screening for integrated circuits
US9698116B2 (en) * 2014-10-31 2017-07-04 Nxp Usa, Inc. Thick-silver layer interface for a semiconductor die and corresponding thermal layer
CN107658288B (zh) * 2014-11-21 2020-02-07 威锋电子股份有限公司 集成电路装置
US20160155723A1 (en) * 2014-11-27 2016-06-02 Chengwei Wu Semiconductor package
US10097182B2 (en) 2014-12-31 2018-10-09 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US10102327B2 (en) * 2014-12-31 2018-10-16 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US9936580B1 (en) 2015-01-14 2018-04-03 Vlt, Inc. Method of forming an electrical connection to an electronic module
US9967984B1 (en) 2015-01-14 2018-05-08 Vlt, Inc. Power adapter packaging
US9455402B2 (en) * 2015-01-23 2016-09-27 Macronix International Co., Ltd. Resistive memory device with ring-shaped metal oxide on top surfaces of ring-shaped metal layer and barrier layer
WO2016138408A1 (en) 2015-02-27 2016-09-01 Yale University Techniques for producing quantum amplifiers and related systems and methods
WO2016138406A1 (en) 2015-02-27 2016-09-01 Yale University Josephson junction-based circulators and related systems and methods
SG11201706976XA (en) 2015-02-27 2017-09-28 Univ Yale Techniques for coupling planar qubits to non-planar resonators and related systems and methods
US9633883B2 (en) 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices
US20160293811A1 (en) * 2015-03-31 2016-10-06 Cree, Inc. Light emitting diodes and methods with encapsulation
KR20180004132A (ko) 2015-04-17 2018-01-10 예일 유니버시티 무선 조셉슨 파라메트릭 컨버터
JP6504601B2 (ja) * 2015-05-13 2019-04-24 ローム株式会社 半導体装置
US10264664B1 (en) 2015-06-04 2019-04-16 Vlt, Inc. Method of electrically interconnecting circuit assemblies
US9806058B2 (en) * 2015-07-02 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package having die structures of different heights and method of forming same
US10535633B2 (en) 2015-07-02 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package having die structures of different heights and method of forming same
US20170047276A1 (en) * 2015-08-13 2017-02-16 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
US9893048B2 (en) * 2015-09-14 2018-02-13 Qualcomm Incorporated Passive-on-glass (POG) device and method
CN106556790B (zh) * 2015-09-24 2020-05-12 中芯国际集成电路制造(上海)有限公司 一种硅通孔传感器及检测方法、电子装置
US20170093442A1 (en) * 2015-09-28 2017-03-30 Skyworks Solutions, Inc. Integrated front-end architecture for carrier aggregation
US9935076B1 (en) * 2015-09-30 2018-04-03 Apple Inc. Structure and method for fabricating a computing system with an integrated voltage regulator module
US9875988B2 (en) * 2015-10-29 2018-01-23 Semtech Corporation Semiconductor device and method of forming DCALGA package using semiconductor die with micro pillars
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
CN105448963B (zh) * 2015-12-04 2019-06-04 上海兆芯集成电路有限公司 晶体管以及电流源装置
US9825597B2 (en) 2015-12-30 2017-11-21 Skyworks Solutions, Inc. Impedance transformation circuit for amplifier
JP6930976B2 (ja) * 2016-01-06 2021-09-01 シーエムシー マテリアルズ,インコーポレイティド 低k基板の研磨方法
WO2017123940A1 (en) 2016-01-15 2017-07-20 Yale University Techniques for manipulation of two-quantum states and related systems and methods
JP6661396B2 (ja) * 2016-01-29 2020-03-11 キヤノン株式会社 半導体装置および電子機器
JP2017152536A (ja) * 2016-02-24 2017-08-31 イビデン株式会社 プリント配線板及びその製造方法
CN105742283B (zh) * 2016-02-29 2018-01-30 三星半导体(中国)研究开发有限公司 倒置堆叠封装件
TWI600351B (zh) * 2016-03-18 2017-09-21 慧榮科技股份有限公司 印刷電路板以及元件製造方法
JP2017175047A (ja) 2016-03-25 2017-09-28 ソニー株式会社 半導体装置、固体撮像素子、撮像装置、および電子機器
US20170288780A1 (en) * 2016-03-31 2017-10-05 Intel Corporation Optoelectronic transceiver assemblies
US10903734B1 (en) 2016-04-05 2021-01-26 Vicor Corporation Delivering power to semiconductor loads
US11336167B1 (en) 2016-04-05 2022-05-17 Vicor Corporation Delivering power to semiconductor loads
US10158357B1 (en) 2016-04-05 2018-12-18 Vlt, Inc. Method and apparatus for delivering power to semiconductors
US10062670B2 (en) 2016-04-18 2018-08-28 Skyworks Solutions, Inc. Radio frequency system-in-package with stacked clocking crystal
US10269769B2 (en) 2016-04-18 2019-04-23 Skyworks Solutions, Inc. System in package with vertically arranged radio frequency componentry
US9918386B2 (en) 2016-04-18 2018-03-13 Skyworks Solutions, Inc. Surface mount device stacking for reduced form factor
US10297576B2 (en) 2016-04-18 2019-05-21 Skyworks Solutions, Inc. Reduced form factor radio frequency system-in-package
TWI610081B (zh) * 2016-05-27 2018-01-01 蘇州明皜傳感科技有限公司 量測微電容之探針卡
JP6766184B2 (ja) * 2016-06-03 2020-10-07 インテグリス・インコーポレーテッド ハフニア及びジルコニアの蒸気相エッチング
DE102016111321B4 (de) * 2016-06-21 2018-05-03 Infineon Technologies Ag Verfahren zum Bilden eines Halbleiterbauelements und Halbleiterbauelemente
EP3264455B1 (en) * 2016-06-30 2021-05-26 Nxp B.V. A flip chip circuit
US10034407B2 (en) * 2016-07-22 2018-07-24 Intel Corporation Storage sled for a data center
US10712655B2 (en) * 2016-07-25 2020-07-14 Hoya Corporation Mask blank, transfer mask, method for manufacturing transfer mask, and method for manufacturing semiconductor device
US10312193B2 (en) * 2016-08-12 2019-06-04 Qualcomm Incorporated Package comprising switches and filters
US10423878B2 (en) * 2016-09-07 2019-09-24 International Business Machines Corporation Artificial neuron apparatus
US9666307B1 (en) * 2016-09-14 2017-05-30 Micron Technology, Inc. Apparatuses and methods for flexible fuse transmission
US9922922B1 (en) * 2016-09-16 2018-03-20 Omnivision Technologies, Inc. Microchip with cap layer for redistribution circuitry and method of manufacturing the same
WO2018063292A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Data storage system using wafer-level packaging
WO2018067578A1 (en) 2016-10-04 2018-04-12 Skyworks Solutions, Inc. Dual-sided radio-frequency package with overmold structure
US10600691B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing power interconnect layer
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10672745B2 (en) * 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
KR102512017B1 (ko) 2016-10-07 2023-03-17 엑셀시스 코포레이션 직접-접합된 네이티브 상호접속부 및 능동 베이스 다이
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
US10141215B2 (en) 2016-11-03 2018-11-27 Rohinni, LLC Compliant needle for direct transfer of semiconductor devices
US9875958B1 (en) * 2016-11-09 2018-01-23 International Business Machines Corporation Trace/via hybrid structure and method of manufacture
JP6698499B2 (ja) * 2016-11-15 2020-05-27 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10504767B2 (en) 2016-11-23 2019-12-10 Rohinni, LLC Direct transfer apparatus for a pattern array of semiconductor device die
US10471545B2 (en) 2016-11-23 2019-11-12 Rohinni, LLC Top-side laser for direct transfer of semiconductor devices
JP2018092690A (ja) * 2016-11-30 2018-06-14 ルネサスエレクトロニクス株式会社 半導体装置および半導体集積システム
TWI692935B (zh) 2016-12-29 2020-05-01 美商天工方案公司 前端系統及相關裝置、積體電路、模組及方法
US10062588B2 (en) 2017-01-18 2018-08-28 Rohinni, LLC Flexible support substrate for transfer of semiconductor devices
US9972581B1 (en) 2017-02-07 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Routing design of dummy metal cap and redistribution line
US10515924B2 (en) 2017-03-10 2019-12-24 Skyworks Solutions, Inc. Radio frequency modules
JP6800788B2 (ja) * 2017-03-15 2020-12-16 キオクシア株式会社 半導体記憶装置
US20180350732A1 (en) * 2017-06-01 2018-12-06 Applied Materials, Inc. Small vias in a polymer layer disposed on a substrate
US10443531B2 (en) 2017-08-18 2019-10-15 Micron Technology, Inc. Apparatuses and methods for storing redundancy repair information for memories
US10381103B2 (en) 2017-08-18 2019-08-13 Micron Technology, Inc. Apparatuses and methods for latching redundancy repair addresses to avoid address bits overwritten at a repair block
US20190088695A1 (en) 2017-09-18 2019-03-21 Stmicroelectronics (Crolles 2) Sas Bonding pad architecture using capacitive deep trench isolation (cdti) structures for electrical connection
JP6892360B2 (ja) * 2017-09-19 2021-06-23 キオクシア株式会社 半導体装置
US11245329B2 (en) 2017-09-29 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Power module
KR102481203B1 (ko) 2017-11-23 2022-12-27 삼성전자주식회사 이상 전압 차단을 위한 캐패시터와 연결된 커넥터 및 이를 구비한 전자 장치
US11737376B2 (en) 2017-12-11 2023-08-22 Yale University Superconducting nonlinear asymmetric inductive element and related systems and methods
JP2019121640A (ja) * 2017-12-28 2019-07-22 ルネサスエレクトロニクス株式会社 半導体装置
US10797020B2 (en) 2017-12-29 2020-10-06 Micron Technology, Inc. Semiconductor device assemblies including multiple stacks of different semiconductor dies
US10403564B2 (en) * 2017-12-30 2019-09-03 Intel Corporation Dual-damascene zero-misalignment-via process for semiconductor packaging
US10115645B1 (en) 2018-01-09 2018-10-30 Global Circuit Innovations, Inc. Repackaged reconditioned die method and assembly
US10608642B2 (en) * 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
EP3525232A1 (en) * 2018-02-09 2019-08-14 Nexperia B.V. Semiconductor device and method of manufacturing the same
US10741477B2 (en) * 2018-03-23 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US10861804B2 (en) * 2018-03-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Devices and methods for enhancing insertion loss performance of an antenna switch
US10600774B2 (en) * 2018-04-06 2020-03-24 Qualcomm Incorporated Systems and methods for fabrication of gated diodes with selective epitaxial growth
US10410905B1 (en) 2018-05-12 2019-09-10 Rohinni, LLC Method and apparatus for direct transfer of multiple semiconductor devices
US11276676B2 (en) * 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10840906B2 (en) * 2018-05-15 2020-11-17 Curators Of The University Of Missouri Cross-talk computing foundation for digital logic
US11249384B2 (en) * 2018-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
US11205620B2 (en) * 2018-09-18 2021-12-21 International Business Machines Corporation Method and apparatus for supplying power to VLSI silicon chips
US10700041B2 (en) * 2018-09-21 2020-06-30 Facebook Technologies, Llc Stacking of three-dimensional circuits including through-silicon-vias
US10672703B2 (en) 2018-09-26 2020-06-02 Nxp Usa, Inc. Transistor with shield structure, packaged device, and method of fabrication
US20200098684A1 (en) * 2018-09-26 2020-03-26 Nxp Usa, Inc. Transistor, packaged device, and method of fabrication
US11094571B2 (en) 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
US11526649B2 (en) * 2018-10-31 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitive isolation structure insert for reversed signals
US10985134B2 (en) * 2018-11-09 2021-04-20 Nanya Technology Corporation Method and system of manufacturing stacked wafers
US11869972B2 (en) 2018-11-26 2024-01-09 Etron Technology, Inc. Reduced-form-factor transistor with self-aligned terminals and adjustable on/off-currents and manufacture method thereof
CN111554680B (zh) 2018-12-10 2023-09-05 钰创科技股份有限公司 统一集成电路系统
US11223355B2 (en) 2018-12-12 2022-01-11 Yale University Inductively-shunted transmon qubit for superconducting circuits
CN111384034B (zh) * 2018-12-29 2022-03-04 苏州能讯高能半导体有限公司 半导体芯片、半导体晶圆及其制造方法
CA3125986A1 (en) 2019-01-17 2020-07-23 Yale University Josephson nonlinear circuit
JP7332304B2 (ja) * 2019-02-14 2023-08-23 キオクシア株式会社 半導体装置およびその製造方法
US10840216B2 (en) * 2019-03-05 2020-11-17 Cerebras Systems Inc. Systems and methods for powering an integrated circuit having multiple interconnected die
CN111696952A (zh) * 2019-03-13 2020-09-22 住友电工光电子器件创新株式会社 微波集成电路
EP3712630B1 (en) * 2019-03-20 2021-04-28 LEM International SA Magnetic field sensor
WO2020220484A1 (en) * 2019-04-30 2020-11-05 Yangtze Memory Technologies Co., Ltd. Bonded unified semiconductor chips and fabrication and operation methods thereof
JP2020178010A (ja) 2019-04-17 2020-10-29 キオクシア株式会社 半導体記憶装置
US11616128B2 (en) 2019-04-19 2023-03-28 Etron Technology, Inc. Transistor structure with reduced leakage current and adjustable on/off current
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
KR20210006121A (ko) * 2019-07-08 2021-01-18 에스케이하이닉스 주식회사 메모리 시스템 및 메모리 시스템의 동작방법
WO2021026865A1 (zh) * 2019-08-15 2021-02-18 深圳市汇顶科技股份有限公司 一种芯片互连结构、芯片及芯片互连方法
US11393808B2 (en) * 2019-10-02 2022-07-19 Qualcomm Incorporated Ultra-low profile stacked RDL semiconductor package
US11599299B2 (en) 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit
KR20210066387A (ko) * 2019-11-28 2021-06-07 삼성전자주식회사 반도체 패키지
FR3104315B1 (fr) * 2019-12-04 2021-12-17 St Microelectronics Tours Sas Procédé de fabrication de puces électroniques
FR3104317A1 (fr) 2019-12-04 2021-06-11 Stmicroelectronics (Tours) Sas Procédé de fabrication de puces électroniques
FR3104316B1 (fr) * 2019-12-04 2021-12-17 St Microelectronics Tours Sas Procédé de fabrication de puces électroniques
CN111128934B (zh) * 2019-12-16 2021-08-24 华虹半导体(无锡)有限公司 铝垫结构的形成方法以及包含铝垫结构的器件
US11600498B2 (en) * 2019-12-31 2023-03-07 Texas Instruments Incorporated Semiconductor package with flip chip solder joint capsules
KR20210095442A (ko) * 2020-01-23 2021-08-02 삼성전자주식회사 반도체 패키지 및 그 제조 방법
JP2021150626A (ja) * 2020-03-24 2021-09-27 キオクシア株式会社 メモリデバイス及びメモリデバイスの製造方法
US11552084B2 (en) * 2020-03-31 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Shared bit lines for memory cells
US11243573B2 (en) * 2020-04-28 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, display apparatus and manufacturing method of semiconductor package
CN111650631B (zh) * 2020-05-22 2023-06-02 苏州研材微纳科技有限公司 多丝正比计数器中金属丝网的装配方法
US11309301B2 (en) 2020-05-28 2022-04-19 Sandisk Technologies Llc Stacked die assembly including double-sided inter-die bonding connections and methods of forming the same
US11335671B2 (en) * 2020-05-28 2022-05-17 Sandisk Technologies Llc Stacked die assembly including double-sided inter-die bonding connections and methods of forming the same
KR20220000294A (ko) 2020-06-25 2022-01-03 삼성전자주식회사 반도체 패키지
US11239220B2 (en) * 2020-06-30 2022-02-01 Nanya Technology Corporation Semiconductor package and method of fabricating the same
CN113973431B (zh) * 2020-07-23 2023-08-18 宏启胜精密电子(秦皇岛)有限公司 电路板及其制作方法
WO2022027403A1 (en) 2020-08-06 2022-02-10 Yangtze Memory Technologies Co., Ltd. Multi-die peak power management for three-dimensional memory
US11508680B2 (en) 2020-11-13 2022-11-22 Global Circuit Innovations Inc. Solder ball application for singular die
US11410927B2 (en) * 2020-11-24 2022-08-09 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming thereof
CN112857628B (zh) * 2021-04-02 2022-05-17 厦门市敬微精密科技有限公司 一种mems电容式压力传感器芯片及其制造工艺
US11610625B2 (en) * 2021-06-16 2023-03-21 Sandisk Technologies Llc Hetero-plane data storage structures for non-volatile memory
US11855057B2 (en) * 2021-07-08 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US20230016126A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company Limited Tungsten via for a magnetic tunnel junction interconnect
US20230017813A1 (en) * 2021-07-19 2023-01-19 Micron Technology, Inc. Conductive layers in memory array region and methods for forming the same
TWI769027B (zh) * 2021-07-27 2022-06-21 瑞昱半導體股份有限公司 靜電放電防護電路、驅動電路,以及預驅動電路及其積體電路版圖
CN115942605A (zh) * 2021-08-13 2023-04-07 康普技术有限责任公司 印刷电路板组件和基站天线
TWI789073B (zh) * 2021-10-25 2023-01-01 國立清華大學 射頻積體電路
US20230299768A1 (en) * 2022-03-17 2023-09-21 Raytheon Company Common gate input circuit for iii/v d-mode buffered fet logic (bfl)
CN115050653B (zh) * 2022-08-16 2022-12-30 宁波芯健半导体有限公司 Soi芯片的晶圆级封装方法、系统及存储介质
TWI831473B (zh) * 2022-11-15 2024-02-01 瑞昱半導體股份有限公司 半導體裝置及其布局方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5789231A (en) * 1980-11-25 1982-06-03 Hitachi Ltd Semiconductor circuit device
US4439727A (en) * 1981-12-21 1984-03-27 Ibm Corporation Low capacitance pad for semiconductor chip testing
US6424034B1 (en) * 1998-08-31 2002-07-23 Micron Technology, Inc. High performance packaging for microprocessors and DRAM chips which minimizes timing skews
KR100318257B1 (ko) * 1998-11-07 2002-04-22 박종섭 인쇄회로기판및그의신호배선방법
US6495442B1 (en) 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
JP4569913B2 (ja) * 2000-03-10 2010-10-27 エルピーダメモリ株式会社 メモリモジュール
US6594153B1 (en) * 2000-06-27 2003-07-15 Intel Corporation Circuit package for electronic systems
US6507115B2 (en) * 2000-12-14 2003-01-14 International Business Machines Corporation Multi-chip integrated circuit module
US20020074637A1 (en) * 2000-12-19 2002-06-20 Intel Corporation Stacked flip chip assemblies
TWI245402B (en) * 2002-01-07 2005-12-11 Megic Corp Rod soldering structure and manufacturing process thereof
AU2003298595A1 (en) * 2002-10-08 2004-05-04 Chippac, Inc. Semiconductor stacked multi-package module having inverted second package
KR100537892B1 (ko) * 2003-08-26 2005-12-21 삼성전자주식회사 칩 스택 패키지와 그 제조 방법
JP4340517B2 (ja) * 2003-10-30 2009-10-07 Okiセミコンダクタ株式会社 半導体装置及びその製造方法
JP4205553B2 (ja) * 2003-11-06 2009-01-07 エルピーダメモリ株式会社 メモリモジュール及びメモリシステム
US7209354B2 (en) * 2003-12-02 2007-04-24 Silicon Intergrated Systems Corp. Ball grid array package with heat sink device
JP4377269B2 (ja) * 2004-03-19 2009-12-02 Necエレクトロニクス株式会社 半導体装置
US7209366B2 (en) * 2004-03-19 2007-04-24 Intel Corporation Delivery regions for power, ground and I/O signal paths in an IC package
KR100564621B1 (ko) * 2004-04-08 2006-03-28 삼성전자주식회사 버퍼형 메모리 모듈 패키지 및 이를 포함하는 버퍼형메모리 모듈 스택 패키지
US7268419B2 (en) * 2004-06-17 2007-09-11 Apple Inc. Interposer containing bypass capacitors for reducing voltage noise in an IC device
TWI425604B (zh) * 2004-07-26 2014-02-01 Rambus Inc 半導體裝置
US7224075B2 (en) * 2004-08-13 2007-05-29 Intel Corporation Methods and systems for attaching die in stacked-die packages
CN102290425B (zh) * 2004-08-20 2014-04-02 Kamiyacho知识产权控股公司 具有三维层叠结构的半导体器件的制造方法
TWI260069B (en) * 2004-08-24 2006-08-11 Chipmos Technologies Inc Memory module and method for manufacturing the same
JP2006080145A (ja) * 2004-09-07 2006-03-23 Nec Electronics Corp チップオンチップ型半導体集積回路装置
US20060073635A1 (en) * 2004-09-28 2006-04-06 Chao-Yuan Su Three dimensional package type stacking for thinner package application
JP4551776B2 (ja) * 2005-01-17 2010-09-29 日本圧着端子製造株式会社 両面fpc
CN1828890A (zh) * 2005-03-03 2006-09-06 因芬尼昂技术股份公司 具有重新路由层集成电路及堆叠管芯组
US7410884B2 (en) * 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
TWI304554B (en) * 2006-06-08 2008-12-21 Powertech Technology Inc Chip scale chip card with multiply chip back-to-back stack
US20080001271A1 (en) * 2006-06-30 2008-01-03 Sony Ericsson Mobile Communications Ab Flipped, stacked-chip IC packaging for high bandwidth data transfer buses
TWI370515B (en) * 2006-09-29 2012-08-11 Megica Corp Circuit component
TWI325624B (en) * 2007-03-16 2010-06-01 Powertech Technology Inc Memory card structure
US20080284037A1 (en) 2007-05-15 2008-11-20 Andry Paul S Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers
KR100894869B1 (ko) * 2007-09-27 2009-04-24 한국생산기술연구원 나노 입자를 포함한 2원계 합금도금을 이용한 3원계 무연 솔더 미세범프와 그 형성방법
US8102663B2 (en) * 2007-09-28 2012-01-24 Oracle America, Inc. Proximity communication package for processor, cache and memory
US7880310B2 (en) * 2007-09-28 2011-02-01 Intel Corporation Direct device attachment on dual-mode wirebond die
US7767486B2 (en) * 2007-11-21 2010-08-03 Intel Corporation High-volume on-wafer heterogeneous packaging of optical interconnects
US8349721B2 (en) * 2008-03-19 2013-01-08 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer on conductive traces for electrical isolation in fine pitch bonding
JP2009252036A (ja) * 2008-04-08 2009-10-29 Panasonic Corp メモリカード
US8006793B2 (en) * 2008-09-19 2011-08-30 Better Place GmbH Electric vehicle battery system
US7705447B2 (en) * 2008-09-29 2010-04-27 Intel Corporation Input/output package architectures, and methods of using same
CN105140136B (zh) 2009-03-30 2018-02-13 高通股份有限公司 使用顶部后钝化技术和底部结构技术的集成电路芯片
US20100327419A1 (en) * 2009-06-26 2010-12-30 Sriram Muthukumar Stacked-chip packages in package-on-package apparatus, methods of assembling same, and systems containing same
JP2011100989A (ja) * 2009-10-09 2011-05-19 Renesas Electronics Corp 半導体装置
US8169065B2 (en) * 2009-12-22 2012-05-01 Epic Technologies, Inc. Stackable circuit structures and methods of fabrication thereof
US8501618B2 (en) * 2010-07-26 2013-08-06 Stats Chippac, Ltd. Semiconductor device and method of forming RDL wider than contact pad along first axis and narrower than contact pad along second axis
JP5789231B2 (ja) 2011-08-09 2015-10-07 徹自 上坂 浮力式動力発生方法
US9837303B2 (en) * 2012-03-23 2017-12-05 STATS ChipPAC Pte. Ltd. Semiconductor method and device of forming a fan-out device with PWB vertical interconnect units
JP6021378B2 (ja) * 2012-03-29 2016-11-09 オリンパス株式会社 基板および半導体装置

Also Published As

Publication number Publication date
US20100246152A1 (en) 2010-09-30
US9612615B2 (en) 2017-04-04
US8456856B2 (en) 2013-06-04
EP2414801A1 (en) 2012-02-08
KR101307490B1 (ko) 2013-12-11
TW201108387A (en) 2011-03-01
KR20110130521A (ko) 2011-12-05
WO2010114687A1 (en) 2010-10-07
CN102379037B (zh) 2015-08-19
CN102379037A (zh) 2012-03-14
CN105140136A (zh) 2015-12-09
JP2012522398A (ja) 2012-09-20
EP2414801A4 (en) 2016-11-16
EP2414801B1 (en) 2021-05-26
JP2015073107A (ja) 2015-04-16
CN105140136B (zh) 2018-02-13
US20130242500A1 (en) 2013-09-19
TWI478313B (zh) 2015-03-21

Similar Documents

Publication Publication Date Title
JP5714564B2 (ja) 上部ポストパッシベーション技術および底部構造技術を使用する集積回路チップ
US10090277B2 (en) 3D integrated circuit package with through-mold first level interconnects
US8164171B2 (en) System-in packages
US8804360B2 (en) System-in packages
US8618580B2 (en) Integrated circuit chips with fine-line metal and over-passivation metal
US20120193785A1 (en) Multichip Packages
US20060091566A1 (en) Bond pad structure for integrated circuit chip
TW200816373A (en) Circuit component and process for forming the same

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130521

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130624

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130701

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131010

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131018

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20131031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140805

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141105

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20141120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150311

R150 Certificate of patent or registration of utility model

Ref document number: 5714564

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees