JP5709963B2 - 結晶物質の非極性面から形成される装置とその製作方法 - Google Patents

結晶物質の非極性面から形成される装置とその製作方法 Download PDF

Info

Publication number
JP5709963B2
JP5709963B2 JP2013222297A JP2013222297A JP5709963B2 JP 5709963 B2 JP5709963 B2 JP 5709963B2 JP 2013222297 A JP2013222297 A JP 2013222297A JP 2013222297 A JP2013222297 A JP 2013222297A JP 5709963 B2 JP5709963 B2 JP 5709963B2
Authority
JP
Japan
Prior art keywords
diode
region
active
cladding layer
diode region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013222297A
Other languages
English (en)
Other versions
JP2014064011A (ja
Inventor
ロクテフェルド、アンソニー、ジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2014064011A publication Critical patent/JP2014064011A/ja
Application granted granted Critical
Publication of JP5709963B2 publication Critical patent/JP5709963B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0814Diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/12Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/16Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a particular crystal structure or orientation, e.g. polycrystalline, amorphous or porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/20Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a particular shape, e.g. curved or truncated substrate
    • H01L33/24Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a particular shape, e.g. curved or truncated substrate of the light emitting region, e.g. non-planar junction
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/08Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a plurality of light emitting regions, e.g. laterally discontinuous light emitting layer or photoluminescent region integrated within the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/12Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a stress relaxation structure, e.g. buffer layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Description

本発明は、結晶物質(material)の非極性面、例えば、III-N結晶物質の非極性面(Non-polar plane)から形成される装置と、その製作方法に関するものである。一実施例は、非極性LED、又はその製作方法に関し、より詳しくは、III-窒化物半導体材料からの非極性LEDとその製作方法に関するものである。
この部分は背景情報を提供し、且つ、以下に記載、及び/又は主張される開示の様々な態様に関する情報を紹介する。これらの背景説明は、先行技術の承認ではない。
窒化ガリウム(GaN)、及びアルミニウムとインジウムを包含したその三元と四元の化合物(AlGaN, InGaN, AlInGaN)の有用性は、例えば、可視光と紫外線の光電子デバイスと高出力電子装置の製造にて十分立証されている。これらの装置は、一般に、分子線エピタキシー(MBE)、CVD、有機金属化学気相成長法(MOCVD)、及びハイドライド気相成長(HVPE)を含む成長技術を用いることによりエピタキシャル成長される。
図1に示されるように、GaNとその合金は、六角ウルツ鉱結晶構造(Hexagonal wurtzite crystal structure)で最も安定し、この構造は、互いに(a軸)に対して120度回転し、全てが唯一のc軸に垂直である二個(又は三個)の等しい底面軸により説明される。図1は、c面2、m面4、及びa面6の実例を示す。第III族と窒素原子は、結晶のc軸に沿って交互するc面を占める。ウルツ鉱型構造に含まれる対称要素によって、III-窒化物が、このc軸に沿って、バルク自発分極を有し、ウルツ鉱型構造は圧電分極を示すことが決定される。
電子と光電子デバイスに対する現在の窒化物技術は、極性c方向に沿って成長した窒化物膜を使用する。しかしながら、強力な圧電性と自発分極の存在のため、関連技術のIII-窒化物(III-N)ベースの光電子と電子装置のc面量子井戸構造は、望ましくない量子閉じこめシュタルク効果(QCSE)を被っている。c方向に沿った強力な埋め込み電場は、これらのIII-N材料の有用性を大幅に低下させるおそれがある。
GaN光電子デバイスにおける自発、及び圧電性分極効果を排除する一方法は、非極性面、例えば、結晶のm面とa面で装置を成長させることである。このような面は、等数量のGaとN原子を含み、且つ、電荷中性である。更に、後続の非極性層の極性は互いに同等なので、バルク結晶は、成長方向に沿って分極されない。しかしながら、非極性表面を有するGaN半導体ウェハの成長は、困難が残っている。従って、III-窒化物ベースの光電子と電子装置、例えば、LEDの効率を増加し、動作特性を向上させる必要があるといった課題があった。
本発明は、上記のような課題を解決するためになされたものであり、内部量子効率を改善するダイオードの製作方法及びダイオードを提供することを目的とする。
すなわち、本発明にかかるダイオードの製作方法は、一つ以上の、極性結晶物質のフィンを含み、一つ以上のフィンの側壁が非極性である第一クラッド層を形成するステップと、側壁の一つ以上をおおって、アクティブ領域を形成するステップと、アクティブ領域に隣接する第二クラッド層を形成するステップと、第二クラッド層の、極性面を含む少なくとも一部を除去し頂部コンタクトにより代替し、かつ、第一クラッド層と接触する底部コンタクトを形成するステップと、を含むものである。
本発明にかかるダイオードは、一つ以上の、極性結晶物質のフィンであって、一つ以上のフィンの主要面が非極性で、一つ以上のフィンは第一クラッド層を構成することと、一つ以上のフィン周辺に形成されるアクティブ領域と、アクティブ領域に隣接して形成される第二クラッド層と、第二クラッド層の、極性面を含む少なくとも一部が除去され、その除去された第二クラッド層を代替する頂部コンタクトと、第一クラッド層と接触する底部コンタクトと、を含むものである。
本発明の一つの態様は、関連技術の問題、又は欠点に取り組み、あるいは、この明細書の全部、又は一部に記述される少なくとも利点を提供することである。
本発明の別の態様は、電子装置を作成するにあたり、例えば、六角、又はウルツ鉱型構造をした結晶などの結晶物質のa面とm面の面(faces)の使用を増加することである。
本発明の別の態様は、電子装置を作成するにあたり、例えば、III-N材料、より詳しくは、GaNなどの極性結晶物質の非極性面の使用を増加することである。
本発明の別の態様は、半導体ダイオード、又は発光ダイオード(LEDs)の抽出効率、又は内部量子効率を改善することである。
本発明の別の態様は、III-N半導体結晶物質の非極性面を用いることにより、発光ダイオード(LEDs)の抽出効率、又は内部量子効率を改善することである。
本発明の別の態様は、III-N半導体の非極性面を有効に使うことにより、発光ダイオード(LEDs)の抽出効率、又は内部量子効率を改善することである。
本発明による具体例は、極性III-N半導体材料より多い非極性のIII-N半導体材料の少なくとも一つのアクティブダイオード領域を有する半導体ダイオード、又はLEDを提供することができる方法、構造、及び装置を提供する。
本発明による具体例は、III-N半導体材料からなる底部、アクティブ、及び頂部ダイオード領域を有する半導体ダイオード、又はLEDを提供することができる方法、構造、及び装置を提供し、アクティブ及び頂部ダイオード領域は、単位面積当たりの欠陥が底部ダイオード領域より少ない。
本発明による具体例は、底部ダイオード材料のキャビティ、キャビティの表面上のアクティブダイオード領域、及び前記キャビティの少なくとも一部の頂部ダイオード領域を有する半導体ダイオード、又はLEDを提供することができる方法、構造、及び装置を提供する。
本発明による具体例は、III-N半導体材料の非極性面をLEDに用いて、出力効率を増加することができる方法、構造、及び装置を提供する。
これらの態様は、特に、III-N半導体を組み込んだ装置に応用可能であり、これらに限定されないが、光電子デバイス、発光ダイオード、レーザーダイオード、及び光起電装置を含んでもよい。
LEDの効率を増加し、動作特性を向上させることができる。
c面、m面、及びa面を含む代表的な六角結晶構造を示す図である。 本発明の半導体ダイオードの代表的な構成を示す図である。 本発明による半導体ダイオードの具体例の形成方法の具体例を示すフローチャートである。 基板上の関連技術のGaN層の断面図を示す概略図である。 非極性面を用いたIII-Nアクティブ領域を含む発光ダイオードの代表的な装置構造の断面図を示す概略図である。 非極性面を用いたIII-Nアクティブ領域を含む発光ダイオードの代表的な装置構造の断面図を示す概略図である。 非極性面を用いたIII-Nアクティブ領域を含む発光ダイオードの代表的な装置構造の断面図を示す概略図である。 代表的LED装置に用いる非極性面向きのIII-N材料アクティブ領域を含む半導体ダイオードの具体例を示す断面図である。 代表的LED装置に用いる非極性面向きのIII-N材料アクティブ領域を含む半導体ダイオードの具体例を示す断面図である。 代表的LED装置に用いる非極性III-Nアクティブ領域を含む半導体ダイオードの様々な具体例を示す断面図である。 代表的LED装置に用いる非極性III-Nアクティブ領域を含む半導体ダイオードの様々な具体例を示す断面図である。 代表的LED装置に用いる非極性III-Nアクティブ領域を含む半導体ダイオードの様々な具体例を示す断面図である。 代表的LED装置に用いる非極性III-Nアクティブ領域を含む半導体ダイオードの様々な具体例を示す断面図である。
図2に示されるように、ダイオードは、底部ダイオード領域220、アクティブダイオード領域230、及び頂部ダイオード領域240、装置の上部にある第一電気コンタクト260、及び装置の下部にある第二電気コンタクト250を含むことができる。各領域220、230、240は複数の層を含むことができる。ダイオードは、基板210に結合される。図2に示されるように、一具体例において、基板210は、底部コンタクト250とアクティブダイオード領域230間に結合することができる。図2は、垂直ダイオード構造を示しているが、本発明は、このような構成に限定されず、本発明を具体化する他の構造と方法は当業者には明らかである。
この中で用いられるように、領域を指定する「頂部」と「底部」は、非限定的と考えられ、便宜上のものである。例えば、ダイオードは基板上に形成され、その頂部領域は底部領域上に位置すると考える。前記ダイオードが、ハンドルウェハにフリップチップ接着(flip-chip bonded)される場合、その後、前記基板が除去されたなら、通常、前記ダイオードを眺める参照フレームはフリップされる。この場合、頂部領域は、前記底部領域より下方にあるように眺められる。
底部ダイオード領域220と頂部ダイオード領域240は、好ましくは、反対のドープタイプを有する。例えば、底部ダイオード領域220が、主にn型ドープされている(電子供与体、例えば、リン、砒素、又はアンチモン)場合、頂部ダイオード領域240は、主にp型ドープ(電子受容体、例えば、ボロン、又はアルミニウム)で、逆の場合も同じである。底部ダイオード領域220と頂部ダイオード領域240は、装置特性を改善するため、好ましくは、高濃度にドープされる。
底部コンタクト250は、例えば、ビア(図示しない)を経由して、アクティブダイオード領域230に電気的に接続される。別の例として、基板210の少なくともある部分は、頂部ダイオード領域240、又は底部ダイオード領域220と同一の主要なドーピングタイプ(例えば、n、又はp)を有しうる。従って、このようなダイオード領域と基板210間には、良好な電気コンタクトをもたらすことができる。
アクティブダイオード領域230の代表的な構造は、ダイオード、及び/又は、対応する装置の意図される応用を含み、多くの要因によって決まることがある。LEDにおいて、アクティブダイオード領域は真性領域であってもよい。LEDにおいて、頂部ダイオード領域240、アクティブダイオード領域230、及び底部ダイオード領域220は、電子とホール(正孔)が再結合し光子(photons)を生成する箇所である、ドープ層と薄い未ドープの量子井戸との両方を含むように複数回重複することができる。
レーザーの別の実例では、アクティブダイオード領域230は、LEDのアクティブダイオードに類似する場合がある。反射層が加えられて、生成される光の共鳴キャビティを形成して、コヒーレント、又は実質上のコヒーレント光源を生成するものである。
ダイオードの別の実例では、アクティブダイオード領域230は独立した成長層でなくてもよいが、頂部ダイオード領域と底部ダイオード領域間をインタフェースするP-N接合を含む。
太陽電池の別の実例では、アクティブダイオード領域230は、適度にn-ドープされた、又は適度にp−ドープされた半導体材料の単一層とすることができ、入射光子を吸収し、電子正孔対を生成することができる。
前記III-N化合物がダイオード領域の形成に用いられることは、当業者には周知である。第III族−N化合物は、二元(binary)、三元(ternary)、及び四元(quaternary)形式を含む。III-N化合物の例は、窒化アルミニウム(AlN)、窒化ガリウム(GaN)、窒化インジウム(InN)、及びそれらの三元と四元の化合物を含む。当業者なら、必要な特性、例えば、発光波長、バンドギャップ、格子定数、ドーピングレベル等に基づいて、どのようにこれらの材料を選択、及び処理するかは理解される。
図3は、LEDを製作する実例方法を示すフローチャートである。この実例において、LEDはGaN結晶物質から作られるが、本発明は、このような材料に限定されると考えるべきではない。例えば、本発明は、他の極性材料、又は別の極性III-N半導体材料から構成されてもよい。この実例では、アクティブダイオード領域は、頂部及び底部ダイオード領域の表面間に形成され、大多数、又は主な表面は、非極性面の表面である。別の実例において、アクティブ領域間の表面は、c面極性半導体面より多い非極性のm面III-N半導体面を含む。別の実例において、これらの表面は、c面極性半導体面より多い非極性のa面III-N半導体面を含む。別の実例において、これらの表面の非極性面の表面積(エリア)は、極性c面半導体面の表面積の少なくとも2倍、5倍、10倍、50倍超(or more than)である。
別の実例において、前記アクティブダイオード領域間の上部と底部ダイオード領域は、実質上、極性結晶材料の全非極性面(例えば、GaN、又はその他のIII-N材料のa面、又はm面のうちの一つ、又は両方)からなる。別の実例において、アクティブ領域の表面は、c面極性半導体面より多い非極性のIII-N半導体面を含む。
図3は、実例のプロセスを示す。図3に示されるように、ステップ310にて、GaN層が提供される。これは、GaNバルク基板、又は支持物理基板上で成長、又は形成されるGaNである。あるいは又、より大きな半導体プロセスの一部として、基板の選択エリア内で、GaNは選択的に成長(全面的成長(blanket growth)と比較)されてもよい。この選択的成長は、例えば、ART開口、又はトレンチ内などの閉じ込め領域内であってもよい。この実例では、従来のバルクGaN基板は、その表面が極性面(c面)を構成して与えられる。この実例において、GaNの第一層は、後続で形成されるLEDの底部ダイオード領域220に対応する。III-N半導体材料特性により生ずるが、一般に成長されると、従来のバルク極性基板は、前記基板に平行な「c面」を有する。
ステップ320にて、GaNは、a面、又はm面のうちの一つ、又は両方を露出するように構成される。例えば、GaNは、垂直にエッチングされて、GaNの表面に、例えば、穴、又はトレンチなどの開口を形成する。よって、構成されたGaNは、装置の底部ダイオード領域になる。
ステップ330にて、アクティブダイオード領域が形成される。設計される装置によって決まるが、このステップは、ステップ340(以下に述べる)と別のステップでもよいし、又はステップ340の一部分となってもよい。例えば、LEDが真性領域を用いて形成される場合、ステップ330は、ステップ320により構成されるGaNの表面上で、未ドープのGaNをエピタキシャル成長するステップを含む。別の実例として、ダイオードが形成される場合、ステップ330は、ステップ340の初期部分となり、PN接合インターフェース(例えば、空乏領域を含む)を形成してもよい。
ステップ340にて、頂部ダイオード領域が形成される。例えば、このステップは、ステップ330から完成した構造上に、GaNをエピタキシャル成長させるステップを含み、GaNは、ステップ310で提供されるGaN層のドーパントと反対のタイプのドーパントを含む。例えば、ステップ310にて提供されるGaN層が、n型ドーパントをドープされる場合、ステップ340で成長するGaNは、p型ドーパントがドープされる。ステップ310で提供されるGaN層は、p型ドーパントがドープされる場合、ステップ340で成長するGaNは、n型ドーパントがドープされる。
ステップ350にて、コンタクトが加えられて、電気的接続を上部及び底部ダイオード領域に提供する。図3に示される実例の方法は、ある順序で実行されるステップ310〜350を有するが、本発明はこの順序に制限されるべきではない。ある別の具体例によると、本方法中のあるポイントで、コンタクトが形成される(例えば、まず、分離した物理基板上に形成され、その後、ダイオード領域が接続される)こともある。
図4は、従来のバルク極性GaN層12を示す図である。図4に示されるように、極性GaN層12がサファイアウエハ10上に形成されているが、しかしながら、基板の別の材料、例えば、シリコン、又は炭化ケイ素が用いられてもよい。基板10は、例えば、バルクシリコンウェハ、バルクゲルマニウムウェハ、半導体オンインシュレータ(SOI)基板、又は応力半導体オンインシュレータ(SSOI)基板である。前記基板10は、第一半導体材料を含むか、本質的に第一半導体材料からなり、この第一半導体材料は、例えば、サファイアである。サファイアウエハの方位は、一般に、(1,0,0)であるが、しかし、本発明の具体例はこれに限定されない。図4は、極性GaN層12のc面14も示す。
サファイア上のGaNの成長は当分野にて既知であるが、欠陥の高い結晶物質を提供し、例えば、少なくとも、109/cm2の第一転位欠陥を含む。しかしながら、図4で示されるように、大多数の転位欠陥は、前記III-N材料のc面14に垂直、又はほぼ垂直に発生する。従って、一具体例において、バルクGaN材料における垂直側壁は、欠陥数はより低くなる(例えば、垂直側壁は、水平表面よりも単位表面積当たりより少ない欠陥と交差する)。
バルクGaNが提供された後、選択された部分が除去され、c面向きではない構造を残す。例えば、選択部分が除去され、基板の上表面から延伸するc面向きではない突出部を残す。一具体例において、GaN材料(動作ブロック320)のm面、又はa面を使用するため、突出部が構成される。一具体例において、突出部はGaN材料のc面は使用しないように構成される。例えば、規定の寸法(例えば、形状)を有する、例えば、穴、凹部、又はキャビティなどの開口は、バルクGaNにエッチングすることができる。このようなトレンチは、従来のフォトグラフィ技術、又は反応性イオンエッチング(RIE)プロセスを用いて形成することができる。図5に示されるように、代表的な残りの構造520、又は突出部は、結晶物質の非極性面(例えば、m面、又はa面、まとめて540)に沿って延伸している第一部分524(例えば、平坦側)を含むとともに、選択された部分522はc面に向いたままである。一具体例において、側壁524の寸法は、部分522の2倍、5倍、10倍、20倍、又は100倍である。一具体例において、この設計は、フィン、又はポストを設置する。一具体例において、底部表面は、意図された構成(例えば、“v”字型の意図された傾斜、又は指定プロファイル)を有する。
上述のように、代表的な底部ダイオード領域220は、エピタキシャル成長中、その場(in situ)で、又は非その場(ex situ)でイオン注入によりドープすることができる。更に、底部ダイオード領域の材料は、好ましくは、装置によって決まる。
一具体例において、バルクGaN内に実質上、m面、又はa面側壁(例えば、方位により、垂直、又は水平側壁)を有するトレンチ530を形成することができる。トレンチの数量は、所望の応用しだいで、1個以上から数百個以上になってもよい。
底部ダイオード領域が構成された後、アクティブダイオード領域が形成される(動作ブロック330)。一具体例において、アクティブダイオード領域はエピタキシャル成長することができる。図6に示されるように、代表的なエピタキシャル成長の方向は、矢印610で示される。アクティブダイオード領域230の、突出部の頂部、又は底部、又は水平面522での露出したGaN表面での成長は、垂直に成長することができる。アクティブダイオード領域230の、突出部の側壁、又は垂直面524での露出したGaN表面での成長は、水平に成長することができる。代表的なアクティブダイオード領域230は、エピタキシャル成長中に、その場で、又は非その場で、イオン注入によりドープすることができる。一具体例において、アクティブダイオード領域230は、真性である(例えば、真性ドープされる)。
一般に、エピタキシャル成長表面上に存在する欠陥は、欠陥のある成長表面からエピタキシャル成長される材料に欠陥を作り続ける傾向がある。アクティブダイオード領域のエピタキシャル成長期間での欠陥の拡張(Extension of defects)は、図6には示されない。しかしながら、GaNの欠陥は、大部分が垂直なので(再度、図4と5を参照)、欠陥は、露出した突出部の側壁に平行になる傾向がある。そこで、多くの、又は大部分の欠陥は、突出部の側壁と交差せず、且つ、これらの側壁は、減少した欠陥、又は実質的に欠陥がない表面を有する。これにより、図6に示される突出部のこれらの側壁で成長するアクティブダイオード領域230の欠陥度(defectivity)も減少するか、又は実質的に存在しなくなる。これらの側壁で成長するアクティブダイオード領域230の欠陥密度は、底部ダイオード領域220よりも低くなる。図6に示されるように、アクティブダイオード領域230の破線領域630aは、欠陥密度が底部ダイオード領域220より低くなる。一具体例によれば、アクティブダイオード領域230の欠陥度は、底部ダイオード領域220よりも、2倍、3倍、10倍、及び20倍、又はそれ以上低くすることができる。
アクティブダイオード領域が構成された後、頂部ダイオード領域が形成される(動作ブロック340)。一具体例において、代表的な頂部ダイオード領域240はエピタキシャル成長される。図7に示される頂部ダイオード領域240のエピタキシャル成長は、アクティブダイオード領域のエピタキシャル成長に類似しうる(例えば、図6の矢印610で示されるエピタキシャル成長方向)。頂部ダイオード領域240の、突出部の頂部、底部、又は水平面522での露出したGaN表面(例えば、アクティブダイオード領域のGaN表面)での成長は、垂直に成長することができる。頂部ダイオード領域240の、突出部の側壁、又は垂直面524での露出したGaN表面での成長は、水平に成長することができる。図7に示される構造の一特徴は、頂部ダイオード領域240は、例えば、開口530などの開口、又はアクティブダイオード領域230の開口を部分的(図示しない)、又は完全に、充填することができることである。図7に示される構造の一特徴は、頂部ダイオード領域240は、複数の開口/トレンチを充填して、複数の開口/トレンチ中に、アクティブダイオード領域230との電気接点を形成することである。この構造は、特に、LEDに有利で、頂部電気接点260の面積を減少、又は最小化し、アクティブダイオード領域230内で生成される光の放射を阻止することができるからである。代表的な頂部ダイオード領域240は、エピタキシャル成長の間に、その場でドープされるか、又は非その場でイオン注入される。好ましくは、LEDの頂部ダイオード領域240は、底部ダイオード領域220とは異なるタイプで、高濃度にドープされる。
一具体例において、頂部ダイオード領域の欠陥レベルは、底部ダイオード領域より低い。一具体例において、頂部ダイオード領域の欠陥レベルは、アクティブダイオード領域よりも大きい。一具体例によれば、頂部ダイオード領域240の欠陥度は、底部ダイオード領域220よりも、2倍、3倍、10倍、及び20倍、又はそれ以上低くすることができる。
一具体例において、少なくともアクティブダイオード領域の成長条件は、m/a面(例えば、524表面)からの水平成長が、c面(例えば、522表面)からの成長より多くなるように設定、又は設計される。
頂部ダイオード領域が完成後、当分野にて既知の付加的処理ステップが選択的に採用され、対応する半導体コンポーネント、半導体装置、又は半導体製品(動作ブロック350)を完成してもよい。例えば、頂部及び底部コンタクトが、ある具体例に加えることができる(動作ブロック350)。加えて、代表的な方法の具体例のいくつかは、導電ウェハへの接合、ダイへの接合、又はパッケージ搭載点(package mounting point)への接合、基板除去、及び頂部及び底部コンタクトを加えること等を含むことができる。
頂部ダイオード領域240が構成された後、図8に示されるように、電気接点が形成することができる(動作ブロック350)。一具体例において、開口/トレンチ毎に、代表的な頂部電気接点814と代表的な底部電気接点812が提供されて、それぞれ、直接、上部と底部ダイオード領域220、240と接触する。電気接点812、814は、当業者により知られる様々な材料/プロセスにより形成することができる。電気接点の適当な材料は、例えば、銅、銀、又は、アルミニウムなどの導電性金属のストリップなどの材料、反射導電材料、又は例えば、インジウムスズ酸化物などの相対的に透明な導電材料の層を含むことができる。更に、例えば、コンタクトビア(図示しない)などの基板100を介して底部コンタクト812を底部ダイオード領域220に結合して、電気接続を行う多くの方法がある。電気接点812、814は、それぞれ、頂部コンタクト260と底部コンタクト250として作用することができる。別の具体例において、複数の頂部ダイオード領域240、又は複数の底部ダイオード領域220(例えば、複数の開口/トレンチ中)に、単一コンタクト(例えば、812、814)が提供されてもよい。
選択されたLEDアプリケーションに対して、基板210は、装置のパフォーマンス(例えば、吸収光)を低下させる可能性がある。一代表具体例において、図9に示されるように、基板は除去することができる。基板210(例えば、サファイア基板)は、例えば、研削、エッチング、レーザー切断等の周知の方法により除去することができる。代表的なプロセス(動作ブロック350)は、“ハンドル(handle)”基板、又はユニット910を頂部ダイオード領域240に接合し、電気接点912, 914を加えるステップを含むことができる。ハンドル基板910を頂部ダイオード領域240に接合する前、頂部ダイオード領域240の対応表面は、確実にハンドル基板910を取り付けるため、例えば、化学機械研磨(CMP)などの適当な技術により平坦化されてもよい。代わりに、又は加えて、連接剤、又は層(図示しない)が用いられて、ハンドル基板910を確実に電気的に、頂部ダイオード領域240に接続してもよい。この他、接着剤、又はゲル層(図示しない)が用いられて、ハンドル基板910を確実に頂部ダイオード領域240に接合してもよい。
その後、標準的な技術により、頂部コンタクト260と底部コンタクト250は加えることができる。図9に示されるように、一頂部電気接点914と一底部電気接点912は、二つ以上の開口/トレンチ、又は、対応する開口/トレンチのアクティブダイオード領域230に提供することができる。あるいは又ハンドル基板910は導電性であってもよい。一具体例において、頂部ダイオード領域240に対し、ハンドル基板910は、頂部コンタクト914を含むか、又は統合することができる。更に、ハンドル基板910は、装置、又はパッケージを含む、又は装置、又はパッケージに結合することができる。
関連技術のLEDにおいて、内部量子効率を制限する一要因は、GaNの極性c面がアクティブ領域に面することである。GaNの非極性m面、又はa面が前記アクティブダイオード領域に面するので、図3に示される代表方法の具体例、及び/又は図8−9に示される代表具体例は、より高い内部量子効率を達成することができる。更に、このような具体例は、底部ダイオード領域220、アクティブダイオード領域230、及び/又は頂部ダイオード領域240のうちの、一つ以上(one or more)に対して、c面極性向きのGaN材料が減少したLED構造を提供することができる。
一具体例において、GaNの非極性m面、又はa面は、底部ダイオード領域220とアクティブダイオード領域230間のインターフェースを形成することができる。一具体例において、GaNの非極性m面、又はa面は、アクティブダイオード領域230と頂部ダイオード領域240間のインターフェースを形成することができる。
本願による選択された具体例に対して、対応する装置での非極性面GaNの極性面GaNに対する割合を増加することが望ましいかもしれない。一代表具体例において、相対的に、側壁524の寸法を増加するか、又は底部表面522の寸法を減少させることにより、非極性面GaNの極性GaNに対する部分を増加することができる。一代表具体例において、製作中に、極性GaN材料の選択部分を除去することにより、非極性面GaNの部分は極性面GaNに対して増加することができる。図10a,10bは、半導体ダイオードの代表的な構成を示す図である。図10aに示されるように、頂部ダイオード領域1040の極性c面GaNを含む部分が減少、又は除去されることができる。図10aに示される上表面の除去は、頂部ダイオード領域で停止するが、具体例は、これに限定されることはない。例えば、GaN材料除去は、アクティブダイオード領域に達するまで継続できよう。図10bに示されるように、頂部ダイオード領域1040の極性c面GaNを含む部分は減少するか、又は頂部コンタクト1014により代替することができる。参照番号1016は、絶縁体などの平坦化層を示す。
一代表具体例において、製作中に、極性面GaN材料の選択部分を減少又は除去することにより、極性面GaNに比べて、非極性面GaNの部分を増加することができる。図10cは、半導体ダイオードの代表的な構成を示す概略図で、アクティブダイオード領域1030の極性面GaNを含む部分は、頂部ダイオード領域1040の形成の前に除去される。図10dは、半導体ダイオードの代表的な構成を示す概略図で、底部ダイオード領域1020、アクティブダイオード領域1030、及び/又は頂部ダイオード領域の極性面GaN部分は、“ハンドル”基板、又はユニット910’の形成前に、減少、又は除去される。一具体例において、空乏領域、アクティブダイオード領域、又は半導体ダイオードから、c面にて成長した実質的に全ての極性面GaN材料は、削除(例えば、除去)することができる。
更に、選択された具体例において、頂部コンタクト、及び/又は底部コンタクトは、二次元又は三次元に構成され、底部ダイオード領域、アクティブダイオード領域、又は頂部ダイオード領域のうちの少なくとも一つにて極性GaN材料に衝突する光を減少することができる。
LEDの一具体例において、底部電気接点(コンタクト)(例えば、220)は高反射導電材料で、内部で生成される光を反射することができるため、この光は、選択された表面、又は所望の表面から、前記LEDを出ていく。例えば、反射底部電気接点は、例えば、銀などの材料からなることができる。別の具体例において、このような反射底部電極は、光透過性基板、又は光学半透明/透明基板と共に用いることができる。
LEDの一具体例において、反射層は、底部ダイオード領域220、アクティブダイオード領域230、及び/又は頂部ダイオード領域240のうちの選択された領域と隣接して取り入れることができ、内部生成された光を、選択された表面、又は所望の表面から、前記LEDを出ていくように向けられる。例えば、反射層は、底部ダイオード領域220と基板210間に直接存在することができる。反射層は、当業者に知られている適当な材料/プロセスにより形成することができる。
半導体ダイオードの一具体例は、第一ドーパントタイプを有する第一領域、アクティブ領域と、第一ドーパントタイプと反対の第二ドーパントタイプを有する第二領域を含むことができ、アクティブ領域は、第一と第二領域間にある。アクティブ領域は、第一、又は第二領域の非極性面表面に位置するか、あるいは、第一及び第二領域の少なくとも一つの非極性面表面間に位置する。よって、半導体ダイオードは、水平、又は垂直スタック構造を有することができる。アクティブ領域に隣接する第一領域の非極性表面/極性表面の比率は、1より大きい。一具体例において、少なくともアクティブ領域はIII-N結晶物質である。一具体例において、アクティブ領域は、pn接合、又はpn接合の空乏領域である。一具体例において、アクティブ領域は、第一及び第二領域間の真性領域、又は量子井戸構造である。本開示において、空乏領域は、アクティブダイオード領域、又はアクティブ領域のうちの一タイプとすることができる。第一、第二コンタクトは、第一と第二領域に対応して与えられる。
発射光の波長とその色は、LEDのp−n接合を形成する材料のバンドギャップエネルギーによって決まる。III-N材料を取り入れるLEDは、青、緑、赤外線、紫外線、及び白色(例えば、青色光に基づくか、又は青色光を取り入れる)を含む光を発光することができる。例えば、青色LEDは、ワイドバンドギャップの半導体GaN(窒化ガリウム)とInGaN(窒化インジウムガリウム)に基づくことができる。従来のLEDにおいて、内部量子効率を制限する一要因は、GaNの極性c面である。発光する光の色/波長により、アクティブ領域、又は対応するLED装置の内部効率の減少程度が変化しうる。一具体例において、緑色光発光LEDは、100倍を超えた効率の向上を経験することが予期される。一具体例において、緑色光発光LEDは、2倍超、5倍超、10倍超、又はそれ以上の効率の向上を経験することが予期される。
本願による青色光LED、又は白色光LEDの一具体例において、非極性III-N半導体結晶物質のため、効率は、2倍超、5倍超、10倍超、25倍超、又はそれ以上、増加することができる。
本願による赤外線LEDの一具体例において、非極性III-N半導体結晶物質のため、効率は、2倍超、5倍超、10倍超、25倍超、又は、それ以上、増加することができる。
本願による紫外線LEDの一具体例において、非極性III-N半導体結晶物質のため、効率は、2倍超、5倍超、10倍超、25倍超、又はそれ以上、増加することができる。
一代表具体例において、頂部及び底部ダイオード領域のドーピングレベルは1017−1020cm-3の範囲にあり、アクティブ領域のドーピングレベルは1017cm-3より小さくてよい。
極性材料を使用することにより、レーザーLEDは、少なくとも有害な周波数シフト(frequency shift)、及び/又は効率の損失を含む欠点を被るおそれがある。本願の具体例によると、このような欠点は減少することができる。
代表実施例はGaNを用いて記載されたが、理解されることは、その他のIII-N半導体材料を用いてもよいことである。本発明の具体例によると、III-N結晶性半導体材料が提供されてもよい(例えば、選択的エピタキシャル成長)。ある具体例では、前記III-N結晶性半導体材料の第一方向に沿った成長が促され、及び/又は第二方向に沿った成長は妨害することができる。ある具体例において、非極性面III-N結晶性半導体材料の成長は、極性面III-N結晶性半導体材料の上にて促進することができる。ある具体例において、a面/m面方向のIII-N結晶性半導体材料の成長は促し、及び/又はc面方向のIII-N結晶性半導体材料の成長は妨げることができる。
代表実施例は非極性面を用いて記載されたが、理解されることは、c面III-N半導体材料の特徴的な欠点を減少又は排除できるあらゆる配向(例えば、半平面(semi-planar)、半極性(semi-polar))が使用できることである。
代表例の半導体ダイオードは、単一ダイオード、又はアクティブダイオード領域の文脈にて記載してもよい。しかしながら、当業者なら理解できるように、大多数の応用は、複数のダイオードを必要とし、一般に、単一チップで一体化される。このような複数の半導体部品、装置、又は製品が、単一装置、又は単一チップに構成される場合、それらは、同一の電気構造と実質的に同一の性能を有するのが好ましい。
上述のように、本発明は幅広い応用を有する。LED技術に限定されないが、本発明は、LED技術中に多くの応用を有する。
本明細書にて「一具体例」、「具体例」、「代表具体例」等に対する参照は、具体例と関連して記載される特定の構造特徴、構造、又は特性が、少なくとも一つの本発明の具体例中に含まれることを意味する。よって、明細書中の各所の用語は、相同の具体例を示すものではない。更に、特定構造特性、構造、又は、特性が任意の具体例中で描写される時、当業者は、この種の構造特徴、構造、又は特性とその他の実施例の連接関係に影響を及ぼすことができる。更に、説明を簡潔にするため、ある方法工程は、独立した工程として描写される。しかし、これらの独立した工程は、それらのパフォーマンスに依存して、特定の順序として解釈される必要はない。つまり、ある工程は、交替で、又は同時に実行することができる。この他、例の図形は、本発明の各種実施例を示すのに用いられる。ここで描写される各種実施例は、装置の実施例に対応することができるが、しかし、本方法の実施例はこれに限定されない。
本発明では好ましい実施例を前述の通り開示したが、これらは決して本発明に限定するものではなく、当該技術を熟知する者なら誰でも、本発明の精神と領域を脱しない範囲内で各種の変動や潤色を加えることができ、従って本発明の保護範囲は、特許請求の範囲で指定した内容を基準とする。
以下は、ある具体例のリストである。
(1) ダイオードの製作方法は、一つ以上のフィンの極性結晶物質を含む第一クラッド層を形成し、一つ以上のフィンの主要面が非極性であるステップと、一つ以上の主要面をおおってアクティブ領域を形成するステップと、アクティブ領域に隣接する第二クラッド層を形成するステップと、を含む。
(2) ダイオードの製作方法は、一つ以上の穴を形成した第一表面を含む極性半導体結晶物質の第一クラッド層を提供し、一つ以上の穴の側壁が、極性半導体結晶物質の非極性面であるステップと、第一表面と反対側の第二クラッド層を提供するステップと、少なくとも部分的に一つ以上の穴に延伸する第一と第二クラッド層間に挿入されるアクティブ領域を提供するステップと、を含む。
(3) ダイオードの製作方法は、第一ダイオード領域を形成するステップと、第一ダイオード領域に隣接するアクティブ領域を形成するステップと、アクティブ領域に隣接する第二ダイオード領域を形成するステップと、を含み、非極性であるアクティブ領域に隣接する第一ダイオード領域の一つ以上の表面のエリア(面積)は、極性である第一ダイオード領域の一つ以上の表面のエリアより大きい。
(4) 発光ダイオードの製作方法は、第一ダイオード領域を提供するステップと、第一ダイオード領域に隣接するアクティブダイオード領域を形成するステップと、アクティブダイオード領域に隣接する第二ダイオード領域を形成するステップと、を含み、アクティブダイオード領域と第二ダイオード領域の少なくとも一部は、III-N半導体結晶物質の非極性面に対応する。
(5) 発光ダイオードの製作方法は、III-N半導体材料から、底部ダイオード領域を提供するステップと、底部ダイオード領域に隣接するIII-N半導体材料から、アクティブダイオード領域を形成するステップと、アクティブダイオード領域に隣接するIII-N半導体材料から、頂部ダイオード領域を形成するステップと、を含み、アクティブ及び頂部ダイオード領域は、底部ダイオード領域より単位面積当たりの欠陥が少ない。
(6) 発光ダイオードの製作方法は、底部ダイオード材料を提供するステップと、底部ダイオード材料にキャビティを形成するステップと、キャビティの表面に、アクティブダイオード領域を形成するステップと、キャビティに、頂部ダイオード材料を蒸着するステップ、を含む。
(7) 発光ダイオードの製作方法は、III-N半導体材料から、底部、アクティブ、及び頂部ダイオード領域を提供するステップと、LEDに、非極性III-N半導体材料を提供し、出力効率を所定量を超えるまで増加させるステップと、を含む。
(8) 発光ダイオードの製作方法は、極性面上に、III-N半導体結晶層を提供するステップと、III-N半導体材料層の非極性面に位置合わせされる少なくとも一つの第一表面と極性面に位置合わせされる少なくとも一つの第二表面を有する底部ダイオード領域を形成するステップと、底部ダイオード領域の少なくとも一つの第一表面と少なくとも一つの第二表面に隣接するアクティブダイオード領域を形成するステップと、アクティブダイオード領域に隣接する頂部ダイオード領域を形成するステップと、を含む。
(9) 発光ダイオードの製作方法は、III-N半導体材料の底部ダイオード領域を提供するステップと、III-N半導体材料の非極性表面上の底部ダイオード領域に隣接するアクティブダイオード領域を形成するステップと、アクティブダイオード領域に隣接する頂部ダイオード領域を形成するステップと、を含む。
(10) 発光ダイオードの製作方法は、第一ダイオード領域を提供するステップと、第一ダイオード領域に隣接するアクティブダイオード領域を形成するステップと、アクティブダイオード領域に隣接する第二ダイオード領域を形成するステップと、を含み、アクティブダイオード領域の第一部分は第一出力光効率を有し、アクティブダイオード領域の第二部分は第二低出力光効率を有する。
上記でリストされた具体例は、それぞれ、更に、以下の特徴によって修正される。
アクティブと頂部ダイオード領域の少なくとも一つは、底部ダイオード領域より線欠陥総数が多くてもよい。
青色光LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。緑色光LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。白色光LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。紫外線LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。赤外線LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。
アクティブ領域に面する底部ダイオード領域における非極性表面エリアの極性面表面エリアに対する比率は、1より大きくてもよい。アクティブ領域に面する底部ダイオード領域における非極性表面エリアの極性面表面エリアに対する比率は、2超、4超、10超、又は、50超であってもよい。
前記アクティブ領域は、非極性表面に対応するIII-N半導体材料であってもよい。III-N半導体材料の極性表面向きの前記アクティブダイオード領域の部分は、50%未満、25%未満、15%未満、10%未満、又は5%未満であってもよい。III-N半導体材料の極性表面向きの頂部ダイオード領域部分は、50%未満、25%未満、15%未満、10%未満、又は5%未満であってもよい。III-N半導体材料の極性表面向きのアクティブダイオード領域、底部ダイオード領域、又は頂部ダイオード領域の部分は、50%未満、25%未満、15%未満、10%未満、又は5%未満であってもよい。III-N半導体材料の非極性表面向きのアクティブダイオード領域、底部ダイオード領域、又は頂部ダイオード領域の部分は、50%超、75%超、85%超、又は95%超であってもよい。
前記ダイオード領域は、窒化アルミニウム(AlN)、窒化ガリウム(GaN)、窒化インジウム(InN)、及びそれらの三元と四元の化合物のうちの一つ以上を含んでもよい。
前記底部領域は、開口を有するように構成されてもよく、開口は、トレンチ、凹部、又は穴である。半導体装置は、開口の少なくとも一部をおおって構成されてもよい。前記トレンチは、その幅の少なくとも2倍より深いか、その幅の少なくとも5倍より深いか、その幅の少なくとも10倍より深くてもよく、あるいは、トレンチは、その幅の少なくとも100倍より深くてもよい。トレンチは、その幅より少なくとも10倍長くてもよく、あるいは、トレンチは、その幅より少なくとも100倍長くてもよい。開口の幅は、450nm以下、400nm以下、350nm以下、200nm以下、100nm以下、50nm以下のうちの一つであってもよい。開口の幅は、5um以下、2um以下、及び1um以下のうちの一つであってもよい。
CMOS装置は、基板と一体化されてもよい。基板は、シリコン、ゲルマニウム、又はサファイアからなってもよい。絶縁パターン開口で露出する基板表面はシリコン基板の(100)表面であってもよく、基板は、単結晶基板、又は多結晶基板であってもよい。
ダイオード領域はエピタキシャル成長されてもよい。底部、アクティブ及び頂部ダイオード領域は、同一の半導体結晶物質であってもよい。底部領域は、少なくともアクティブ領域、又は頂部ダイオード領域と異なる半導体結晶物質であってもよい。底部及び頂部ダイオード領域は、異なるドーピング濃度でドープされてもよい。頂部及びアクティブダイオード領域は、異なるドーピング濃度でドープされてもよい。底部及び頂部ダイオード領域は、異なるタイプのドーパントがドープされてもよい。ダイオード領域は、その場でドープされるか、又はイオン注入されてもよい。
アクティブ及び頂部ダイオード領域の少なくとも一つは、有機金属化学蒸着(MOCVD)、常圧CVD(APCVD)、低(又は減)圧CVD(LPCVD)、超高真空CVD(UHVCVD)、分子線エピタキシー(MBE)、又は原子層成長法(ALD)を含む選択的エピタキシャル成長により形成されてもよい。
底部、アクティブ、及び頂部領域は、繰り返されてもよい。極性面に対応する前記アクティブ領域の少なくとも一部は、除去されてもよい。極性面に対応する底部ダイオード領域、又は頂部ダイオード領域の少なくとも一部は、除去されてもよい。
本方法は、真性アクティブ領域を含んでもよい。アクティブ領域は、空乏領域を含んでもよい。
本方法は、LEDの製造方法であってもよい。本方法は、レーザーダイオードの製造方法であってもよい。本方法は、光起電装置の製造方法であってもよい。
以下に別の具体例をリストする。
(1) ダイオードは、一つ以上の極性結晶物質のフィンであって、一つ以上のフィンの主要面が非極性であり、一つ以上のフィンが第一クラッド層を構成すること、一つ以上のフィン周辺に形成されるアクティブ領域、及びアクティブ領域に隣接して形成される第二クラッド層、を含む。
(2) ダイオードは、一つ以上の穴を有する第一表面を含む極性結晶物質の第一クラッド層であって一つ以上の穴の側壁は、極性結晶物質の非極性面であること、第一表面と反対側で、少なくとも部分的に一つ以上の穴に延伸する第二クラッド層、及び第一及び第二クラッド層間に挿入されるアクティブ領域を含む。
(3) ダイオードは、第一ダイオード領域、第一ダイオード領域に隣接するアクティブ領域、及びアクティブ領域に隣接する第二ダイオード領域を含み、非極性であるアクティブ領域に隣接する第一ダイオード領域の一つ以上の表面のエリアは、極性である第一ダイオード領域の一つ以上の表面のエリアより大きい。
(4) 発光ダイオードは、第一ダイオード領域、第一ダイオード領域に隣接するIII-N半導体結晶物質のアクティブダイオード領域、及びアクティブダイオード領域に隣接する第二ダイオード領域を含み、アクティブダイオード領域の少なくとも一部が、第一ダイオード領域の非極性面に対応する。
(5) 発光ダイオードは、III-N半導体材料からの底部ダイオード領域、底部ダイオード領域に隣接するIII-N半導体材料からのアクティブダイオード領域、及びアクティブダイオード領域に隣接するIII-N半導体材料からの頂部ダイオード領域を含み、アクティブ及び頂部ダイオード領域は、底部ダイオード領域より単位面積当たりの欠陥が少ない。
(6) 発光ダイオードは、キャビティを有する底部ダイオード材料、キャビティの表面上のアクティブダイオード領域、キャビティに蒸着された頂部ダイオード材料、を含む。
(7) 発光ダイオードは、III-N半導体材料からの底部、アクティブ、及び頂部ダイオード領域を含み、LEDの非極性III-N半導体材料が構成されて、出力効率を増加する。
(8) 発光ダイオードは、III-N半導体材料層の非極性面に位置合わせされる少なくとも一つの第一表面と極性面に位置合わせされる少なくとも一つの第二表面を有する極性面上のIII-N半導体結晶層の底部ダイオード領域、底部ダイオード領域の少なくとも一つの第一表面と少なくとも一つの第二表面に隣接するアクティブダイオード領域、及びアクティブダイオード領域に隣接する頂部ダイオード領域、を含む。
(9) 発光ダイオードは、III-N半導体材料の底部ダイオード領域、III-N半導体材料の非極性表面上の底部ダイオード領域に隣接するアクティブダイオード領域、及びアクティブダイオード領域に隣接する頂部ダイオード領域、を含む。
(10) 発光ダイオードは、第一ダイオード領域、第一ダイオード領域に隣接するアクティブダイオード領域、及びアクティブダイオード領域に隣接する第二ダイオード領域からなり、アクティブダイオード領域の第一部分は第一出力光効率を有し、アクティブダイオード領域の第二部分は第二低出力光効率を有する。
上記でリストされた具体例は、それぞれ、更に、以下の特徴によって修正される。
アクティブと頂部ダイオード領域の少なくとも一つは、底部ダイオード領域より線欠陥総数が多い。
青色光LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。緑色光LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。白色光LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。紫外線LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。赤外線LEDの光出力効率は、2倍超、5倍超、10倍超、又は25倍超であってもよい。
アクティブ領域に面した底部ダイオード領域における非極性表面エリアの極性面表面エリアに対する比率は、1以上である。アクティブ領域に面した底部ダイオード領域における非極性表面エリアの極性面表面エリアに対する比率は、2より大きい、4より大きい、10より大きい、又は50より大きくてもよい。
前記アクティブ領域は、非極性表面に対応するIII-N半導体材料である。III-N半導体材料の極性表面向きの前記アクティブダイオード領域の部分は、50%未満、25%未満、15%未満、10%未満、又は5%未満であってもよい。III-N半導体材料の極性表面向きの頂部ダイオード領域部分は、50%未満、25%未満、15%未満、10%未満、又は5%未満であってもよい。III-N半導体材料の極性表面向きのアクティブ領域、底部ダイオード領域、又は頂部ダイオード領域の部分は、50%未満、25%未満、15%未満、10%未満、又は5%未満であってもよい。III-N半導体材料の非極性表面向きのアクティブ領域、底部ダイオード領域、又は頂部ダイオード領域の部分は、50%超、75%超、85%超、又は95%超であってもよい。
ダイオード領域は、以下の一つ以上を含む。窒化アルミニウム(AlN)、窒化ガリウム(GaN)、窒化インジウム(InN)、及びそれらの三元と四元の化合物。ダイオード領域はGaNを含む。
底部領域は、開口を有するように設計されてもよく、開口は、トレンチ、凹部、又は穴である。ダイオードは、開口の少なくとも一部をおおって構成される半導体装置からなってもよい。トレンチは、その幅の少なくとも2倍より深いか、その幅の少なくとも5倍より深いか、その幅の少なくとも10倍より深くてもよく、あるいは、トレンチは、その幅の少なくとも100倍より深くてもよい。トレンチは、その幅より少なくとも10倍長い、又はトレンチは、その幅の少なくとも100倍長くてもよい。開口の幅は、450nm以下、400nm以下、350nm以下、200nm以下、100nm以下、50nm以下のうちの一つであってもよい。開口の幅は、5um以下、2um以下、及び1um以下のうちの一つであってもよい。
ダイオード領域はエピタキシャル成長されてもよい。ダイオードは、更に、基板と一体化されるCMOS装置からなってもよい。基板は、シリコン、ゲルマニウム、又はサファイアからなってもよい。絶縁パターン開口で露出する基板の表面はシリコン基板の(100)表面でよく、基板は、単結晶基板、又は多結晶基板であってもよい。
底部、アクティブ及び頂部ダイオード領域は、同一の半導体結晶物質であってもよい。底部領域は、少なくともアクティブ領域、又は頂部ダイオード領域と異なる半導体結晶物質であってもよい。底部及び頂部ダイオード領域は、異なるドーピング濃度でドープされてもよい。頂部及びアクティブダイオード領域は、異なるドーピング濃度でドープされてもよい。底部及び頂部ダイオード領域は、異なるタイプのドーパントがドープされてもよい。ダイオード領域は、その場でドープされるか、又はイオン注入されてもよい。
アクティブ及び頂部ダイオード領域の少なくとも一つは、有機金属化学蒸着(MOCVD)、常圧CVD(APCVD)、低(又は減)圧CVD(LPCVD)、超高真空CVD(UHVCVD)、分子線エピタキシー(MBE)、又は原子層成長法(ALD)を含む選択的エピタキシャル成長により形成されてもよい。
底部、アクティブ、及び頂部領域は、繰り返されてもよい。極性面に対応する前記アクティブ領域の少なくとも一部は、除去されてもよい。極性面に対応する底部ダイオード領域、又は頂部ダイオード領域の少なくとも一部は、除去されてもよい。
ダイオードは、真性アクティブ領域を含んでもよい。アクティブ領域は、空乏領域を含んでもよい。ダイオードは、LED、レーザーダイオード、又は光起電装置であってもよい。
100 基板
210 基板
220 底部ダイオード領域
230 アクティブダイオード領域
240 頂部ダイオード領域
250 底部コンタクト
260 頂部コンタクト
310,350 ステップ
520 残りの構造
522 特定部分
524 第一部分
530 スロット
610 矢印
630a 破線領域
812 底部コンタクト
814 底部コンタクト
910、910’ ハンドル基板
912 底部コンタクト
914 頂部コンタクト
1014,1014a 頂部コンタクト
1016 平坦化層
1020 底部ダイオード領域
1030 アクティブダイオード領域
1040 頂部ダイオード

Claims (13)

  1. 一つ以上の、極性結晶物質のフィンを含み、前記一つ以上のフィンの側壁が非極性である第一クラッド層を形成するステップと、
    前記側壁の一つ以上をおおって、アクティブ領域を形成するステップと、
    前記アクティブ領域に隣接する第二クラッド層を形成するステップと、
    前記第二クラッド層の、極性面を含む少なくとも一部を除去し頂部コンタクトにより代替し、かつ、前記第一クラッド層と接触する底部コンタクトを形成するステップと、を含むことを特徴とするダイオードの製作方法。
  2. 前記第一クラッド層は開口を有するように構成され、前記開口はトレンチ、凹部、又は穴であることを特徴とする請求項1に記載の方法。
  3. 前記第一クラッド層、前記アクティブ領域及び前記第二クラッド層は、同一の半導体結晶物質を含み、前記第一クラッド層及び前記第二クラッド層は、お互いに反対のタイプのドーパントを含む前記半導体結晶物質であり、前記アクティブ領域は、未ドープの前記半導体結晶物質であることを特徴とする請求項1に記載の方法。
  4. 前記第一クラッド層は、少なくとも前記アクティブ領域、又は前記第二クラッド層と異なる半導体結晶物質であることを特徴とする請求項1に記載の方法。
  5. 極性面を含む前記アクティブ領域の少なくとも一部は除去されることを特徴とする請求項1に記載の方法。
  6. 極性面を含む前記第一クラッド層の少なくとも一部が除去されることを特徴とする請求項1に記載の方法。
  7. 一つ以上の、極性結晶物質のフィンであって、前記一つ以上のフィンの側壁が非極性で、前記一つ以上のフィンは第一クラッド層を構成することと、
    前記一つ以上のフィン周辺に形成されるアクティブ領域と、
    前記アクティブ領域に隣接して形成される第二クラッド層と、
    前記第二クラッド層の、極性面を含む少なくとも一部が除去され、その除去された第二クラッド層を代替する頂部コンタクトと、
    前記第一クラッド層と接触する底部コンタクトと、を含むことを特徴とするダイオード。
  8. 前記第一クラッド層は開口が構成され、前記開口はトレンチ、凹部、又は穴であることを特徴とする請求項7に記載のダイオード。
  9. 前記第一クラッド層、前記アクティブ領域と前記第二クラッド層は、同一の半導体結晶物質を含み、前記第一クラッド層及び前記第二クラッド層は、お互いに反対のタイプのドーパントを含む前記半導体結晶物質であり、前記アクティブ領域は、未ドープの前記半導体結晶物質 であることを特徴とする請求項7に記載のダイオード。
  10. 前記第一クラッド層は、前記少なくともアクティブ領域、又は前記第二クラッド層と異なる半導体結晶物質であることを特徴とする請求項7に記載のダイオード。
  11. 前記第一クラッド層、前記アクティブ領域、及び前記第二クラッド層は、複数回重複することを特徴とする請求項7に記載のダイオード。
  12. 極性面を含む前記アクティブ領域の少なくとも一部は除去されることを特徴とする請求項7に記載のダイオード。
  13. 極性面を含む前記第一クラッド層の少なくとも一部は除去されることを特徴とする請求項7に記載のダイオード。
JP2013222297A 2009-04-02 2013-10-25 結晶物質の非極性面から形成される装置とその製作方法 Active JP5709963B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16618409P 2009-04-02 2009-04-02
US61/166,184 2009-04-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012503686A Division JP5705207B2 (ja) 2009-04-02 2010-04-01 結晶物質の非極性面から形成される装置とその製作方法

Publications (2)

Publication Number Publication Date
JP2014064011A JP2014064011A (ja) 2014-04-10
JP5709963B2 true JP5709963B2 (ja) 2015-04-30

Family

ID=42828703

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012503686A Active JP5705207B2 (ja) 2009-04-02 2010-04-01 結晶物質の非極性面から形成される装置とその製作方法
JP2013222297A Active JP5709963B2 (ja) 2009-04-02 2013-10-25 結晶物質の非極性面から形成される装置とその製作方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012503686A Active JP5705207B2 (ja) 2009-04-02 2010-04-01 結晶物質の非極性面から形成される装置とその製作方法

Country Status (8)

Country Link
US (3) US8629446B2 (ja)
EP (1) EP2415083B1 (ja)
JP (2) JP5705207B2 (ja)
KR (1) KR101450956B1 (ja)
CN (1) CN102379046B (ja)
SG (1) SG171987A1 (ja)
TW (1) TWI452722B (ja)
WO (1) WO2010114956A1 (ja)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
WO2010033813A2 (en) 2008-09-19 2010-03-25 Amberwave System Corporation Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
SG171987A1 (en) * 2009-04-02 2011-07-28 Taiwan Semiconductor Mfg Devices formed from a non-polar plane of a crystalline material and method of making the same
US20110220920A1 (en) * 2010-03-09 2011-09-15 Brian Thomas Collins Methods of forming warm white light emitting devices having high color rendering index values and related light emitting devices
US8896101B2 (en) 2012-12-21 2014-11-25 Intel Corporation Nonplanar III-N transistors with compositionally graded semiconductor channels
US9406530B2 (en) * 2014-03-27 2016-08-02 International Business Machines Corporation Techniques for fabricating reduced-line-edge-roughness trenches for aspect ratio trapping
CN104362241B (zh) * 2014-10-10 2017-03-29 东莞市柏尔电子科技有限公司 一种方形二极管
US10139663B2 (en) 2015-05-29 2018-11-27 Semiconductor Energy Laboratory Co., Ltd. Input/output device and electronic device
DE102015217330A1 (de) 2015-09-10 2017-03-16 Technische Universität Berlin Halbleitervorrichtung mit gegen interne Felder abgeschirmtem aktiven Gebiet
CN105734530B (zh) * 2016-03-08 2018-05-25 西安电子科技大学 在石墨烯上基于磁控溅射氮化铝的氮化镓生长方法
KR101743026B1 (ko) * 2016-04-26 2017-06-15 광주과학기술원 자외선 발광 다이오드 및 이의 제조방법
EP3373343B1 (en) 2017-03-09 2021-09-15 Technische Universität Berlin Semiconductor device having an internal-field-guarded active region
US20190058084A1 (en) * 2017-08-18 2019-02-21 Jie Piao Laser Diodes, LEDs, and Silicon Integrated sensors on Patterned Substrates
US11508812B2 (en) 2017-09-29 2022-11-22 Intel Corporation Multi-step lateral epitaxial overgrowth for low defect density III-N films
WO2019066936A1 (en) * 2017-09-29 2019-04-04 Intel Corporation LIGHT-EMITTING DEVICES HAVING QUANTUM WELL STRUCTURES ON SEMI-POLAR OR NON-POLAR CRYSTALLINE PLANS
US10516039B2 (en) * 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11635637B1 (en) 2019-05-16 2023-04-25 Meta Platforms Technologies, Llc Fluid lens with low energy membrane adjustment
US11333803B2 (en) 2019-05-16 2022-05-17 Facebook Technologies, Llc Fluid lens with low energy membrane adjustment
US11561415B1 (en) 2019-05-16 2023-01-24 Meta Platforms Technologies, Llc Moving guide actuation of fluid lenses
US11867927B1 (en) 2019-05-16 2024-01-09 Meta Platforms Technologies, Llc Modified membranes for fluid lenses
US11719960B1 (en) 2019-05-16 2023-08-08 Meta Platforms Technologies, Llc Gravity sag compensation in fluid-filled lenses
US11506825B1 (en) 2019-10-24 2022-11-22 Meta Platforms, Inc. Elastomer based flexures for fluid lenses
US11703616B2 (en) 2019-11-05 2023-07-18 Meta Platforms Technologies, Llc Fluid lens with low gas content fluid
WO2022093926A1 (en) * 2020-10-27 2022-05-05 The Regents Of The University Of Michigan Water splitting device protection
US11740391B1 (en) 2020-12-31 2023-08-29 Meta Platforms Technologies, Llc Fluid lens operational feedback using sensor signal

Family Cites Families (400)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4307510A (en) 1980-03-12 1981-12-29 The United States Of America As Represented By The Administrator Of The National Aeronautics & Space Administration Computer circuit card puller
DE3176676D1 (en) * 1980-04-10 1988-04-07 Massachusetts Inst Technology Methods of producing sheets of crystalline material and devices amde therefrom
US4322253A (en) * 1980-04-30 1982-03-30 Rca Corporation Method of making selective crystalline silicon regions containing entrapped hydrogen by laser treatment
US4370510A (en) * 1980-09-26 1983-01-25 California Institute Of Technology Gallium arsenide single crystal solar cell structure and method of making
US4651179A (en) * 1983-01-21 1987-03-17 Rca Corporation Low resistance gallium arsenide field effect transistor
US4545109A (en) 1983-01-21 1985-10-08 Rca Corporation Method of making a gallium arsenide field effect transistor
US5091333A (en) * 1983-09-12 1992-02-25 Massachusetts Institute Of Technology Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth
US4860081A (en) 1984-06-28 1989-08-22 Gte Laboratories Incorporated Semiconductor integrated circuit structure with insulative partitions
US4551394A (en) 1984-11-26 1985-11-05 Honeywell Inc. Integrated three-dimensional localized epitaxial growth of Si with localized overgrowth of GaAs
EP0214610B1 (en) 1985-09-03 1990-12-05 Daido Tokushuko Kabushiki Kaisha Epitaxial gallium arsenide semiconductor wafer and method of producing the same
US4774205A (en) 1986-06-13 1988-09-27 Massachusetts Institute Of Technology Monolithic integration of silicon and gallium arsenide devices
JPS6381855A (ja) 1986-09-25 1988-04-12 Mitsubishi Electric Corp ヘテロ接合バイポ−ラトランジスタの製造方法
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US5281283A (en) * 1987-03-26 1994-01-25 Canon Kabushiki Kaisha Group III-V compound crystal article using selective epitaxial growth
US5166767A (en) 1987-04-14 1992-11-24 National Semiconductor Corporation Sidewall contact bipolar transistor with controlled lateral spread of selectively grown epitaxial layer
US4876210A (en) 1987-04-30 1989-10-24 The University Of Delaware Solution growth of lattice mismatched and solubility mismatched heterostructures
US4826784A (en) 1987-11-13 1989-05-02 Kopin Corporation Selective OMCVD growth of compound semiconductor materials on silicon substrates
US5272105A (en) 1988-02-11 1993-12-21 Gte Laboratories Incorporated Method of manufacturing an heteroepitaxial semiconductor structure
US5079616A (en) * 1988-02-11 1992-01-07 Gte Laboratories Incorporated Semiconductor structure
GB2215514A (en) 1988-03-04 1989-09-20 Plessey Co Plc Terminating dislocations in semiconductor epitaxial layers
US5156995A (en) 1988-04-01 1992-10-20 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor epilayers
US5032893A (en) 1988-04-01 1991-07-16 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor eiplayers
EP0352472A3 (en) 1988-07-25 1991-02-06 Texas Instruments Incorporated Heteroepitaxy of lattice-mismatched semiconductor materials
US5238869A (en) 1988-07-25 1993-08-24 Texas Instruments Incorporated Method of forming an epitaxial layer on a heterointerface
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
EP0380815B1 (en) 1989-01-31 1994-05-25 Agfa-Gevaert N.V. Integration of GaAs on Si substrate
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4948456A (en) 1989-06-09 1990-08-14 Delco Electronics Corporation Confined lateral selective epitaxial growth
US5256594A (en) 1989-06-16 1993-10-26 Intel Corporation Masking technique for depositing gallium arsenide on silicon
US5098850A (en) * 1989-06-16 1992-03-24 Canon Kabushiki Kaisha Process for producing substrate for selective crystal growth, selective crystal growth process and process for producing solar battery by use of them
US5093699A (en) * 1990-03-12 1992-03-03 Texas A & M University System Gate adjusted resonant tunnel diode device and method of manufacture
US5164359A (en) 1990-04-20 1992-11-17 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
US5158907A (en) * 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5105247A (en) 1990-08-03 1992-04-14 Cavanaugh Marion E Quantum field effect device with source extension region formed under a gate and between the source and drain regions
JP3202223B2 (ja) 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5403751A (en) 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5223043A (en) 1991-02-11 1993-06-29 The United States Of America As Represented By The United States Department Of Energy Current-matched high-efficiency, multijunction monolithic solar cells
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
JPH04299569A (ja) 1991-03-27 1992-10-22 Nec Corp Soisの製造方法及びトランジスタとその製造方法
US5269852A (en) 1991-05-27 1993-12-14 Canon Kabushiki Kaisha Crystalline solar cell and method for producing the same
JP3058954B2 (ja) 1991-09-24 2000-07-04 ローム株式会社 絶縁層の上に成長層を有する半導体装置の製造方法
JP2773487B2 (ja) 1991-10-15 1998-07-09 日本電気株式会社 トンネルトランジスタ
JPH05121317A (ja) 1991-10-24 1993-05-18 Rohm Co Ltd Soi構造形成方法
JP3286920B2 (ja) 1992-07-10 2002-05-27 富士通株式会社 半導体装置の製造方法
DE59308841D1 (de) 1992-12-04 1998-09-10 Siemens Ag Verfahren zur Herstellung eines seitlich begrenzten, einkristallinen Gebietes mittels selektiver Epitaxie und dessen Anwendung zur Herstellung eines Bipolartransistors sowie eines MOS-transistors
JP3319472B2 (ja) 1992-12-07 2002-09-03 富士通株式会社 半導体装置とその製造方法
US5295150A (en) * 1992-12-11 1994-03-15 Eastman Kodak Company Distributed feedback-channeled substrate planar semiconductor laser
US5407491A (en) 1993-04-08 1995-04-18 University Of Houston Tandem solar cell with improved tunnel junction
EP0627799B1 (en) * 1993-06-04 1997-10-08 Sharp Kabushiki Kaisha Semiconductor light-emitting device with third cladding layer
JP3748905B2 (ja) 1993-08-27 2006-02-22 三洋電機株式会社 量子効果デバイス
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5405453A (en) 1993-11-08 1995-04-11 Applied Solar Energy Corporation High efficiency multi-junction solar cell
US5489539A (en) 1994-01-10 1996-02-06 Hughes Aircraft Company Method of making quantum well structure with self-aligned gate
JPH0851109A (ja) 1994-04-11 1996-02-20 Texas Instr Inc <Ti> 酸化物でパターン化されたウェーハの窓内にエピタキシャルシリコンを成長させる方法
US6011271A (en) * 1994-04-28 2000-01-04 Fujitsu Limited Semiconductor device and method of fabricating the same
US5710436A (en) * 1994-09-27 1998-01-20 Kabushiki Kaisha Toshiba Quantum effect device
US5825240A (en) 1994-11-30 1998-10-20 Massachusetts Institute Of Technology Resonant-tunneling transmission line technology
JP3835225B2 (ja) 1995-02-23 2006-10-18 日亜化学工業株式会社 窒化物半導体発光素子
JPH08306700A (ja) 1995-04-27 1996-11-22 Nec Corp 半導体装置及びその製造方法
US5528209A (en) 1995-04-27 1996-06-18 Hughes Aircraft Company Monolithic microwave integrated circuit and method
TW304310B (ja) 1995-05-31 1997-05-01 Siemens Ag
US5621227A (en) 1995-07-18 1997-04-15 Discovery Semiconductors, Inc. Method and apparatus for monolithic optoelectronic integrated circuit using selective epitaxy
JPH11500873A (ja) 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
TW314621B (ja) 1995-12-20 1997-09-01 Toshiba Co Ltd
US5987590A (en) 1996-04-02 1999-11-16 Texas Instruments Incorporated PC circuits, systems and methods
ZA974261B (en) 1996-05-17 1997-11-17 Canon Kk Photovoltaic device and process for the production thereof.
JP3719618B2 (ja) 1996-06-17 2005-11-24 松下電器産業株式会社 半導体装置及びその製造方法
US6229153B1 (en) 1996-06-21 2001-05-08 Wisconsin Alumni Research Corporation High peak current density resonant tunneling diode
JP3260660B2 (ja) * 1996-08-22 2002-02-25 株式会社東芝 半導体装置およびその製造方法
JP3449516B2 (ja) 1996-08-30 2003-09-22 株式会社リコー 半導体多層膜反射鏡および半導体多層膜反射防止膜および面発光型半導体レーザおよび受光素子
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5825049A (en) 1996-10-09 1998-10-20 Sandia Corporation Resonant tunneling device with two-dimensional quantum well emitter and base layers
JPH10126010A (ja) 1996-10-23 1998-05-15 Ricoh Co Ltd 半導体レーザ装置の製造方法
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
US5853497A (en) 1996-12-12 1998-12-29 Hughes Electronics Corporation High efficiency multi-junction solar cells
US6348096B1 (en) 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
JP3853905B2 (ja) 1997-03-18 2006-12-06 株式会社東芝 量子効果装置とblトンネル素子を用いた装置
EP0874405A3 (en) 1997-03-25 2004-09-15 Mitsubishi Cable Industries, Ltd. GaN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
JP3184115B2 (ja) 1997-04-11 2001-07-09 松下電器産業株式会社 オーミック電極形成方法
CN1131548C (zh) 1997-04-04 2003-12-17 松下电器产业株式会社 半导体装置
JP3047852B2 (ja) 1997-04-04 2000-06-05 松下電器産業株式会社 半導体装置
EP2234142A1 (en) 1997-04-11 2010-09-29 Nichia Corporation Nitride semiconductor substrate
US5998781A (en) 1997-04-30 1999-12-07 Sandia Corporation Apparatus for millimeter-wave signal generation
JPH10321910A (ja) * 1997-05-16 1998-12-04 Ricoh Co Ltd 半導体発光素子
US5903170A (en) 1997-06-03 1999-05-11 The Regents Of The University Of Michigan Digital logic design using negative differential resistance diodes and field-effect transistors
US5883549A (en) * 1997-06-20 1999-03-16 Hughes Electronics Corporation Bipolar junction transistor (BJT)--resonant tunneling diode (RTD) oscillator circuit and method
DE69827824T3 (de) 1997-06-24 2009-09-03 Massachusetts Institute Of Technology, Cambridge Kontrolle der verspannungsdichte durch verwendung von gradientenschichten und durch planarisierung
US5869845A (en) * 1997-06-26 1999-02-09 Texas Instruments Incorporated Resonant tunneling memory
JP3930161B2 (ja) 1997-08-29 2007-06-13 株式会社東芝 窒化物系半導体素子、発光素子及びその製造方法
US6015979A (en) * 1997-08-29 2000-01-18 Kabushiki Kaisha Toshiba Nitride-based semiconductor element and method for manufacturing the same
KR20010024041A (ko) 1997-09-16 2001-03-26 자르밀라 제트. 흐르벡 공동 평면 Si 및 Ge 합성물 기판 및 그 제조 방법
FR2769924B1 (fr) 1997-10-20 2000-03-10 Centre Nat Rech Scient Procede de realisation d'une couche epitaxiale de nitrure de gallium, couche epitaxiale de nitrure de gallium et composant optoelectronique muni d'une telle couche
CN100344004C (zh) 1997-10-30 2007-10-17 住友电气工业株式会社 GaN单晶衬底及其制造方法
JP3180743B2 (ja) 1997-11-17 2001-06-25 日本電気株式会社 窒化化合物半導体発光素子およびその製法
JP3468082B2 (ja) 1998-02-26 2003-11-17 日亜化学工業株式会社 窒化物半導体素子
US6150242A (en) 1998-03-25 2000-11-21 Texas Instruments Incorporated Method of growing crystalline silicon overlayers on thin amorphous silicon oxide layers and forming by method a resonant tunneling diode
JPH11274467A (ja) 1998-03-26 1999-10-08 Murata Mfg Co Ltd 光電子集積回路素子
US6500257B1 (en) 1998-04-17 2002-12-31 Agilent Technologies, Inc. Epitaxial material grown laterally within a trench and method for producing same
JP3338778B2 (ja) 1998-04-24 2002-10-28 日本電気株式会社 窒化物系化合物半導体レーザ素子
US6265289B1 (en) * 1998-06-10 2001-07-24 North Carolina State University Methods of fabricating gallium nitride semiconductor layers by lateral growth from sidewalls into trenches, and gallium nitride semiconductor structures fabricated thereby
JP4005701B2 (ja) 1998-06-24 2007-11-14 シャープ株式会社 窒素化合物半導体膜の形成方法および窒素化合物半導体素子
JP4666295B2 (ja) 1998-07-14 2011-04-06 富士通株式会社 半導体レーザ及び半導体装置の製造方法
JP4365530B2 (ja) 1998-09-10 2009-11-18 ローム株式会社 半導体発光素子およびその製法
US6252261B1 (en) 1998-09-30 2001-06-26 Nec Corporation GaN crystal film, a group III element nitride semiconductor wafer and a manufacturing process therefor
JP3868136B2 (ja) 1999-01-20 2007-01-17 日亜化学工業株式会社 窒化ガリウム系化合物半導体発光素子
JP3372226B2 (ja) 1999-02-10 2003-01-27 日亜化学工業株式会社 窒化物半導体レーザ素子
US7145167B1 (en) 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
JP3760663B2 (ja) 1999-03-31 2006-03-29 豊田合成株式会社 Iii族窒化物系化合物半導体素子の製造方法
JP3702700B2 (ja) * 1999-03-31 2005-10-05 豊田合成株式会社 Iii族窒化物系化合物半導体素子及びその製造方法
DE10017137A1 (de) 1999-04-14 2000-10-26 Siemens Ag Silizium-Aufbau und Verfahren zu dessen Herstellung
US6803598B1 (en) 1999-05-07 2004-10-12 University Of Delaware Si-based resonant interband tunneling diodes and method of making interband tunneling diodes
JP3587081B2 (ja) 1999-05-10 2004-11-10 豊田合成株式会社 Iii族窒化物半導体の製造方法及びiii族窒化物半導体発光素子
JP4246400B2 (ja) * 1999-05-13 2009-04-02 株式会社日立製作所 半導体記憶装置
US6252287B1 (en) 1999-05-19 2001-06-26 Sandia Corporation InGaAsN/GaAs heterojunction for multi-junction solar cells
JP3555500B2 (ja) 1999-05-21 2004-08-18 豊田合成株式会社 Iii族窒化物半導体及びその製造方法
GB9912178D0 (en) 1999-05-25 1999-07-28 Univ Court Of The University O Improved optical modulator
US6214653B1 (en) 1999-06-04 2001-04-10 International Business Machines Corporation Method for fabricating complementary metal oxide semiconductor (CMOS) devices on a mixed bulk and silicon-on-insulator (SOI) substrate
JP2001007447A (ja) 1999-06-18 2001-01-12 Nichia Chem Ind Ltd 窒化物半導体レーザ素子
WO2001001466A1 (en) 1999-06-25 2001-01-04 Massachusetts Institute Of Technology Oxidation of silicon on germanium
US6228691B1 (en) 1999-06-30 2001-05-08 Intel Corp. Silicon-on-insulator devices and method for producing the same
GB9919479D0 (en) 1999-08-17 1999-10-20 Imperial College Island arrays
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
JP2001102678A (ja) 1999-09-29 2001-04-13 Toshiba Corp 窒化ガリウム系化合物半導体素子
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6812053B1 (en) 1999-10-14 2004-11-02 Cree, Inc. Single step pendeo- and lateral epitaxial overgrowth of Group III-nitride epitaxial layers with Group III-nitride buffer layer and resulting structures
JP2001189483A (ja) 1999-10-18 2001-07-10 Sharp Corp バイパス機能付太陽電池セルおよびバイパス機能付き多接合積層型太陽電池セルおよびそれらの製造方法
EP1672700A2 (en) * 1999-11-15 2006-06-21 Matsushita Electric Industrial Co., Ltd. Field effect semiconductor device
US6521514B1 (en) * 1999-11-17 2003-02-18 North Carolina State University Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on sapphire substrates
JP2001176805A (ja) 1999-12-16 2001-06-29 Sony Corp 窒化物系iii−v族化合物の結晶製造方法、窒化物系iii−v族化合物結晶基板、窒化物系iii−v族化合物結晶膜およびデバイスの製造方法
US6403451B1 (en) 2000-02-09 2002-06-11 Noerh Carolina State University Methods of fabricating gallium nitride semiconductor layers on substrates including non-gallium nitride posts
AU2001233526A1 (en) * 2000-02-11 2001-08-20 Novo Rps Ulc Stent delivery system and method of use
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP3512701B2 (ja) 2000-03-10 2004-03-31 株式会社東芝 半導体装置及びその製造方法
TW504754B (en) * 2000-03-24 2002-10-01 Sumitomo Chemical Co Group III-V compound semiconductor and method of producing the same
US20050184302A1 (en) 2000-04-04 2005-08-25 Toshimasa Kobayashi Nitride semiconductor device and method of manufacturing the same
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
JP2001338988A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6841808B2 (en) * 2000-06-23 2005-01-11 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing the same
US20020008234A1 (en) 2000-06-28 2002-01-24 Motorola, Inc. Mixed-signal semiconductor structure, device including the structure, and methods of forming the device and the structure
US20020030246A1 (en) * 2000-06-28 2002-03-14 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices not lattice matched to the substrate
AU2001277001A1 (en) 2000-07-24 2002-02-05 Motorola, Inc. Heterojunction tunneling diodes and process for fabricating same
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2002118255A (ja) 2000-07-31 2002-04-19 Toshiba Corp 半導体装置およびその製造方法
JP4269541B2 (ja) * 2000-08-01 2009-05-27 株式会社Sumco 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
US6579463B1 (en) 2000-08-18 2003-06-17 The Regents Of The University Of Colorado Tunable nanomasks for pattern transfer and nanocluster array formation
US7301199B2 (en) 2000-08-22 2007-11-27 President And Fellows Of Harvard College Nanoscale wires and related devices
US20060175601A1 (en) 2000-08-22 2006-08-10 President And Fellows Of Harvard College Nanoscale wires and related devices
US6407425B1 (en) 2000-09-21 2002-06-18 Texas Instruments Incorporated Programmable neuron MOSFET on SOI
US6456214B1 (en) 2000-09-27 2002-09-24 Raytheon Company High-speed comparator utilizing resonant tunneling diodes and associated method
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
JP2002164623A (ja) * 2000-11-24 2002-06-07 Nippon Telegr & Teleph Corp <Ntt> 窒化物半導体レーザ及びその製造方法
JP4595198B2 (ja) * 2000-12-15 2010-12-08 ソニー株式会社 半導体発光素子及び半導体発光素子の製造方法
US6720090B2 (en) * 2001-01-02 2004-04-13 Eastman Kodak Company Organic light emitting diode devices with improved luminance efficiency
US7052979B2 (en) 2001-02-14 2006-05-30 Toyoda Gosei Co., Ltd. Production method for semiconductor crystal and semiconductor luminous element
JP4084541B2 (ja) 2001-02-14 2008-04-30 豊田合成株式会社 半導体結晶及び半導体発光素子の製造方法
JP4084544B2 (ja) 2001-03-30 2008-04-30 豊田合成株式会社 半導体基板及び半導体素子の製造方法
US6380590B1 (en) 2001-02-22 2002-04-30 Advanced Micro Devices, Inc. SOI chip having multiple threshold voltage MOSFETs by using multiple channel materials and method of fabricating same
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
JP3679720B2 (ja) * 2001-02-27 2005-08-03 三洋電機株式会社 窒化物系半導体素子および窒化物系半導体の形成方法
JP2002270516A (ja) 2001-03-07 2002-09-20 Nec Corp Iii族窒化物半導体の成長方法、iii族窒化物半導体膜およびそれを用いた半導体素子
US7205604B2 (en) 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
JP3705142B2 (ja) * 2001-03-27 2005-10-12 ソニー株式会社 窒化物半導体素子及びその作製方法
CN1306619C (zh) * 2001-03-30 2007-03-21 加利福尼亚大学董事会 纳米线以及由其制造的器件
JP3956637B2 (ja) 2001-04-12 2007-08-08 ソニー株式会社 窒化物半導体の結晶成長方法及び半導体素子の形成方法
GB0110112D0 (en) 2001-04-25 2001-06-20 Univ Glasgow Improved optoelectronic device
GB0111207D0 (en) 2001-05-08 2001-06-27 Btg Int Ltd A method to produce germanium layers
US6784074B2 (en) 2001-05-09 2004-08-31 Nsc-Nanosemiconductor Gmbh Defect-free semiconductor templates for epitaxial growth and method of making same
JP3819730B2 (ja) 2001-05-11 2006-09-13 三洋電機株式会社 窒化物系半導体素子および窒化物半導体の形成方法
US20020168802A1 (en) 2001-05-14 2002-11-14 Hsu Sheng Teng SiGe/SOI CMOS and method of making the same
US7358578B2 (en) * 2001-05-22 2008-04-15 Renesas Technology Corporation Field effect transistor on a substrate with (111) orientation having zirconium oxide gate insulation and cobalt or nickel silicide wiring
CN1259734C (zh) 2001-06-13 2006-06-14 松下电器产业株式会社 氮化物半导体、其制造方法以及氮化物半导体元件
JP3515974B2 (ja) 2001-06-13 2004-04-05 松下電器産業株式会社 窒化物半導体、その製造方法及び窒化物半導体素子
US6566284B2 (en) 2001-08-07 2003-05-20 Hrl Laboratories, Llc Method of manufacture for 80 nanometer diameter resonant tunneling diode with improved peak-to-valley ratio and resonant tunneling diode therefrom
JP3785970B2 (ja) * 2001-09-03 2006-06-14 日本電気株式会社 Iii族窒化物半導体素子の製造方法
JP2003077847A (ja) * 2001-09-06 2003-03-14 Sumitomo Chem Co Ltd 3−5族化合物半導体の製造方法
TW544930B (en) 2001-09-11 2003-08-01 Toyoda Gosei Kk Method for producing semiconductor crystal
JP2003163370A (ja) 2001-09-11 2003-06-06 Toyoda Gosei Co Ltd 半導体結晶の製造方法
US7105865B2 (en) * 2001-09-19 2006-09-12 Sumitomo Electric Industries, Ltd. AlxInyGa1−x−yN mixture crystal substrate
US6689650B2 (en) 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US20030064535A1 (en) 2001-09-28 2003-04-03 Kub Francis J. Method of manufacturing a semiconductor device having a thin GaN material directly bonded to an optimized substrate
US6710368B2 (en) * 2001-10-01 2004-03-23 Ken Scott Fisher Quantum tunneling transistor
US20030070707A1 (en) 2001-10-12 2003-04-17 King Richard Roland Wide-bandgap, lattice-mismatched window layer for a solar energy conversion device
JP2003142728A (ja) 2001-11-02 2003-05-16 Sharp Corp 半導体発光素子の製造方法
JP2003152220A (ja) * 2001-11-15 2003-05-23 Sharp Corp 半導体発光素子の製造方法および半導体発光素子
US6835246B2 (en) 2001-11-16 2004-12-28 Saleem H. Zaidi Nanostructures for hetero-expitaxial growth on silicon substrates
US6576532B1 (en) 2001-11-30 2003-06-10 Motorola Inc. Semiconductor device and method therefor
AU2002354254A1 (en) 2001-12-20 2003-07-09 Matsushita Electric Industrial Co., Ltd. Method for making nitride semiconductor substrate and method for making nitride semiconductor device
KR100909733B1 (ko) 2002-01-28 2009-07-29 니치아 카가쿠 고교 가부시키가이샤 지지기판을 갖는 질화물 반도체소자 및 그 제조방법
KR100458288B1 (ko) 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
US7411233B2 (en) * 2002-08-27 2008-08-12 E-Phocus, Inc Photoconductor-on-active-pixel (POAP) sensor utilizing a multi-layered radiation absorbing structure
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
JP3782021B2 (ja) 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
AU2002252110A1 (en) 2002-02-27 2003-09-09 Midwest Research Institute Monolithic photovoltaic energy conversion device
JP4092927B2 (ja) 2002-02-28 2008-05-28 豊田合成株式会社 Iii族窒化物系化合物半導体、iii族窒化物系化合物半導体素子及びiii族窒化物系化合物半導体基板の製造方法
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US7208393B2 (en) 2002-04-15 2007-04-24 The Regents Of The University Of California Growth of planar reduced dislocation density m-plane gallium nitride by hydride vapor phase epitaxy
KR20110132639A (ko) 2002-04-15 2011-12-08 더 리전츠 오브 더 유니버시티 오브 캘리포니아 무극성 질화 갈륨 박막의 전위 감소
US20060162768A1 (en) 2002-05-21 2006-07-27 Wanlass Mark W Low bandgap, monolithic, multi-bandgap, optoelectronic devices
US8067687B2 (en) 2002-05-21 2011-11-29 Alliance For Sustainable Energy, Llc High-efficiency, monolithic, multi-bandgap, tandem photovoltaic energy converters
US7217882B2 (en) 2002-05-24 2007-05-15 Cornell Research Foundation, Inc. Broad spectrum solar cell
CN2550906Y (zh) 2002-05-27 2003-05-14 李映华 立体光双面结光电池
FR2840452B1 (fr) 2002-05-28 2005-10-14 Lumilog Procede de realisation par epitaxie d'un film de nitrure de gallium separe de son substrat
JP2004000375A (ja) 2002-06-03 2004-01-08 Shimizu Natsue 顔面用保冷保温具
TWI271877B (en) 2002-06-04 2007-01-21 Nitride Semiconductors Co Ltd Gallium nitride compound semiconductor device and manufacturing method
JP2004014856A (ja) 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6887773B2 (en) 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
US6812495B2 (en) 2002-06-19 2004-11-02 Massachusetts Institute Of Technology Ge photodetectors
US7012298B1 (en) 2002-06-21 2006-03-14 Advanced Micro Devices, Inc. Non-volatile memory device
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US7335908B2 (en) 2002-07-08 2008-02-26 Qunano Ab Nanostructures and methods for manufacturing the same
US6982204B2 (en) * 2002-07-16 2006-01-03 Cree, Inc. Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
JP5144002B2 (ja) 2002-08-23 2013-02-13 台湾積體電路製造股▲ふん▼有限公司 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法
US7015497B1 (en) 2002-08-27 2006-03-21 The Ohio State University Self-aligned and self-limited quantum dot nanoswitches and methods for making same
US20040043584A1 (en) * 2002-08-27 2004-03-04 Thomas Shawn G. Semiconductor device and method of making same
GB0220438D0 (en) 2002-09-03 2002-10-09 Univ Warwick Formation of lattice-turning semiconductor substrates
US7122733B2 (en) 2002-09-06 2006-10-17 The Boeing Company Multi-junction photovoltaic cell having buffer layers for the growth of single crystal boron compounds
US6830953B1 (en) 2002-09-17 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Suppression of MOSFET gate leakage current
US6815241B2 (en) 2002-09-25 2004-11-09 Cao Group, Inc. GaN structures having low dislocation density and methods of manufacture
US6787864B2 (en) 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
JP4546021B2 (ja) 2002-10-02 2010-09-15 ルネサスエレクトロニクス株式会社 絶縁ゲート型電界効果型トランジスタ及び半導体装置
US6902991B2 (en) 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6920159B2 (en) 2002-11-29 2005-07-19 Optitune Plc Tunable optical source
AU2003297649A1 (en) 2002-12-05 2004-06-30 Blue Photonics, Inc. High efficiency, monolithic multijunction solar cells containing lattice-mismatched materials and methods of forming same
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6876009B2 (en) * 2002-12-09 2005-04-05 Nichia Corporation Nitride semiconductor device and a process of manufacturing the same
EP1576671A4 (en) 2002-12-16 2006-10-25 Univ California NON-POLAR PLANAR GALLIUM NITRIDE GROWTH AND PLANAR GEOMETRY BY HYDRIDE VAPOR EPITAXY
US7012314B2 (en) 2002-12-18 2006-03-14 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
JP2004200375A (ja) 2002-12-18 2004-07-15 Matsushita Electric Ind Co Ltd 半導体レーザ装置およびその製造方法
US7453129B2 (en) 2002-12-18 2008-11-18 Noble Peak Vision Corp. Image sensor comprising isolated germanium photodetectors integrated with a silicon substrate and silicon circuitry
US7589380B2 (en) * 2002-12-18 2009-09-15 Noble Peak Vision Corp. Method for forming integrated circuit utilizing dual semiconductors
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US7098487B2 (en) 2002-12-27 2006-08-29 General Electric Company Gallium nitride crystal and method of making same
KR100513316B1 (ko) 2003-01-21 2005-09-09 삼성전기주식회사 고효율 반도체 소자 제조방법
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
JP2004235190A (ja) 2003-01-28 2004-08-19 Sony Corp 光半導体装置
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
DE10320160A1 (de) 2003-02-14 2004-08-26 Osram Opto Semiconductors Gmbh Verfahren zum Herstellen einer Mehrzahl von Halbleiterkörper und elektronischer Halbleiterkörper
US6815738B2 (en) 2003-02-28 2004-11-09 International Business Machines Corporation Multiple gate MOSFET structure with strained Si Fin body
EP1602125B1 (en) 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
JP4695824B2 (ja) 2003-03-07 2011-06-08 富士電機ホールディングス株式会社 半導体ウエハの製造方法
WO2004086461A2 (en) * 2003-03-21 2004-10-07 North Carolina State University Methods for nanoscale structures from optical lithography and subsequent lateral growth
US6936851B2 (en) 2003-03-21 2005-08-30 Tien Yang Wang Semiconductor light-emitting device and method for manufacturing the same
US7061065B2 (en) 2003-03-31 2006-06-13 National Chung-Hsing University Light emitting diode and method for producing the same
US6900502B2 (en) 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US20050212051A1 (en) 2003-04-16 2005-09-29 Sarnoff Corporation Low voltage silicon controlled rectifier (SCR) for electrostatic discharge (ESD) protection of silicon-on-insulator technologies
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP2004336040A (ja) * 2003-04-30 2004-11-25 Osram Opto Semiconductors Gmbh 複数の半導体チップの製造方法および電子半導体基体
US6909186B2 (en) 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US7088143B2 (en) 2003-05-22 2006-08-08 The Regents Of The University Of Michigan Dynamic circuits having improved noise tolerance and method for designing same
US6849487B2 (en) * 2003-05-27 2005-02-01 Motorola, Inc. Method for forming an electronic structure using etch
TWI242232B (en) * 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7262117B1 (en) 2003-06-10 2007-08-28 Luxtera, Inc. Germanium integrated CMOS wafer and method for manufacturing the same
JP4105044B2 (ja) 2003-06-13 2008-06-18 株式会社東芝 電界効果トランジスタ
US6974733B2 (en) 2003-06-16 2005-12-13 Intel Corporation Double-gate transistor with enhanced carrier mobility
US6943407B2 (en) 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
JP2005011915A (ja) 2003-06-18 2005-01-13 Hitachi Ltd 半導体装置、半導体回路モジュールおよびその製造方法
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
KR100631832B1 (ko) * 2003-06-24 2006-10-09 삼성전기주식회사 백색 발광소자 및 그 제조방법
US20050017351A1 (en) * 2003-06-30 2005-01-27 Ravi Kramadhati V. Silicon on diamond wafers and devices
US7122392B2 (en) 2003-06-30 2006-10-17 Intel Corporation Methods of forming a high germanium concentration silicon germanium alloy by epitaxial lateral overgrowth and structures formed thereby
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
JP2005051022A (ja) * 2003-07-28 2005-02-24 Seiko Epson Corp 半導体装置およびその製造方法
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
JP4322255B2 (ja) 2003-08-05 2009-08-26 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US6855583B1 (en) * 2003-08-05 2005-02-15 Advanced Micro Devices, Inc. Method for forming tri-gate FinFET with mesa isolation
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6815278B1 (en) 2003-08-25 2004-11-09 International Business Machines Corporation Ultra-thin silicon-on-insulator and strained-silicon-direct-on-insulator with hybrid crystal orientations
US6955969B2 (en) 2003-09-03 2005-10-18 Advanced Micro Devices, Inc. Method of growing as a channel region to reduce source/drain junction capacitance
US7078299B2 (en) 2003-09-03 2006-07-18 Advanced Micro Devices, Inc. Formation of finFET using a sidewall epitaxial layer
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
US20050054164A1 (en) * 2003-09-09 2005-03-10 Advanced Micro Devices, Inc. Strained silicon MOSFETs having reduced diffusion of n-type dopants
US7579263B2 (en) * 2003-09-09 2009-08-25 Stc.Unm Threading-dislocation-free nanoheteroepitaxy of Ge on Si using self-directed touch-down of Ge through a thin SiO2 layer
US7138292B2 (en) 2003-09-10 2006-11-21 Lsi Logic Corporation Apparatus and method of manufacture for integrated circuit and CMOS device including epitaxially grown dielectric on silicon carbide
US7211864B2 (en) * 2003-09-15 2007-05-01 Seliskar John J Fully-depleted castellated gate MOSFET device and method of manufacture thereof
US20050056827A1 (en) * 2003-09-15 2005-03-17 Agency For Science, Technology And Research CMOS compatible low band offset double barrier resonant tunneling diode
WO2005029583A2 (en) 2003-09-19 2005-03-31 Spinnaker Semiconductor, Inc. Schottky barrier integrated circuit
US6919258B2 (en) 2003-10-02 2005-07-19 Freescale Semiconductor, Inc. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US6831350B1 (en) 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
EP1676305A1 (en) 2003-10-03 2006-07-05 Spinnaker Semiconductor, Inc. Schottky-barrier mosfet manufacturing method using isotropic etch process
US6900491B2 (en) 2003-10-06 2005-05-31 Hewlett-Packard Development Company, L.P. Magnetic memory
US7348600B2 (en) * 2003-10-20 2008-03-25 Nichia Corporation Nitride semiconductor device, and its fabrication process
WO2005038901A1 (en) 2003-10-22 2005-04-28 Spinnaker Semiconductor, Inc. Dynamic schottky barrier mosfet device and method of manufacture
US7009215B2 (en) 2003-10-24 2006-03-07 General Electric Company Group III-nitride based resonant cavity light emitting devices fabricated on single crystal gallium nitride substrates
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US6902965B2 (en) 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
GB0326321D0 (en) 2003-11-12 2003-12-17 Univ Warwick Formation of lattice-tuning semiconductor substrates
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
JP2005191530A (ja) * 2003-12-03 2005-07-14 Sumitomo Electric Ind Ltd 発光装置
JP4473710B2 (ja) 2003-12-05 2010-06-02 株式会社東芝 半導体装置
US7198995B2 (en) 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7705345B2 (en) 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7138302B2 (en) 2004-01-12 2006-11-21 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit channel region
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
US7198970B2 (en) 2004-01-23 2007-04-03 The United States Of America As Represented By The Secretary Of The Navy Technique for perfecting the active regions of wide bandgap semiconductor nitride devices
US7118987B2 (en) 2004-01-29 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of achieving improved STI gap fill with reduced stress
US7180134B2 (en) * 2004-01-30 2007-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structures for planar and multiple-gate transistors formed on SOI
DE102004005506B4 (de) * 2004-01-30 2009-11-19 Atmel Automotive Gmbh Verfahren zur Erzeugung von aktiven Halbleiterschichten verschiedener Dicke in einem SOI-Wafer
US6855982B1 (en) * 2004-02-02 2005-02-15 Advanced Micro Devices, Inc. Self aligned double gate transistor having a strained channel region and process therefor
US7205210B2 (en) 2004-02-17 2007-04-17 Freescale Semiconductor, Inc. Semiconductor structure having strained semiconductor and method therefor
US7492022B2 (en) 2004-02-27 2009-02-17 University Of Iowa Research Foundation Non-magnetic semiconductor spin transistor
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7160753B2 (en) * 2004-03-16 2007-01-09 Voxtel, Inc. Silicon-on-insulator active pixel sensors
US6888181B1 (en) 2004-03-18 2005-05-03 United Microelectronics Corp. Triple gate device having strained-silicon channel
US20050211291A1 (en) 2004-03-23 2005-09-29 The Boeing Company Solar cell assembly
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US6998684B2 (en) * 2004-03-31 2006-02-14 International Business Machines Corporation High mobility plane CMOS SOI
US7087965B2 (en) 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US7445673B2 (en) 2004-05-18 2008-11-04 Lumilog Manufacturing gallium nitride substrates by lateral overgrowth through masks and devices fabricated thereof
US7084441B2 (en) 2004-05-20 2006-08-01 Cree, Inc. Semiconductor devices having a hybrid channel layer, current aperture transistors and methods of fabricating same
KR101332391B1 (ko) 2004-06-03 2013-11-22 재팬 사이언스 앤드 테크놀로지 에이젼시 수소화물 기상 에피택시법에 의한 평면의, 전위 밀도가감소된 m-면 질화갈륨의 성장
US7125785B2 (en) 2004-06-14 2006-10-24 International Business Machines Corporation Mixed orientation and mixed material semiconductor-on-insulator wafer
US7807921B2 (en) 2004-06-15 2010-10-05 The Boeing Company Multijunction solar cell having a lattice mismatched GrIII-GrV-X layer and a composition-graded buffer layer
US7244958B2 (en) 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7217947B2 (en) * 2004-08-06 2007-05-15 Northrop Grumman Corporation Semiconductor light source and method of making
US20060211210A1 (en) 2004-08-27 2006-09-21 Rensselaer Polytechnic Institute Material for selective deposition and etching
TWI442456B (zh) 2004-08-31 2014-06-21 Sophia School Corp 發光元件
US20060073681A1 (en) 2004-09-08 2006-04-06 Han Sang M Nanoheteroepitaxy of Ge on Si as a foundation for group III-V and II-VI integration
US7002175B1 (en) * 2004-10-08 2006-02-21 Agency For Science, Technology And Research Method of making resonant tunneling diodes and CMOS backend-process-compatible three dimensional (3-D) integration
US7846759B2 (en) 2004-10-21 2010-12-07 Aonex Technologies, Inc. Multi-junction solar cells and methods of making same using layer transfer and bonding techniques
US20060105533A1 (en) 2004-11-16 2006-05-18 Chong Yung F Method for engineering hybrid orientation/material semiconductor substrate
US20060113603A1 (en) 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US20060131606A1 (en) 2004-12-18 2006-06-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures employing seed layers and related fabrication methods
US7405436B2 (en) 2005-01-05 2008-07-29 International Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
JP2006196631A (ja) 2005-01-13 2006-07-27 Hitachi Ltd 半導体装置及びその製造方法
US7138309B2 (en) 2005-01-19 2006-11-21 Sharp Laboratories Of America, Inc. Integration of biaxial tensile strained NMOS and uniaxial compressive strained PMOS on the same wafer
US7344942B2 (en) 2005-01-26 2008-03-18 Micron Technology, Inc. Isolation regions for semiconductor devices and their formation
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
JP2006253181A (ja) 2005-03-08 2006-09-21 Seiko Epson Corp 半導体装置および半導体装置の製造方法
KR100712753B1 (ko) 2005-03-09 2007-04-30 주식회사 실트론 화합물 반도체 장치 및 그 제조방법
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070267722A1 (en) 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
KR101225816B1 (ko) 2005-05-17 2013-01-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 감소한 변위 결함 밀도를 가지는 래티스 미스매칭된 반도체구조 및 디바이스 제조를 위한 관련 방법
US9153645B2 (en) * 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2006332295A (ja) 2005-05-26 2006-12-07 Matsushita Electric Ind Co Ltd ヘテロ接合バイポーラトランジスタ及びヘテロ接合バイポーラトランジスタの製造方法
TW200703463A (en) 2005-05-31 2007-01-16 Univ California Defect reduction of non-polar and semi-polar III-nitrides with sidewall lateral epitaxial overgrowth (SLEO)
KR100682877B1 (ko) * 2005-07-12 2007-02-15 삼성전기주식회사 발광다이오드 및 그 제조방법
CN101268547B (zh) 2005-07-26 2014-07-09 琥珀波系统公司 包含交替有源区材料的结构及其形成方法
US7801406B2 (en) * 2005-08-01 2010-09-21 Massachusetts Institute Of Technology Method of fabricating Ge or SiGe/Si waveguide or photonic crystal structures by selective growth
US7638842B2 (en) 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
US20070054467A1 (en) 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US7358107B2 (en) 2005-10-27 2008-04-15 Sharp Laboratories Of America, Inc. Method of fabricating a germanium photo detector on a high quality germanium epitaxial overgrowth layer
WO2007053686A2 (en) 2005-11-01 2007-05-10 Massachusetts Institute Of Technology Monolithically integrated semiconductor materials and devices
JP2009515344A (ja) * 2005-11-04 2009-04-09 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 高い光抽出効率の発光ダイオード(led)
US7521732B2 (en) * 2005-11-18 2009-04-21 General Electric Company Vertical heterostructure field effect transistor and associated method
JP4802314B2 (ja) * 2006-01-24 2011-10-26 シャープ株式会社 窒化物半導体発光素子とその製造方法
US7629661B2 (en) 2006-02-10 2009-12-08 Noble Peak Vision Corp. Semiconductor devices with photoresponsive components and metal silicide light blocking structures
KR100790869B1 (ko) 2006-02-16 2008-01-03 삼성전자주식회사 단결정 기판 및 그 제조방법
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US20080070355A1 (en) 2006-09-18 2008-03-20 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US7875958B2 (en) * 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US20080154197A1 (en) 2006-12-20 2008-06-26 Joel Brian Derrico System and method for regulating the temperature of a fluid injected into a patient
JP2008198656A (ja) 2007-02-08 2008-08-28 Shin Etsu Chem Co Ltd 半導体基板の製造方法
JP2008198952A (ja) * 2007-02-15 2008-08-28 Rohm Co Ltd Iii族窒化物半導体発光素子
JP2008235802A (ja) * 2007-03-23 2008-10-02 Rohm Co Ltd 発光装置
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7825328B2 (en) * 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
KR20080102065A (ko) 2007-05-18 2008-11-24 삼성전자주식회사 에피택시얼 실리콘 구조물 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
US8329541B2 (en) * 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
KR20090010284A (ko) 2007-07-23 2009-01-30 엘지이노텍 주식회사 반도체 발광소자 및 그 제조방법
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US7883990B2 (en) 2007-10-31 2011-02-08 International Business Machines Corporation High resistivity SOI base wafer using thermally annealed substrate
KR20100094460A (ko) 2007-12-28 2010-08-26 스미또모 가가꾸 가부시키가이샤 반도체 기판, 반도체 기판의 제조 방법 및 전자 디바이스
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) * 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) * 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
WO2010033813A2 (en) 2008-09-19 2010-03-25 Amberwave System Corporation Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
SG171987A1 (en) * 2009-04-02 2011-07-28 Taiwan Semiconductor Mfg Devices formed from a non-polar plane of a crystalline material and method of making the same
US8837545B2 (en) * 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
JP3202223U (ja) 2015-11-09 2016-01-28 株式会社千葉武道具 剣道用小手

Also Published As

Publication number Publication date
EP2415083B1 (en) 2017-06-21
US9299562B2 (en) 2016-03-29
EP2415083A1 (en) 2012-02-08
EP2415083A4 (en) 2013-05-15
TW201041183A (en) 2010-11-16
SG171987A1 (en) 2011-07-28
US8629446B2 (en) 2014-01-14
KR101450956B1 (ko) 2014-10-15
KR20110099782A (ko) 2011-09-08
WO2010114956A1 (en) 2010-10-07
CN102379046B (zh) 2015-06-17
US20140162438A1 (en) 2014-06-12
US20100252861A1 (en) 2010-10-07
JP2014064011A (ja) 2014-04-10
JP5705207B2 (ja) 2015-04-22
CN102379046A (zh) 2012-03-14
JP2012522402A (ja) 2012-09-20
TWI452722B (zh) 2014-09-11
US20160211260A1 (en) 2016-07-21
US9576951B2 (en) 2017-02-21

Similar Documents

Publication Publication Date Title
JP5709963B2 (ja) 結晶物質の非極性面から形成される装置とその製作方法
TWI427830B (zh) 光電裝置之製造方法
TWI413262B (zh) 二極體
KR101629984B1 (ko) 광전 소자 제조 방법 및 광전 소자
TWI300245B (ja)
US8030640B2 (en) Nitride semiconductor light emitting device and method of manufacturing the same
US20110017972A1 (en) Light emitting structure with integral reverse voltage protection
KR20220140749A (ko) 적색 led 및 제작 방법
KR20210006373A (ko) 다이오드 매트릭스를 갖는 광전자 디바이스를 제조하기 위한 프로세스
US20220367749A1 (en) Semiconductor optical device and method of producing the same
KR101072200B1 (ko) 발광소자 및 그 제조방법
US9299561B2 (en) Method for fabricating nitride semiconductor thin film and method for fabricating nitride semiconductor device using the same
KR102131697B1 (ko) 정전기 방전 특성이 향상된 반도체 소자 및 그 제조 방법
KR101026031B1 (ko) 질화물 반도체 소자 및 그 제조방법
KR20060066871A (ko) 발광 소자 및 이의 제조 방법
KR101158074B1 (ko) 발광 소자
US9209349B2 (en) Method of fabricating nitride semiconductor light emitting device
KR100700531B1 (ko) 발광 다이오드 및 그 제조 방법
KR20120095653A (ko) 반도체 발광 소자 및 그 제조방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140813

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140924

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150303

R150 Certificate of patent or registration of utility model

Ref document number: 5709963

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250