JP5163959B2 - 電界効果トランジスタを形成する方法、およびトランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路を形成する方法 - Google Patents

電界効果トランジスタを形成する方法、およびトランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路を形成する方法 Download PDF

Info

Publication number
JP5163959B2
JP5163959B2 JP2008553261A JP2008553261A JP5163959B2 JP 5163959 B2 JP5163959 B2 JP 5163959B2 JP 2008553261 A JP2008553261 A JP 2008553261A JP 2008553261 A JP2008553261 A JP 2008553261A JP 5163959 B2 JP5163959 B2 JP 5163959B2
Authority
JP
Japan
Prior art keywords
gate
masking
trench
layer
insulator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008553261A
Other languages
English (en)
Other versions
JP2009525612A (ja
Inventor
ピル キム,ヨン
アール. パリック,クーナル
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2009525612A publication Critical patent/JP2009525612A/ja
Application granted granted Critical
Publication of JP5163959B2 publication Critical patent/JP5163959B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)

Description

本発明は電界効果トランジスタとその構成要素の製造に関する。
電界効果トランジスタは、例えば論理回路、メモリ回路、メモリ回路用の制御回路などの集積回路で利用される一般的な素子である。そのような素子は典型的には一対のソース/ドレイン領域を含み、それらの間に受容されたチャンネル領域を有する。導電ゲートがチャンネル領域に隣接して動作可能なように備わり、そこからゲート誘電領域によって離間されている。導電ゲートに適切な電圧を印加することで、チャンネル領域を通ってソース/ドレイン領域の間に電流を発生させる。
ほんの一例として、ゲートの導電材料は、例えばバルク単結晶基板材料内であるか、SOI(semiconductor-on-insulator)材料内であるかに関わらず、半導電性材料の上方もしくは上部に、あるいは半導電性材料中に形成された開口部内に形成され得る。そのような素子の一部が、半導電性材料中のトレンチもしくはその他の開口部内に形成される時は、埋め込み(recessed)アクセス素子と称される。ここでは、マスキング材料が基板の半導電性材料の上に設けられ、基板内にゲートライントレンチを形成するようにパターニングされる。トレンチがそのように形成されると、マスキング材料は除去され、その後、例えばトレンチ内の露出した半導電性材料の熱酸化によって、ゲート誘電体がトレンチ開口部内に形成される。その後ゲート材料がトレンチを過剰充填するように堆積される。トレンチの外側に受容されたゲート材料はその後、通常はフォトリソグラフィーやエッチングを用いてパターニングされ、その中にゲート材料も受容されているトレンチの上に所望のゲート輪郭を形成する。
通常はゲート材料のパターニングは、下にあるトレンチと同じ幅もしくはそれに非常に近い幅になるように、トレンチの上にゲートラインを形成する。フォトマスクのずれは、好ましくないことに、事前にエッチングされたトレンチの側面の境界内に所望のゲートラインパターンのエッジを位置づけてしまう可能性がある。ゲートパターンのエッチングがトレンチ内のゲート材料をエッチングすることがあると、最終的に回路の故障や、あるいは少なくとも許容できないような素子構成や性能をもたらす可能性があるので、これは非常に好ましくないことである。
本発明は上述の問題に対処するように動機付けられたが、決して限定されるものではない。本発明は、明細書に対する解釈もしくは他の限定的な参照を伴わずに、均等論に従って、文字通り表現された添付の請求項によってのみ限定される。
本発明は、電界効果トランジスタを形成する方法と、電界効果トランジスタゲートを形成する方法と、トランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路を形成する方法と、第一のゲートと第二の接地絶縁ゲートを含むトランジスタゲートアレイを含む集積回路を形成する方法とを含む。一実施例では、電界効果トランジスタを形成する方法は、基板の半導電性材料の上にマスキング材料を形成するステップを含む。トレンチが、マスク材料を通って半導電性材料中に形成される。ゲート誘電体材料が、半導電性材料中のトレンチ内に形成される。ゲート材料が、マスキング材料中のトレンチ内、および半導電性材料中のトレンチ内で、ゲート誘電体材料の上に堆積される。ソース/ドレイン領域が形成される。
一実施形態では、電界効果トランジスタゲートを形成する方法は、窒化ケイ素含有マスキング材料を基板の半導電性材料の上に形成するステップを含む。トレンチが、窒化ケイ素含有マスキング材料を通って半導電性材料中に形成される。マスキング材料の窒化ケイ素が、半導電性材料中にトレンチを形成した後に除去される。マスキング材料の窒化ケイ素を除去する前に、ゲート誘電体材料が半導電性材料中のトレンチ内に形成される。ゲート材料が、半導電性材料中のトレンチ内で、ゲート誘電体材料の上に堆積される。
一実施例では、トランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路を形成する方法は、基板の半導電性材料の上にマスキング材料を形成するステップを含む。アレイ回路トレンチが、マスキング材料を通って半導電性材料中に形成される。アレイゲート材料が、マスキング材料中のアレイ回路トレンチ内、および半導電性材料中のアレイ回路トレンチ内に堆積される。アレイゲート材料を堆積した後、周辺回路トレンチがマスキング材料を通って形成される。周辺回路ゲート材料がマスキング材料内の周辺回路トレンチ内に堆積される。
一実施例では、トランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路を形成する方法は、基板の半導電性材料の上にマスキング材料を形成するステップを含む。アレイ回路トレンチが、マスキング材料を通って半導電性材料中に形成される。アレイゲート材料が、マスキング材料中のアレイ回路トレンチ内、および半導電性材料中のアレイ回路トレンチ内に堆積される。周辺回路トレンチが、アレイゲート材料を通って、かつマスキング材料を通って形成される。周辺回路ゲート材料が、アレイゲート材料内およびマスキング材料内の周辺回路トレンチ内に堆積される。
一実施例では、電界効果トランジスタゲートを形成する方法は、基板の半導電性材料の上にマスキング材料を形成するステップを含む。基板はトレンチ分離領域を含む。共通のマスキングステップにおいて、第一のトレンチがマスキング材料を通って半導電性材料中に形成され、かつ第二の接地絶縁ゲートトレンチがトレンチ分離領域の上にマスキング材料を通って形成される。共通の堆積ステップにおいて、ゲート材料が第一のトレンチと第二のトレンチの中に堆積される。
一実施例では、第一のゲートと第二の接地絶縁ゲートを含むトランジスタゲートアレイを含む集積回路を形成する方法は、基板の半導電性材料の上にマスキング材料を形成するステップを含む。基板はトレンチ分離領域を含む。第一のトレンチが、第一のゲート用に、マスキング材料を通って半導電性材料中に形成される。第二の接地絶縁ゲートトレンチが、トレンチ分離領域の上にマスキング材料を通って形成される。ゲート材料が第一と第二のトレンチ内に堆積される。
他の態様と実施例も考慮される。
本発明は、電界効果トランジスタゲートを形成する方法、電界効果トランジスタを形成する方法、トランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路を形成する方法を含む。考察は主としてトランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路の形成に関して進めるが、当業者は本発明の態様が、一つの電界効果トランジスタだけでなく複数の電界効果トランジスタの形成にも、またそれらの一つ以上の電界効果トランジスタゲートの形成にも適用されることがわかるだろう。
最初に図1を参照すると、処理中の半導体基板が参照番号10で大まかに示されている。この文書の文脈の中では、“半導体基板”や“半導電性基板”という用語は、半導電性ウ
ェハ(単独で、もしくはその上に他の材料を含むアセンブリとしてのいずれか)や半導電性材料層(単独で、もしくは他の材料を含むアセンブリとしてのいずれか)などのバルク半導電性材料を含むが限定はされない、半導電性材料を含む任意の構造を意味するものと定義される。“基板”という用語は、上述の半導電性基板を含むが限定はされない任意の支持構造を称する。基板10は、その中に電界効果トランジスタゲートアレイが製造されるアレイエリアもしくは領域12と、ゲートアレイ領域12の周辺にある周辺回路領域14を含むように描かれている。ほんの一例として、アレイ領域12は例えばDRAM回路などのメモリ回路の製造に利用されてもよく、一方周辺回路領域14はアレイ領域12内のメモリ回路を動作/制御するための制御回路を含んでもよい。勿論、例えば論理回路、制御回路もしくはその他の回路においてゲートアレイと電界効果トランジスタを利用するなど、代わりの構成も考慮される。
基板10は例えばバルク単結晶シリコンなどの半導電性材料11を含むように描かれている。例えばSOI(semiconductor-on-insulator)基板など、既存のものかまだ開発されていないものかに関わらず、他の半導電性材料基板も勿論考慮される。半導電性材料11は、適切な1つもしくは複数の導電型と1つもしくは複数の濃度になるように、理想的に適切に、バックグラウンドドープされ、もしくはドープウェルを形成するようにドープされる。例示的な好ましいトレンチ分離領域13、15、16、17、18が半導電性基板材料11に対して製造されている。
図2を参照すると、マスキング材料20が基板10の半導電性材料11の上に形成されている。これは最深部のパッド酸化物層22(好ましい厚みの範囲の例は30オングストロームから100オングストローム)、材料22の上に受容された材料22とは異なる組成のマスキング層24(好ましい厚みの範囲の例は50オングストロームから300オングストローム)、マスキング層24とは異なる材料でマスキング層24の上に形成されたマスキング層26(好ましい厚みの範囲の例は1,000オングストロームから3,000オングストローム)を含むように描かれている。マスキング材料20のいくらかもしくは全ては犠牲的であってもよく、それによって最終的に基板から除去される。従って、マスキング材料20のいくつかの部分もしくは全ては、電気的に絶縁性か、半導電性か、もしくは導電性のいずれであってもよい。層24の好ましい材料の例は窒化ケイ素であり、一方、層26の好ましい材料の例は非ドープ二酸化ケイ素である。さらなる代わりの実施形態の例は、一例として、層24が二酸化ケイ素を含み、層26が窒化ケイ素を含むように形成する。従っていずれにしても、好ましい一実施例に過ぎないが、マスキング材料20は二酸化ケイ素と窒化ケイ素を含み、より好ましい実施形態では窒化ケイ素の上に受容された二酸化ケイ素を含む。
好ましい一実施例では、層26は外部絶縁体材料層を含むと見なすことができ、層24は内部絶縁体材料層を含むと見なすことができ、ここで外部絶縁体材料層は、別の絶縁体材料層(層22など)が内部絶縁体材料層24の内側に受容されているかどうかに関わらず、内部絶縁体材料層に対して選択的にエッチング可能である。好ましい一実施例では、外部絶縁体材料層26は内部絶縁体材料層24よりも厚く、示された好ましい一実施例では、内部絶縁体材料層24に接触する。さらに描かれた実施形態例では、外部絶縁体材料層26は少なくともそのパターニングの最後においてマスキング材料20の最も外側の材料である。さらに、一実施例においてではあるが、層24は層22よりも厚いことが好ましい。
図3を参照すると、アレイ回路トレンチ28がマスキング材料20を通って形成されている。好ましい技術の例は、一つ以上のフォトレジストもしくはその他の層(図示せず)を用いるフォトリソグラフィーパターニングとエッチングを含む。図3はそのようなフォトレジストもしくはその他の層がマスキング材料20の上から除去された様を描写しているが、そのようなもののいくらかもしくは全てが、フォトリソグラフィーが利用される図3の処理過程の終わりに残ってもよい。
図4を参照すると、半導電性材料11中にアレイ回路トレンチ30を形成するために、マスキング材料20がマスクとして利用されている。従って、好ましい一実施形態では、描写されたトレンチ28と30は単一のマスキングステップ(例えばフォトリソグラフィーを利用する)を用いて形成される。半導電性材料11内のトレンチ30の、その外表面からの好ましい深さの範囲の例は、300オングストロームから2,500オングストロームである。
図5を参照すると、ゲート誘電体材料32が半導電性材料11中のトレンチ30内に形成されている。好ましい一実施例では、ゲート誘電体材料32の少なくとも大部分が、トレンチ30内の半導電性材料11の熱酸化によって形成される。描写された実施形態例は、基本的にそのようなゲート誘電体材料の全てが熱酸化によって形成される様を描写しているが、アレイトレンチ30内の材料11の熱酸化を伴う、もしくは伴わないゲート誘電体材料の堆積も勿論考慮される。
図6を参照すると、アレイゲート材料34が、マスキング材料20内のアレイ回路トレンチ28内、および半導電性材料11内のアレイ回路トレンチ30内であって、ゲート誘電体材料32の上に堆積されている。好ましくは、アレイゲート材料34はトレンチ28と30を少なくとも充填するように堆積され、最も好ましくはそのようなトレンチを過剰充填し、またマスキング材料20を覆うようにゲート材料34を堆積する。好ましい材料34の例は導電ドープされた半導電性材料を含む(堆積中もしくは後から本来の場所にドープされる導電ドープポリシリコンなど)。導電性の金属もしくは金属化合物など、その他の導電材料も利用されてもよいが、処理過程のこの時点では好ましくない。
図7を参照すると、アレイゲート材料34の堆積後、周辺回路トレンチ36がマスキング材料20を通って形成されており、その上に材料34が受容されている描かれた実施形態では、アレイゲート材料34をも通って形成される。図7は一実施例において、例えばトレンチ分離領域の一つ以上の上に、アレイ領域12内のマスキング材料20を通って接地ゲートトレンチ37を製造する様も描写している。この文書の文脈では、接地ゲートは、少なくともいくつかのフィールド分離上に受容されるように製造された分離ゲートであり、フィールド分離領域の下もしくは周囲の寄生電界効果トランジスタ電流の形成を妨げるもしくは軽減するために、分離機能を提供するための接地電位もしくはその他の適切な電位に維持される。必要に応じて、トレンチ36、37のいくつかもしくは全てが、半導電性材料11および/またはフィールド/トレンチ分離材料の材料中にエッチング/拡張されるように製造されてもよい。
図7と8を参照すると、トレンチ36、37の好ましい実施形態は基板10の半導電性材料11を露出することが好ましい。図8はゲート誘電体層38が周辺回路トレンチ36内の露出された半導電性材料11の上に形成されている好ましい一実施例を描いている。このような層は、ほんの一例として、熱酸化によって形成されてもよく、ここでは、少なくともゲート誘電体層の大部分が酸化された半導電性材料で構成される(図示されるように)。またこのような層の形成は勿論、基板材料11の熱酸化を伴うもしくは伴わないゲート誘電体層の堆積と組み合わされてもよいし、あるいは置き換えられてもよい。さらに描かれた実施形態例では、ゲート誘電体層38が基本的にアレイゲート材料34の上(および示すように上に接して)にも形成され、かつ通常は下記に記載するようにその後その上から除去される。いずれにしても、ゲート誘電体材料38はアレイ回路トレンチ30のゲート誘電体材料32と同じかもしくは異なっていてもよく、それにより回路の異なる領域に対してゲート誘電体の最適化が可能になる。トレンチ36と37の好ましい形成の仕方は、両方の種類のトレンチの形成に共通の単一のマスキングステップ(例えばフォトリソグラフィーを利用する)で行うものである。ある実施例では、トレンチ36と37の一方もしくは両方が全く形成されなくてもよいし、あるいは形成されたとしても別の時間であってもよく、これは考えられる別の実施形態でほんの一例として下記に記載される。
いずれにしても、図7はアレイ中の接地ゲートトレンチと周辺回路トレンチが同じマスキングステップで形成される好ましい実施形態の一例を描いている。さらに、勿論接地ゲートトレンチは周辺回路領域14内にも製造されてもよい。
図9を参照すると、周辺回路ゲート材料40がマスキング材料20内の周辺回路トレンチ36内に堆積されており、また描かれた実施形態例では、アレイゲート材料34内にも形成された対応する周辺回路トレンチ内に堆積されている。ゲート材料40は材料34と同じであっても異なっていてもよく、それにより、異なるゲートに形成された導電ゲート材料の導電型および/または仕事関数の最適化が可能になる。さらに描かれた実施形態例では、周辺回路ゲート材料40が、接地ゲートの製造にも利用され、接地ゲートトレンチ37内にも堆積する。描かれた好ましい実施形態例では、周辺回路ゲート材料40は、周辺回路トレンチ36を周辺回路ゲート材料40で少なくとも充填する、また好ましくは過剰充填するような、かつ、接地ゲートトレンチ37を少なくとも充填する、また好ましくは過剰充填するような厚みに堆積される。
図10を参照すると、アレイゲート材料34、周辺回路ゲート材料40、およびその間の誘電体層38は、それらがそのように形成されるマスキング材料20中および半導電性材料11中の各トレンチ内の各ゲート材料を分離するのに効果的なように、マスキング材料20に対して選択的に除去され、マスキング材料20を外側へ露出する。この文書の文脈では、選択的除去とは、一つの材料を別のものに対して2:1かそれ以上で除去する速度で(例えばエッチングもしくはその他の手段によって)除去することを必要とする。描かれた実施形態例では、そのような除去は、マスキング材料20内に形成された、描かれたトレンチ28、36、37内に、ゲート材料34および40を埋め込む(recess)のに効果的である。好ましい技術の例としては、化学的機械的研磨、レジストエッチバック、もしくは時間制御された化学エッチング(timed chemical etching)のいずれか一つもしくは組み合わせを含む。例えば、材料34と40がポリシリコンを含み、マスキング材料20の外層26が窒化シリコンを含む場合、図10の構造を時間制御エッチングで製造できるエッチングケミストリの例は、テトラメチル水酸化アンモニウムを含み、その後フッ化水素酸溶液への露出が続く。
図11を参照すると、例示的なより高導電性の層42(すなわち耐熱金属、その他の金属、もしくは金属シリサイド)が堆積され、研磨もしくはエッチバックされ、その後絶縁体材料層44の堆積、その研磨もしくは別のエッチバックが続く。そのような処理によって、好ましい一実施形態例では、マスキング材料20内の埋め込まれたゲート材料34および40を絶縁体材料44で蓋をする(キャッピングする)。好ましい一実施形態では、絶縁体材料44は絶縁体材料で形成されるマスキング材料20の内層24と共通の組成である。従ってほんの一例として、材料44と24は窒化シリコンを含み、材料26は二酸化シリコンを含むが、もしくは好ましい実施形態では逆であってもよい。
図12を参照すると、好ましい実施形態では、マスキング材料20の外層26が、内層24に対して、また埋め込まれたゲート材料34および40の上に受容されたキャッピング絶縁体材料44に対して選択的にエッチングされている。好ましい一実施例では、本発明の態様は、それが利用される際にマスキング材料の窒化シリコンを除去する前に、トレンチ内にゲート誘電体材料(例えば材料32)を形成するステップを含む。
図13を参照すると、好ましい一実施形態では、示されるように、マスキング材料20の内部絶縁体材料層24と共通の組成であることが好ましい絶縁体材料50が基板10の上に堆積されている。
図14を参照すると、材料50と材料24はゲート材料34、40、42の周りの絶縁側壁スペーサー52を形成するのに効果的なように異方性エッチングされている。パッド酸化層22(それが利用される時)のいくらかもしくは全ては、処理過程のこの時点もしくはそれより前に除去されてもよく、あるいはいくらかは完成した回路構造の一部として残ってもよい。いずれにしても好ましい一実施形態では、本発明の態様は、マスキング材料の少なくとも大部分を、少なくともゲート材料34が堆積された後のある時点で除去するステップを含む。最も好ましい実施形態では、電界効果トランジスタゲート、電界効果トランジスタ、トランジスタゲートアレイとゲートアレイ周辺回路を形成するそのような方法は、ゲート材料34、38、42のうちのいずれか一つもしくは組み合わせを、それらが堆積された後にフォトリソグラフィーパターニングするステップを欠くことが好ましい。
図14はソース/ドレイン領域56の製造を描いており、これは基板10の半導電性材料11内に形成されることが最も好ましい。ソース/ドレイン領域は、上述の処理段階のいずれかの間に、1種類または複数種類の適切な導電率向上ドーパントのイオン注入のうちの一つもしくは組み合わせによって形成されてもよい。勿論さらに、既存のものかもしくはまだ開発されていないものかに関わらず、その他のチャンネル、チャンネルストッパ、もしくはその他のインプラントが、上述の処理過程のいずれかの間に実施され得る。
勿論、別の実施形態が考察され、本発明は、他の請求項、図面、もしくは明細書に基づく限定を請求項に読み取ることなく、文字通りに表現された請求項によってのみ限定される。ほんの一例として、いくつかの別の実施形態例がここで説明される。図15を参照すると、一番目に説明した実施形態について図4で描かれた処理過程に対応する、もしくはそれの代わりとなる半導体基板10aを描いている。必要に応じて、一番目に説明した実施形態から同じ番号が利用されており、違いは接尾語“a”もしくは異なる数字で示される。図15は、アレイ回路トレンチ28と30が形成される同じマスキングステップにおいて、アレイ中にマスキング材料20を通って接地ゲートトレンチ37aを形成するステップを含む、基板片10aを描いている。描かれた実施形態ではさらにほんの一例として、接地ゲートトレンチ37aが形成され、トレンチ分離領域15などのトレンチ分離領域に拡張される。
図16を参照すると、ゲート誘電体材料32が形成されており、ゲート材料34aが接地ゲートトレンチ37a内に堆積されている。
図17を参照すると、次の処理段階が異方性エッチングされた絶縁側壁スペーサー52とソース/ドレイン領域56の製造の時点で起こっている。処理過程、材料などは、その他の点では、図1−14の一番目に説明した実施形態で上述したようなものが好ましい。
さらにほんの一例として、基板片10bに関する処理過程の別の実施形態例が、図18と19を参照して説明される。必要に応じて、一番目と二番目に説明した実施形態から同じ数字が利用されており、違いは接尾語“b”もしくは異なる数字で示される。図18は図4の処理過程順序に対応し、一つ以上の周辺回路トレンチ36bがアレイ回路トレンチ28、30の形成と同時に形成されている。これは有利なように利用されることがあり、周辺回路とアレイ回路の特定のトランジスタが同じ導電型および/または同じ仕事関数および/またはその他の所望の特性であることが望ましい。
図19は、ほんの一例として、接地ゲートトレンチ37bおよび別の周辺回路トレンチ36bを形成するために、次に続くゲート誘電体32の製造、ゲート材料34bの堆積、さらにその後に続くマスキング材料20bとゲート材料34bのパターニングを描いている。従って、周辺回路トレンチのいくつかは、アレイ回路トレンチの形成と同時に形成されてもよい。次に続く処理過程は、例えば図8−14に対して描かれ説明された処理過程と同じように、もしくはその他の方法で起こってもよい。
図20はほんの一例として、基板片10cに関する別の処理過程の例を描いている。必要に応じて上述の実施形態から同じ数字が利用されており、違いは接尾語“c”もしくは異なる数字で示される。図20は、アレイトレンチ28、30が、描かれた断面の任意の他のライントレンチの製造とは別のマスキングステップを用いて製造されている処理過程を描いている。それに続いて、接地ゲート絶縁トレンチ37と一つの周辺回路ゲートトレンチ70が共通のマスキングステップで製造されており、ゲート材料40cがその上に堆積される。その後、別のマスキングがマスキング材料20とその前に堆積されたゲート材料を通って行われ、別の周辺回路トレンチ74が形成される。ゲート誘電体71が形成されている(例えばゲート誘電体材料の製造に関する上述の処理過程のいずれかによって)。続いて、ゲート材料76が堆積されるが、ゲート材料76は上述のゲート材料の例のいずれかと同じであっても異なっていてもよい。処理過程は、その他の点では、例えば図8−14に対して描かれ説明された上述の実施形態と同じかもしくは異なって理想的にその後進行し得る。
本発明の態様は、基板の半導電性材料の上にマスキング材料を形成するステップを含む、電界効果トランジスタゲートの形成方法も包含し、ここで基板はトレンチ分離領域を含む。ほんの一例として、実施形態例が上記に記載される。共通のマスキングステップにおいて、第一のトレンチはマスキング材料を通って半導電性材料中に形成され、第二の接地絶縁ゲートトレンチはフィールド分離領域の上にマスキング材料を通って形成される。そのような好ましい実施例でのマスキングステップはフォトリソグラフィーを含む。さらに一実施例では、第二の接地絶縁ゲートトレンチは、前述の共通のマスキングステップの間にフィールド分離領域内に拡張するように製造されてもよい。
その後共通の堆積ステップにおいて、ゲート材料が第一のトレンチと第二のトレンチ内に堆積される。そのような共通の堆積ステップは、第一と第二のトレンチをゲート材料で少なくとも充填することが好ましく、過剰充填することがより好ましい。好ましい一実施例では、マスキング材料の少なくとも大部分がゲート材料の堆積後に除去される。好ましい一実施例では、処理過程は、ゲート材料の堆積後にそのフォトリソグラフィーパターニングを全く伴わない。一実施例では、堆積されたゲート材料はマスキング材料をゲート材料で覆い、処理過程はさらに、第一と第二のトレンチ内のゲート材料を分離するのに効果的なように、ゲート材料をマスキング材料に対し選択的に除去してマスキング材料を露出するステップを含む。
一実施例では、本発明の態様は、第一のゲートと第二の接地絶縁ゲートを含むトランジスタゲートアレイを含む集積回路を形成する方法を包含する。マスキング材料は基板の半導電性材料の上に形成され、基板はトレンチ分離領域を含む。第一のトレンチは第一のゲート用にマスキング材料を通って半導電性材料中に形成される。第二の接地絶縁ゲートトレンチは第二の接地絶縁ゲート用にフィールド分離領域の上にマスキング材料を通って形成される。ゲート材料は第一と第二のトレンチ内に堆積される。
第一と第二のトレンチは、同時に、もしくは例えば他方の前もしくは後のいずれかなど、異なる時に形成されてもよい。第二のトレンチはフィールド分離領域内に形成されてもよいし、あるいはその外側のみに受容されてもよい。
第一と第二のトレンチ内のゲート材料の堆積は、同じ堆積ステップで起こってもよいし、もしくは異なる堆積ステップで起こってもよい。さらに、第一と第二のトレンチ内のゲート材料の堆積の一部が同じ堆積ステップで起こってもよいし、第一と第二のトレンチ内のゲート材料の堆積の別の一部が異なる堆積ステップで起こってもよい。いずれにしても、ゲート材料の堆積が第一と第二のトレンチをゲート材料で少なくとも充填することが好ましく、過剰充填することがさらにより好ましい。処理過程は、その他の点では、他の実施形態について上記で説明されたようなものが好ましい。
本発明の好ましい実施形態は、以下の添付の図面を参照して先に記載される。
本発明の態様に従う処理過程における半導体基板片の断面図である。 図1によって示される処理段階の後の処理段階における図1の基板片の図である。 図2によって示される処理段階の後の処理段階における図2の基板片の図である。 図3によって示される処理段階の後の処理段階における図3の基板片の図である。 図4によって示される処理段階の後の処理段階における図4の基板片の図である。 図5によって示される処理段階の後の処理段階における図5の基板片の図である。 図6によって示される処理段階の後の処理段階における図6の基板片の図である。 図7によって示される処理段階の後の処理段階における図7の基板片の図である。 図8によって示される処理段階の後の処理段階における図8の基板片の図である。 図9によって示さる処理段階の後の処理段階における図9の基板片の図である。 図10によって示される処理段階の後の処理段階における図10の基板片の図である。 図11によって示される処理段階の後の処理段階における図11の基板片の図である。 図12によって示される処理段階の後の処理段階における図12の基板片の図である。 図13によって示される処理段階の後の処理段階における図13の基板片の図である。 本発明の態様に従う処理過程における代わりの実施形態の半導体基板片の断面図である。 図15によって示される処理段階の後の処理段階における図15の基板片の図である。 図16によって示される処理段階の後の処理段階における図16の基板片の図である。 本発明の態様に従う処理過程における別の代わりの実施形態の半導体基板片の断面図である。 図18によって示される処理段階の後の処理段階における図18の基板片の図である。 本発明の態様に従う処理過程におけるさらに別の代わりの実施形態の半導体基板片の断面図である。

Claims (9)

  1. 電界効果トランジスタを形成する方法であって、
    基板の半導電性材料の上にマスキング材料を形成するステップであって、前記マスキング材料は、前記基板の上方を覆う内部絶縁体材料層と、該内部絶縁体材料層の上方を覆う外部絶縁体材料層を含み、前記外部絶縁体材料層は前記内部絶縁体材料層に対して選択的にエッチング可能である、ステップと、
    前記マスキング材料を貫通し且つ前記半導電性材料中へと延びるトレンチを形成するステップと、
    前記半導電性材料中の前記トレンチ内にゲート誘電体材料を形成するステップと、
    前記マスキング材料中の前記トレンチ内、および前記半導電性材料中の前記トレンチ内であって、前記ゲート誘電体材料上に、ゲート材料を堆積するステップと、
    前記マスキング材料に対して前記ゲート材料の一部を選択的に除去して、残されたゲート材料の上面が前記マスキング材料の上面よりも低くなるように、前記残されたゲート材料を前記マスキング材料中の前記トレンチ内に埋め込むステップと、
    前記マスキング材料中の前記トレンチ内の前記埋め込まれたゲート材料を、前記内部絶縁体材料層と共通の組成の絶縁体材料でキャッピングするステップと、
    前記内部絶縁体材料層と、前記埋め込まれたゲート材料の上に受容された前記キャッピング絶縁体材料とに対して、選択的に前記外部絶縁体材料層をエッチングするステップと、
    前記外部絶縁体材料層のエッチング後、前記内部絶縁体材料層と共通の組成の絶縁体材料を堆積するステップと、
    前記内部絶縁体材料層と共通の組成の前記絶縁体材料を異方性エッチングして、前記ゲート材料の周囲に絶縁側壁スペーサーを形成するステップと、
    ソース/ドレイン領域を形成するステップと、
    を含む方法。
  2. 前記外部絶縁体材料層が前記内部絶縁体材料層よりも厚いことを特徴とする、請求項1の方法。
  3. 前記外部絶縁体層材料が前記内部絶縁体材料層に接触することを特徴とする、請求項1の方法。
  4. 前記外部絶縁体材料層が前記マスキング材料の最も外側の材料であることを特徴とする、請求項1の方法。
  5. 前記マスキング材料は、前記基板の上であって且つ前記内部絶縁体材料層の下に受容されたパッド酸化物層をさらに含む、請求項1の方法。
  6. トランジスタゲートアレイと、前記ゲートアレイの周辺回路とを含む集積回路を形成する方法であって、
    基板の半導電性材料の上にマスキング材料を形成するステップであって、前記マスキング材料は、前記基板の上方を覆う内部絶縁体材料層と、該内部絶縁体材料層の上方を覆う外部絶縁体材料層を含み、前記外部絶縁体材料層は前記内部絶縁体材料層に対して選択的にエッチング可能である、ステップと、
    前記マスキング材料を貫通し且つ前記半導電性材料中へと延びるアレイ回路トレンチを形成するステップと、
    前記マスキング材料中の前記アレイ回路トレンチ内と、前記半導電性材料中の前記アレイ回路トレンチ内に、アレイゲート材料を堆積するステップと、
    前記アレイゲート材料を堆積した後、前記マスキング材料を貫通し且つ前記半導電性材料中へと延びる周辺回路トレンチを形成するステップと、
    前記マスキング材料中の前記周辺回路トレンチ内に周辺回路ゲート材料を堆積するステップと、
    前記マスキング材料に対して前記アレイゲート材料の一部を選択的に除去して、残されたアレイゲート材料の上面が前記マスキング材料の上面よりも低くなるように、前記残されたアレイゲート材料を前記マスキング材料中の前記アレイ回路トレンチ内に埋め込み、かつ、前記マスキング材料に対して前記周辺回路ゲート材料の一部を選択的に除去して、残された周辺回路ゲート材料の上面が前記マスキング材料の上面よりも低くなるように、前記残された周辺回路ゲート材料を前記マスキング材料中の前記周辺回路トレンチ内に埋め込むステップと、
    前記マスキング材料中の前記アレイ回路トレンチ内の前記埋め込まれたアレイゲート材料と、前記マスキング材料中の前記周辺回路トレンチ内の前記埋め込まれた周辺回路ゲート材料とを、前記内部絶縁体材料層と共通の組成の絶縁体材料でキャッピングするステップと、
    前記内部絶縁体材料層と、前記埋め込まれたアレイゲート材料及び前記埋め込まれた周辺回路ゲート材料の上に受容された前記キャッピング絶縁体材料とに対して、選択的に前記外部絶縁体材料層をエッチングするステップと、
    前記外部絶縁体材料層のエッチング後、前記内部絶縁体材料層と共通の組成の絶縁体材料を堆積するステップと、
    前記内部絶縁体材料層と共通の組成の前記絶縁体材料を異方性エッチングして、前記アレイゲート材料および前記周辺回路ゲート材料の周囲に絶縁側壁スペーサーを形成するステップと、
    を含む方法。
  7. トランジスタゲートアレイと前記ゲートアレイの周辺回路とを含む集積回路を形成する方法であって、
    基板の半導電性材料の上にマスキング材料を形成するステップであって、前記マスキング材料は、前記基板の上方を覆う内部絶縁体材料層と、該内部絶縁体材料層の上方を覆う外部絶縁体材料層を含み、前記外部絶縁体材料層は前記内部絶縁体材料層に対して選択的にエッチング可能である、ステップと、
    前記マスキング材料を貫通し且つ前記半導電性材料中へと延びるアレイ回路トレンチを形成するステップと、
    前記マスキング材料中の前記アレイ回路トレンチ内と、前記半導電性材料中の前記アレイ回路トレンチ内に、アレイゲート材料を堆積するステップと、
    前記アレイゲート材料を貫通し且つ前記マスキング材料を貫通し且つ前記半導電性材料中へと延びる周辺回路トレンチを形成するステップと、
    前記アレイゲート材料内および前記マスキング材料内の前記周辺回路トレンチ内に周辺回路ゲート材料を堆積するステップと、
    前記マスキング材料に対して前記アレイゲート材料の一部を選択的に除去して、残されたアレイゲート材料の上面が前記マスキング材料の上面よりも低くなるように、前記残されたアレイゲート材料を前記マスキング材料中の前記アレイ回路トレンチ内に埋め込み、かつ、前記マスキング材料に対して前記周辺回路ゲート材料の一部を選択的に除去して、残された周辺回路ゲート材料の上面が前記マスキング材料の上面よりも低くなるように、前記残された周辺回路ゲート材料を前記マスキング材料中の前記周辺回路トレンチ内に埋め込むステップと、
    前記マスキング材料中の前記アレイ回路トレンチ内の前記埋め込まれたアレイゲート材料と、前記マスキング材料中の前記周辺回路トレンチ内の前記埋め込まれた周辺回路ゲート材料とを、前記内部絶縁体材料層と共通の組成の絶縁体材料でキャッピングするステップと、
    前記内部絶縁体材料層と、前記埋め込まれたアレイゲート材料及び前記埋め込まれた周辺回路ゲート材料の上に受容された前記キャッピング絶縁体材料とに対して、選択的に前記外部絶縁体材料層をエッチングするステップと、
    前記外部絶縁体材料層のエッチング後、前記内部絶縁体材料層と共通の組成の絶縁体材料を堆積するステップと、
    前記内部絶縁体材料層と共通の組成の前記絶縁体材料を異方性エッチングして、前記アレイゲート材料および前記周辺回路ゲート材料の周囲に絶縁側壁スペーサーを形成するステップと、
    を含む方法。
  8. 電界効果トランジスタゲートを形成する方法であって、
    基板の半導電性材料の上にマスキング材料を形成するステップであって、前記基板がトレンチ分離領域を含み、前記マスキング材料は、前記基板の上方を覆う内部絶縁体材料層と、該内部絶縁体材料層の上方を覆う外部絶縁体材料層を含み、前記外部絶縁体材料層は前記内部絶縁体材料層に対して選択的にエッチング可能である、ステップと、
    記マスキング材料を貫通し且つ前記半導電性材料中へと延びる第一のトレンチを形成し、かつ、それと同時に、前記トレンチ分離領域上の前記マスキング材料を貫通し且つ前記トレンチ分離領域中へと延びる第二の接地絶縁ゲートトレンチを形成するステップと、
    記第一のトレンチ内にゲート材料を堆積し、かつ、それと同時に、前記第二のトレンチ内にゲート材料を堆積するステップと、
    前記マスキング材料に対して前記ゲート材料の一部を選択的に除去して、残されたゲート材料の上面が前記マスキング材料の上面よりも低くなるように、前記残されたゲート材料を前記マスキング材料中の前記第一及び第二のトレンチ内に埋め込むステップと
    前記マスキング材料中の前記第一のトレンチ内の前記埋め込まれたゲート材料と、前記マスキング材料中の前記第二のトレンチ内の前記埋め込まれたゲート材料とを、前記内部絶縁体材料層と共通の組成の絶縁体材料でキャッピングするステップと、
    前記内部絶縁体材料層と、前記埋め込まれたゲート材料の上に受容された前記キャッピング絶縁体材料とに対して、選択的に前記外部絶縁体材料層をエッチングするステップと、
    前記外部絶縁体材料層のエッチング後、前記内部絶縁体材料層と共通の組成の絶縁体材料を堆積するステップと、
    前記内部絶縁体材料層と共通の組成の前記絶縁体材料を異方性エッチングして、前記ゲート材料の周囲に絶縁側壁スペーサーを形成するステップと、
    を含む方法。
  9. 第一のゲートと第二の接地絶縁ゲートとを含むトランジスタゲートアレイを含む集積回路を形成する方法であって、
    基板の半導電性材料の上にマスキング材料を形成するステップであって、前記基板がトレンチ分離領域を含み、前記マスキング材料は、前記基板の上方を覆う内部絶縁体材料層と、該内部絶縁体材料層の上方を覆う外部絶縁体材料層を含み、前記外部絶縁体材料層は前記内部絶縁体材料層に対して選択的にエッチング可能である、ステップと、
    前記第一のゲート用に、前記マスキング材料を貫通し且つ前記半導電性材料中へと延びる第一のトレンチを形成するステップと、
    前記第二の接地絶縁ゲート用に、前記トレンチ分離領域上の前記マスキング材料を貫通し且つ前記トレンチ分離領域中へと延びる第二の接地絶縁ゲートトレンチを形成するステップと、
    前記第一および第二のトレンチ内にゲート材料を堆積するステップと、
    前記マスキング材料に対して前記ゲート材料の一部を選択的に除去して、残されたゲート材料の上面が前記マスキング材料の上面よりも低くなるように、前記残されたゲート材料を前記マスキング材料中の前記第一及び第二のトレンチ内に埋め込むステップと、
    前記マスキング材料中の前記第一のトレンチ内の前記埋め込まれたゲート材料と、前記マスキング材料中の前記第二のトレンチ内の前記埋め込まれたゲート材料とを、前記内部絶縁体材料層と共通の組成の絶縁体材料でキャッピングするステップと、
    前記内部絶縁体材料層と、前記埋め込まれたゲート材料の上に受容された前記キャッピング絶縁体材料とに対して、選択的に前記外部絶縁体材料層をエッチングするステップと、
    前記外部絶縁体材料層のエッチング後、前記内部絶縁体材料層と共通の組成の絶縁体材料を堆積するステップと、
    前記内部絶縁体材料層と共通の組成の前記絶縁体材料を異方性エッチングして、前記ゲート材料の周囲に絶縁側壁スペーサーを形成するステップと、
    を含む方法。
JP2008553261A 2006-02-02 2007-01-23 電界効果トランジスタを形成する方法、およびトランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路を形成する方法 Active JP5163959B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/346,914 US7700441B2 (en) 2006-02-02 2006-02-02 Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US11/346,914 2006-02-02
PCT/US2007/001953 WO2007111771A2 (en) 2006-02-02 2007-01-23 Method of forming field effect transistors and methods of forming integrated circuity comprising a transistor gate array and circuity peripheral to the gate array

Publications (2)

Publication Number Publication Date
JP2009525612A JP2009525612A (ja) 2009-07-09
JP5163959B2 true JP5163959B2 (ja) 2013-03-13

Family

ID=38093430

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008553261A Active JP5163959B2 (ja) 2006-02-02 2007-01-23 電界効果トランジスタを形成する方法、およびトランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路を形成する方法

Country Status (8)

Country Link
US (3) US7700441B2 (ja)
EP (1) EP1979937B1 (ja)
JP (1) JP5163959B2 (ja)
KR (1) KR101010475B1 (ja)
CN (2) CN102013412B (ja)
SG (2) SG177967A1 (ja)
TW (1) TWI385734B (ja)
WO (1) WO2007111771A2 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5628471B2 (ja) * 2007-12-10 2014-11-19 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及び半導体装置の製造方法
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices
KR101129922B1 (ko) * 2010-07-15 2012-03-23 주식회사 하이닉스반도체 반도체 소자 및 그 형성방법
KR101205118B1 (ko) * 2011-03-11 2012-11-26 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9673102B2 (en) 2011-04-01 2017-06-06 Micron Technology, Inc. Methods of forming vertical field-effect transistor with self-aligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby
KR20130064290A (ko) * 2011-12-08 2013-06-18 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
JP6299102B2 (ja) * 2012-08-07 2018-03-28 株式会社デンソー 炭化珪素半導体装置およびその製造方法
CN109427808B (zh) * 2017-08-30 2021-04-02 旺宏电子股份有限公司 半导体存储元件及其制造方法

Family Cites Families (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
DE3570557D1 (en) * 1984-11-27 1989-06-29 American Telephone & Telegraph Trench transistor
GB2190789B (en) 1986-04-17 1990-05-09 Plessey Co Plc System for optically coupling components of integrated circuits
US4722910A (en) 1986-05-27 1988-02-02 Analog Devices, Inc. Partially self-aligned metal contact process
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
FR2625044B1 (fr) * 1987-12-18 1990-08-31 Commissariat Energie Atomique Transistor mos a extremite d'interface dielectrique de grille/substrat relevee et procede de fabrication de ce transistor
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
US4931409A (en) 1988-01-30 1990-06-05 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having trench isolation
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
US5108938A (en) 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5244824A (en) 1990-09-05 1993-09-14 Motorola, Inc. Trench capacitor and transistor structure and method for making the same
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5289030A (en) 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
WO1994027325A1 (en) 1993-05-07 1994-11-24 Vlsi Technology, Inc. Integrated circuit structure and method
JPH0778977A (ja) * 1993-09-09 1995-03-20 Toshiba Corp 半導体装置
KR0141218B1 (ko) 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
US5964750A (en) 1994-03-15 1999-10-12 Medolas Gesellschaft Fuer Medizintechnik Gmbh Laser catheter for bypass surgery
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
US5567634A (en) * 1995-05-01 1996-10-22 National Semiconductor Corporation Method of fabricating self-aligned contact trench DMOS transistors
DE19519160C1 (de) 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19524092C2 (de) 1995-07-01 1997-08-07 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Komprimieren und Anzeigen digitaler Daten, insbesondere der Herzfrequenz von Kardiotokographen
JPH0982918A (ja) 1995-09-19 1997-03-28 Toshiba Corp 半導体記憶装置およびその製造方法
KR0179175B1 (ko) 1995-10-05 1999-03-20 문정환 반도체 메모리 장치 및 제조방법
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
JP2751909B2 (ja) * 1996-02-26 1998-05-18 日本電気株式会社 半導体装置の製造方法
US6090700A (en) 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
JP3219677B2 (ja) 1996-03-28 2001-10-15 三洋電機株式会社 ロッド選別システム
UA41477C2 (uk) 1996-05-21 2001-09-17 Сіменс Акцієнгезельшафт Багатошаровий конденсатор тонкошарової конструкції і спосіб його виготовлення
JPH1022476A (ja) 1996-07-02 1998-01-23 Sony Corp 容量素子
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW308727B (en) 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
TW304290B (en) 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (ja) 1996-11-06 2008-03-05 富士通株式会社 半導体装置及びその製造方法
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
KR19980064176A (ko) 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) * 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
DE19801095B4 (de) 1998-01-14 2007-12-13 Infineon Technologies Ag Leistungs-MOSFET
US6246083B1 (en) 1998-02-24 2001-06-12 Micron Technology, Inc. Vertical gain cell and array for a dynamic random access memory
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US5972754A (en) * 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
KR100304717B1 (ko) 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
JP4322330B2 (ja) 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
DE19845003C1 (de) 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6120952A (en) 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6114205A (en) 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP2000208762A (ja) * 1999-01-13 2000-07-28 Sony Corp 絶縁ゲ―ト電界効果トランジスタおよびその製造方法
US6383861B1 (en) 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) * 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
JP2001024161A (ja) 1999-04-30 2001-01-26 Sony Corp 半導体メモリセル
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
US6306755B1 (en) 1999-05-14 2001-10-23 Koninklijke Philips Electronics N.V. (Kpenv) Method for endpoint detection during dry etch of submicron features in a semiconductor device
WO2000070622A1 (fr) 1999-05-14 2000-11-23 Hitachi, Ltd. Circuit de memorisation
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6214670B1 (en) * 1999-07-22 2001-04-10 Taiwan Semiconductor Manufacturing Company Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6461915B1 (en) 1999-09-01 2002-10-08 Micron Technology, Inc. Method and structure for an improved floating gate memory cell
US6403442B1 (en) 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
DE19943760C1 (de) 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) * 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
US6306775B1 (en) * 2000-06-21 2001-10-23 Micron Technology, Inc. Methods of selectively etching polysilicon relative to at least one of deposited oxide, thermally grown oxide and nitride, and methods of selectively etching polysilicon relative to BPSG
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
DE10036725C2 (de) 2000-07-27 2002-11-28 Infineon Technologies Ag Verfahren zur Herstellung einer porösen Isolierschicht mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat
DE10038728A1 (de) 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
AU2001286895A1 (en) 2000-08-29 2002-03-13 Boise State University Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
JP2002151654A (ja) 2000-11-10 2002-05-24 Sharp Corp 誘電体キャパシタ素子及びその製造方法
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
GB0029315D0 (en) 2000-12-01 2001-01-17 Koninkl Philips Electronics Nv Method of increasing the conductivity of a transparent conductive layer
US6621112B2 (en) 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
JP4635333B2 (ja) 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
KR100360414B1 (ko) 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
KR100388682B1 (ko) 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
EP1253634A3 (en) 2001-04-26 2005-08-31 Kabushiki Kaisha Toshiba Semiconductor device
US6498062B2 (en) * 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6624486B2 (en) 2001-05-23 2003-09-23 International Business Machines Corporation Method for low topography semiconductor device formation
DE10125967C1 (de) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (ja) 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) * 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100431656B1 (ko) 2001-09-11 2004-05-17 삼성전자주식회사 반도체 장치의 제조 방법
JP2003092367A (ja) 2001-09-19 2003-03-28 Oki Electric Ind Co Ltd 半導体素子の製造方法
US6825093B2 (en) 2001-09-28 2004-11-30 Infineon Technologies Ag Process window enhancement for deep trench spacer conservation
KR100400323B1 (ko) * 2001-11-01 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 시모스(cmos) 및 그의 제조 방법
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6724028B2 (en) 2001-12-10 2004-04-20 Hans Gude Gudesen Matrix-addressable array of integrated transistor/memory structures
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
DE10208249B4 (de) 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
DE10226583B4 (de) 2002-06-14 2010-07-08 Qimonda Ag DRAM-Speicherzelle für schnellen Schreib-/Lesezugriff und Speicherzellenfeld
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
JP3934507B2 (ja) 2002-08-08 2007-06-20 株式会社東芝 半導体記憶装置および半導体記憶装置の製造方法
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
US7033891B2 (en) 2002-10-03 2006-04-25 Fairchild Semiconductor Corporation Trench gate laterally diffused MOSFET devices and methods for making such devices
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
TW588413B (en) * 2002-11-07 2004-05-21 Winbond Electronics Corp Manufacturing method and device of memory with different depths of isolation trench
KR100481867B1 (ko) 2002-11-11 2005-04-11 삼성전자주식회사 강유전체 커패시터 및 그 제조 방법
US6645818B1 (en) 2002-11-13 2003-11-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal gate for N- and P-FETs
US7250650B2 (en) 2002-11-21 2007-07-31 Infineon Technologies Ag Field-effect transistor structure and associated semiconductor memory cell
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
KR20040061967A (ko) 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
TW578274B (en) 2003-01-17 2004-03-01 Nanya Technology Corp Vertical flash memory cell with tip-shape floating gate and method therefor
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2004247656A (ja) 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置及びその製造方法
US6956256B2 (en) 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
KR100554163B1 (ko) * 2003-03-29 2006-02-22 한국전자통신연구원 수신된 광신호의 소광비 특성을 고려한 버스트 모드 광수신장치
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
FR2853319B1 (fr) * 2003-04-03 2005-05-06 Rhodia Chimie Sa Composition reticulable pour electrolyte de batterie
US6720232B1 (en) 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) * 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
KR100568854B1 (ko) * 2003-06-17 2006-04-10 삼성전자주식회사 반도체 메모리에서의 리세스 채널을 갖는 트랜지스터 형성방법
US7105406B2 (en) 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
KR100511045B1 (ko) 2003-07-14 2005-08-30 삼성전자주식회사 리세스된 게이트 전극을 갖는 반도체 소자의 집적방법
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
US6784069B1 (en) 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
JP2005093808A (ja) 2003-09-18 2005-04-07 Fujio Masuoka メモリセルユニット、それを備えてなる不揮発性半導体記憶装置及びメモリセルアレイの駆動方法
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
KR100587669B1 (ko) * 2003-10-29 2006-06-08 삼성전자주식회사 반도체 장치에서의 저항 소자 형성방법.
JP2005142203A (ja) * 2003-11-04 2005-06-02 Elpida Memory Inc 半導体装置およびその製造方法
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
JP2005175090A (ja) 2003-12-09 2005-06-30 Toshiba Corp 半導体メモリ装置及びその製造方法
KR100518606B1 (ko) * 2003-12-19 2005-10-04 삼성전자주식회사 실리콘 기판과 식각 선택비가 큰 마스크층을 이용한리세스 채널 어레이 트랜지스터의 제조 방법
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
KR100540371B1 (ko) 2004-03-02 2006-01-11 이태복 고 내압용 반도체 소자 및 그 제조방법
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
KR100614240B1 (ko) 2004-06-10 2006-08-18 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7160788B2 (en) 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US20060108667A1 (en) 2004-11-22 2006-05-25 Macronix International Co., Ltd. Method for manufacturing a small pin on integrated circuits or other devices
US20060113588A1 (en) 2004-11-29 2006-06-01 Sillicon-Based Technology Corp. Self-aligned trench-type DMOS transistor structure and its manufacturing methods
KR100640616B1 (ko) 2004-12-21 2006-11-01 삼성전자주식회사 매몰 게이트 패턴을 포함하는 전계 효과 트랜지스터구조물 및 그것을 포함하는 반도체 소자의 제조방법
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7682401B2 (en) * 2005-04-11 2010-03-23 Boston Scientific Scimed, Inc. Ureteral stent
JP4541220B2 (ja) 2005-04-13 2010-09-08 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006352005A (ja) 2005-06-20 2006-12-28 Toshiba Corp 強誘電体記憶装置およびその製造方法
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
KR100843139B1 (ko) 2005-12-15 2008-07-02 삼성전자주식회사 오픈 비트 라인 구조를 갖는 멀티레벨 동적 메모리 장치 및그 구동 방법
US7495294B2 (en) 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7495946B2 (en) 2006-03-02 2009-02-24 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7349232B2 (en) 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
JP2008004738A (ja) 2006-06-22 2008-01-10 Elpida Memory Inc 半導体装置及びその製造方法
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7755132B2 (en) 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7494870B2 (en) 2007-01-12 2009-02-24 Sandisk Corporation Methods of forming NAND memory with virtual channel
US7732275B2 (en) 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
US7619311B2 (en) 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7684245B2 (en) 2007-10-30 2010-03-23 Atmel Corporation Non-volatile memory array architecture with joined word lines
KR101374323B1 (ko) 2008-01-07 2014-03-17 삼성전자주식회사 반도체 소자 및 그 제조방법
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices

Also Published As

Publication number Publication date
TWI385734B (zh) 2013-02-11
US20100173456A1 (en) 2010-07-08
US7902028B2 (en) 2011-03-08
JP2009525612A (ja) 2009-07-09
KR20080083202A (ko) 2008-09-16
WO2007111771A3 (en) 2007-12-21
US8389363B2 (en) 2013-03-05
WO2007111771A2 (en) 2007-10-04
CN102013412A (zh) 2011-04-13
CN101375381B (zh) 2012-09-12
US7700441B2 (en) 2010-04-20
CN101375381A (zh) 2009-02-25
US20070178641A1 (en) 2007-08-02
SG169367A1 (en) 2011-03-30
TW200737363A (en) 2007-10-01
EP1979937B1 (en) 2014-07-30
US20110124168A1 (en) 2011-05-26
EP1979937A2 (en) 2008-10-15
SG177967A1 (en) 2012-02-28
KR101010475B1 (ko) 2011-01-21
CN102013412B (zh) 2014-10-01

Similar Documents

Publication Publication Date Title
JP5163959B2 (ja) 電界効果トランジスタを形成する方法、およびトランジスタゲートアレイとゲートアレイ周辺回路を含む集積回路を形成する方法
US7148527B2 (en) Semiconductor devices with enlarged recessed gate electrodes
US7166514B2 (en) Semiconductor device and method of manufacturing the same
EP1334517B1 (en) Gate fabrication process for dram array and logic devices on same chip
US7491603B2 (en) Transistors of semiconductor device having channel region in a channel-portion hole and methods of forming the same
JP2008028357A (ja) 半導体素子及びその製造方法
US7700445B2 (en) Method for fabricating multiple FETs of different types
US6380088B1 (en) Method to form a recessed source drain on a trench side wall with a replacement gate technique
KR100404682B1 (ko) 플랫 셀 메모리 소자의 실리사이드막 제조방법
US6077761A (en) Method for fabricating a transistor gate with a T-like structure
US20080032466A1 (en) Method for Fabricating Semiconductor Device
KR100533394B1 (ko) 트랜지스터 제조 방법
KR0135690B1 (ko) 반도체소자의 콘택 제조방법
KR100713927B1 (ko) 반도체 소자의 제조방법
KR100486120B1 (ko) Mos 트랜지스터의 형성 방법
US7517755B2 (en) Method for fabricating semiconductor device
KR100506050B1 (ko) 반도체소자의 콘택 형성방법
KR100266028B1 (ko) 반도체장치 및 그 제조방법
KR100261867B1 (ko) 모스 트랜지스터의 게이트 전극 및 그 형성 방법
KR100314800B1 (ko) 반도체소자의박막트랜지스터제조방법
JP2007287791A (ja) 半導体装置及びその製造方法
KR20050118548A (ko) 셀프 얼라인드 리세스 채널 mosfet 제조 방법
KR20010046154A (ko) 포토레지스트 및 선택적 액상 증착법을 이용한반도체소자의 게이트 스페이서 형성방법
KR20030048893A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120416

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120416

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120507

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120507

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120911

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120911

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121106

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121205

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151228

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5163959

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250