CN103460372B - 具有改进的沟道堆栈的半导体结构及其制备方法 - Google Patents

具有改进的沟道堆栈的半导体结构及其制备方法 Download PDF

Info

Publication number
CN103460372B
CN103460372B CN201280017397.4A CN201280017397A CN103460372B CN 103460372 B CN103460372 B CN 103460372B CN 201280017397 A CN201280017397 A CN 201280017397A CN 103460372 B CN103460372 B CN 103460372B
Authority
CN
China
Prior art keywords
transistor element
layer
threshold voltage
pmos transistor
course
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280017397.4A
Other languages
English (en)
Other versions
CN103460372A (zh
Inventor
P·E·格雷戈里
L·希弗伦
P·拉纳德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Triple Fujitsu Semiconductor Co., Ltd.
Original Assignee
Triple Fujitsu Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Triple Fujitsu Semiconductor Co Ltd filed Critical Triple Fujitsu Semiconductor Co Ltd
Publication of CN103460372A publication Critical patent/CN103460372A/zh
Application granted granted Critical
Publication of CN103460372B publication Critical patent/CN103460372B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一种用于制造具有沟道堆栈的半导体结构的方法,包括在PMOS晶体管元件(116)和NMOS晶体管元件(106)的栅极下方形成屏蔽层(120、110);在屏蔽层上形成阈值电压控制层(122、112);并且在阈值控制层上形成外延沟道层(124)。PMOS晶体管元件和NMOS晶体管元件的外延沟道层的至少一部分被形成为公共的均厚层。PMOS晶体管元件(116)的屏蔽层(120)可包括锑作为掺杂材料,该掺杂材料可在形成外延沟道层之前或之后被插入到该结构中。

Description

具有改进的沟道堆栈的半导体结构及其制备方法
技术领域
本公开大致涉及半导体器件及制造工艺,并且更具体地涉及一种具有改进的沟道堆栈(channel stack)的半导体结构及其制造方法。
背景技术
通常在被掺杂以包含迁移电荷载体的半导体衬底上制造场效应晶体管。当作为活化过程的结果而被合并入半导体衬底晶格时,掺杂剂原子可以是电子供体或电子受体。一种活化的供体原子向材料转移弱结合的价电子,从而产生过量的负电荷载体。这些弱结合的电子可相对自由地在半导体衬底晶格中移动,便于在存在由栅极端子施加的电场的情况下传导。类似地,活化的受体产生被称为空穴的迁移正电荷载体。掺杂有供体杂质的半导体被称为n型,而那些掺杂有受体杂质的半导体被称为p型。与硅半导体衬底结合使用的常见n型供体原子包括砷、磷和锑。
相对于重要参数(例如阈值电压或沟道迁移率),用于栅极下方掺杂层的半导体衬底掺杂的掺杂注入或原位掺杂生长参数对于FET器件的最优性能来说是关键的。然而,注入工具的限制、所要求的热处理条件和材料或工艺上的变化能够容易导致掺杂材料从初始注入位置的不期望的扩散,降低了性能或甚至妨碍可靠的晶体管操作。使用共掺杂注入工艺时尤其如此,因为不同的掺杂剂类型具有不同的固态扩散常数和对工艺条件的不同响应。
成本经济的电子制造需要在纳米尺度是可靠的晶体管结构和制造工艺,并且不需要昂贵的或不可获得的工具或工艺控制条件。尽管难以平衡控制晶体管电气性能的各种可变因素,找到产生可接受的电气特性(如电荷载体迁移率和阈电压电平)的合适的晶体管掺杂结构和制造技术是这样的商业上有用的晶体管的关键方面。
发明内容
根据前面所述,本领域技术人员可以理解,需要一种技术来制造改进的晶体管器件,该器件通过在无掺杂的(本征)沟道层下方产生若干个精确掺杂层来提供阈值电压控制和改进的操作性能,无掺杂的沟道层可以外延生长在掺杂层上。这些掺杂层和/或本征沟道层可以被形成为延伸跨过多个晶体管的均厚层(blanket layer),并且可以随后通过浅沟槽隔离等来改变该均厚层,以将晶体管分离成块或单独元件。根据以下公开,提供了一种具有改进的沟道堆栈的掺杂半导体结构及其制造方法,该掺杂半导体结构基本上消除了或大大减少了与传统晶体管器件设计相关的缺点和问题。
根据本发明的一实施例,提供了一种用于制造具有沟道堆栈的半导体结构的方法,该方法包括在晶体管元件的栅极下方形成屏蔽层(screening layer),在晶体管元件的屏蔽层上方形成阈值电压控制层,并在晶体管元件的阈值控制层上形成外延沟道层。用于PMOS晶体管元件的屏蔽层包括锑作为掺杂材料,该掺杂材料可以在形成外延沟道层之前或之后被插入到所述结构中。如在说明书中更详细地公开地那样,所选择的单掺杂或共掺杂原子的浓度和类型、掺杂注入或原位生长条件,以及特定掺杂分布、退火曲线和晶体管结构都是被选择以维持比传统晶体管更可靠的器件。
本公开的实施例可以具有这些优点中的某些或全部,或者不包括这些优点。根据下方的附图、说明书和权利要求,对本领域技术人员来说,其他技术优点可以是显而易见的。
附图说明
为了更完整地理解本发明,参照结合附图做出的以下描述,其中相同的附图标记表示相同的部件,其中:
图1A到图1K示出了具有沟道堆栈的半导体结构的制造工艺,其使用均厚沟道和浅沟槽隔离的最后方法(last approach);
图2A至图2I示出了具有沟道堆栈的半导体结构的制造工艺,其使用均厚沟道和浅沟槽隔离的第一方法(first approach);
图3A至3I示出了具有沟道堆栈的半导体结构的制造工艺,其使用多个均厚外延层和浅沟槽隔离的最后方法;
图4示出了用于晶体管元件的屏蔽层中的砷和锑的垂直掺杂分布;
图5示出了砷和锑的Id-off和Id-on的比较图;
图6示出了在外延沟道层的不同掺杂浓度和各种厚度下的晶体管元件屏蔽层中锑的Id-off和Id-on的比较图;
图7示出了在沟道层外延生长之后注入的不同掺杂剂浓度下的晶体管元件屏蔽层中使用的锑的Id-off和Id-on的比较图;
图8示出了模拟的掺杂分布,其中锑和砷被注入以建立屏蔽层和阈值电压控制层;
图9示出了模拟的掺杂分布,其中锑和砷在各种退火温度下在外延沟道层形成之前被注入;
图10示出了类似图9的掺杂分布,其中退火温度是恒定900℃,但采用不同的退火时间;
图11示出了具有与图9相同的条件的模拟掺杂分布,但具有在800℃的退火温度下10keV的更高能量砷注入;
图12是类似图11的掺杂分布,但具有比锑注入能量更高的砷注入能量;
图13示出了模拟的掺杂分布,其中锑在沉积外延沟道层之前被注入,接着是在沉积外延沟道层之后进行第二次锑注入;
图14示出类似图13的掺杂分布,但第二次锑注入是在较低的能量下进行。
具体实施方式
多种方法可以用来构建具有沟道堆栈的晶体管元件,该沟道堆栈具有用于屏蔽栅极上的电荷的屏蔽层、用于调节晶体管元件的阈值电压的阈值电压控制层、以及用于高迁移率和减小的随机掺杂波动性能的本征沟道。每种方法具有不同的优点和缺点。通常,当在半导体管芯上构建晶体管元件时考虑两种折衷,即工艺中步骤的数量(涉及制造成本)和沟道形成(涉及晶体管性能)。较少的掩模步骤和需要用来构建一个设计的总步骤转化为更低的构建成本。在制造工艺的热循环中较晚形成沟道有利于控制沟道掺杂分布,以及避免不希望的污染物从晶体管设计的其它部分扩散进入该沟道。
图1A至1K示出了用于形成具有晶体管元件的结构100的均厚沟道和浅沟槽隔离的最后方法,该晶体管元件具有三层沟道堆栈以优化整体晶体管性能。在图1A中,该工艺开始,其中P+衬底101和形成在其上的P-硅外延层102用于结构100。最初的图案化是通过形成光刻胶掩模104和蚀刻移除光刻胶掩模104的预期部分以暴露用于第一晶体管元件(在此实例中为NMOS晶体管)的区域106来执行的。在图1B中,进行离子注入以形成p阱区108。进行另一离子注入,以形成屏蔽层110。进行另一离子注入,以形成阈值电压控制层112。可替代地,阈值电压控制层112可以通过从屏蔽层110的扩散而形成。
在图1C中,光刻胶层104被移除,并且新的光刻胶层114被图案化,以暴露用于第二晶体管元件的区域116,在此实例中第二晶体管元件为PMOS晶体管。在图1D中,进行离子注入以形成n阱区118。进行另一离子注入,以形成屏蔽层120。进行另一离子注入,以形成阈值电压控制层122。可替代地,阈值电压控制层122可以通过从屏蔽层120的扩散而形成。
在图1E中,光刻胶层114被移除,跨越PMOS晶体管116和NMOS晶体管106生长本征硅的外延层124。外延层124成为用于PMOS晶体管116和NMOS晶体管106中的每一个的沟道。在图1F中,用于从NMOS晶体管116隔离开PMOS晶体管106的初始步骤的执行是通过在外延层124上沉积垫氧化物(pad oxide)层126、在垫氧化物层126上沉积氮化物层128、以及将光刻胶掩模130图案化,以留下暴露区域132用于浅沟槽隔离区。
在图1G中,氮化物隔离层128、氧化物垫层126、外延层124、阈值电压控制层112和122、屏蔽层110和120、n阱区118、p阱区108及硅外延层102和衬底101的部分在区域132中被刻蚀掉,以留下沟槽。在图1H中,光刻胶掩模130被除去,并且使衬里(liner)134生长在结构100上并且到该沟槽中。
在图1I中,沟槽被填充有氧化物,以建立浅沟槽隔离区136。执行回流退火,以使结构100中的空隙最小化,并且执行固化退火,以便使结构100致密化和硬化并在其中产生所需的应力。然后执行平面化工艺,以降低到氮化物隔离层128。在图1J中,氮化物隔离层128和垫氧化物层126被蚀刻掉。在图1K中,使用具有侧墙142的常规的栅叠堆栈138和140形成、源极/漏极形成(144、146、148和150)以及硅化物形成152,来完成PMOS晶体管116和NMOS晶体管106。
图2A至图2I示出了用于形成具有晶体管元件的结构200的均厚沟道和浅沟槽隔离的第一方法,该晶体管元件具有三层沟道堆栈,以优化整体晶体管性能。在图2A中,该工艺开始,其中P+衬底201和形成在其上的P-硅外延层202用于结构200。用于隔离晶体管元件的最初步骤是通过在结构200上沉积垫氧化物层226、在垫氧化物层226上沉积氮化物层228、并使光刻胶掩模230图案化,以便留下用于浅沟槽隔离区的暴露区域232来执行的。氮化物隔离层228、氧化物垫层226、硅外延层202和衬底201的部分在区域232中被蚀刻掉,以留下沟槽。在图2B中,光刻胶掩模230被除去,并且使衬里234生长在结构200上并且到该沟槽中。
在图2C中,沟槽被填充有氧化物,以建立浅沟槽隔离区236。执行回流退火,以使结构200中的空隙最小化,并且执行固化退火,以便使结构200致密化和硬化,并在其中产生所需的应力。然后执行平面化工艺,以降低到氮化物隔离层228。在图2D中,氮化物隔离层228和垫氧化物层226被蚀刻掉。最初的图案化是通过形成光刻胶掩模204和蚀刻移除光刻胶掩模204的预期部分,以暴露用于第一晶体管元件的区域206,在此实例中第一晶体管元件为NMOS晶体管。
在图2E中,执行离子注入以形成p阱区208。执行另一离子注入,以形成屏蔽层210。执行另一离子注入,以形成阈值电压控制层212。可替代地,阈值电压控制层212可以通过从屏蔽层210的扩散而形成。
在图2F中,光刻胶层204被移除,并且新的光刻胶层214被图案化,从而暴露用于第二晶体管元件的区域216,在此实例中第二晶体管元件为PMOS晶体管。在图2G中,执行离子注入以形成n阱区218。执行另一离子注入,以形成屏蔽层220。执行另一离子注入,以形成阈值电压控制层222。可替代地,阈值电压控制层222可以通过从屏蔽层220的扩散而形成。
在图2H中,光刻胶层214被移除,并且使本征硅的外延层224跨越PMOS晶体管216和NMOS晶体管206生长。接着移除形成在浅沟槽隔离区域236上的那部分外延层224。可替代地,可使单独的外延层224分别生长以用于PMOS晶体管216和NMOS晶体管206。以此方式,不同厚度的外延层224可以形成在不同的晶体管元件之间。另外,可以任选地执行跨越所有晶体管元件的具有一个厚度的均厚外延沟道生长(具有浅沟槽隔离区域236上的移除)的组合,接着执行仅针对那些被期望为具有比结构200中其它晶体管元件更厚的外延层224的晶体管元件的选择性的对外延层224的附加生长,以形成在它们的各自外延层224具有不同厚度的晶体管元件。作为一个例子,特定的晶体管元件可以使其沟道层以25nm的外延生长开始,以便在制造工艺之后以10nm厚度的沟道层结束。另一晶体管元件可以使其沟道层开始于更大厚度的外延生长,以便在制造工艺完成之后获得更大的最终厚度。
在图2I中,使用具有侧墙242的常规的栅极堆栈238和240形成、源极/漏极形成(244、246、248和250)以及硅化物形成252来完成PMOS晶体管216和NMOS晶体管206。
图3A至图3I示出了用于形成具有晶体管元件的结构300的多个均厚外延层和浅沟槽隔离的最后方法,该晶体管元件具有三层沟道堆栈,以优化整体晶体管性能。该工艺开始于图3A,其中P+衬底301和形成在其上的P-硅外延层302用于结构300。最初的图案化是通过形成光刻胶掩模304和蚀刻移除光刻胶掩模304的预期部分从而暴露用于第一晶体管元件的区域306来执行的,在此实例中第一晶体管元件为NMOS晶体管。可选地,均厚屏蔽层(未示出)可以是在光刻胶掩模304的图案化之前外延生长或沉积在结构300上。在图3B中,执行离子注入以形成p阱区308。执行另一离子注入,以在p阱区308中或在可选的均厚外延层中与NMOS晶体管元件306相关联的那部分中形成屏蔽层310。
在图3C中,光刻胶层304被移除,并且新的光刻胶层314被图案化,从而暴露用于第二晶体管元件的区域316,在此实例中第二晶体管元件为PMOS晶体管。在图3D中,执行离子注入以形成n阱区318。执行另一离子注入,以在n阱区318中或在可选的均厚外延层中与PMOS晶体管元件316相关联的那部分中形成屏蔽层320。
在图3E中,光刻胶层314被移除,使本征硅的外延层323跨越PMOS晶体管316和NMOS晶体管306生长。外延层323将变成分别用于PMOS晶体管316和NMOS晶体管306中的每个的单独的阈值电压控制层322和312。新的光刻胶层305被图案化,以暴露NMOS晶体管306。在图3F中,使外延层323的暴露部分受到离子注入,以形成用于NMOS晶体管306的阈值电压控制层312。
在图3G中,光刻胶层305被移除,并且新的光刻胶层325被图案化,从而暴露PMOS晶体管元件316。在图3H中,使外延层323的暴露部分受到离子注入,以形成用于PMOS晶体管316的阈值电压控制层322。
在图3I中,光刻胶层325被移除,使本征硅的外延层324跨越PMOS晶体管116和NMOS晶体管106生长。外延层324变成用于PMOS晶体管316和NMOS晶体管306中的每个的沟道。可以如关于图1F至1K的上文所示和描述的那样,来执行隔离和进一步处理。
尽管未示出,可以类似于关于图2A至2D的上文所示和描述的那样,来在P+衬底301和P-硅外延层302上实施浅沟槽隔离第一工艺。接着,可以如上文所述那样形成均厚外延层,以便随后建立屏蔽层、阈值电压控制层、以及用于PMOS晶体管元件116和NMOS晶体管元件106的沟道层。需要额外的步骤来除去形成于隔离区上的任何外延层。
在上述每个工艺中,可以以不同的方式来执行屏蔽层和阈值电压控制层的形成。可以通过到p阱区中的离子注入、通过掺杂材料原位沉积或生长、或通过本征硅外延生长接着进行离子注入,来形成屏蔽层。可以通过掺杂材料原位沉积或生长、或通过本征硅外延生长接着进行离子注入,来形成阈值电压控制层。通过本征硅外延生长来形成沟道层。
在各制造工艺中被用于PMOS晶体管元件的屏蔽层的材料可包括砷、磷和/或锑。当将砷用于PMOS晶体管元件时,砷的离子注入在沟道层的外延生长之前进行(并且也在执行该工艺步骤的阈值电压控制层的外延生长之前进行)。为了防止屏蔽层材料的扩散,可以使用具有较低扩散特性的材料。对于PMOS晶体管元件,在制造工艺的热循环中,锑的扩散小于砷。使用锑解决了屏蔽层中的材料扩散到外延沟道层中的问题。
图4示出了砷和锑的垂直掺杂分布700。由于锑具有比砷更低的扩散,在相同的掺杂能量和掺杂剂浓度下,与砷相比,锑的屏蔽掺杂分布更尖锐。针对相同厚度的外延沟道层,锑的这一更尖锐的掺杂分布导致比在砷作为屏蔽注入物的情况下将实现的漏电流更高的漏电流(Id-off)。图5示出了针对砷和锑的Id-off和Id-on的比较图800。砷提供了比锑更小的漏电流。针对锑的漏电流在较高注入能量下变得更差。然而,漏电流的改进是通过将砷加入锑注入物中而实现的。
能够减小针对锑的漏电流的另一种方式是减小厚度,或者与NMOS晶体管元件相比,PMOS晶体管元件的外延沟道层具有更小的厚度。图6示出了在不同掺杂浓度和不同厚度的外延沟道层下针对锑的Id-off和Id-on的比较图900。通常,当外延沟道层的厚度从最薄变到最厚,使用锑注入物的漏电流从相对较低的水平增加到相对较高水平。因此,外延沟道层厚度的减小导致使用锑屏蔽注入物的晶体管元件的漏电流的减小。
尽管外延沟道层的厚度减少是可实现的,但是实施到制造过程中是成本很高的。虽然上文已经讨论了提供得到不同外延沟道层厚度的能力的技术,这样的技术仍然导致制造过程中执行的附加步骤。一种为了针对锑屏蔽注入物来避免减小外延沟道层厚度的技术是在用于PMOS晶体管元件的外延沟道层生长之后注入锑屏蔽。与砷相比,锑的减少的蔓延和扩散,使得能够在epi技术之后使用这种注入,实现可接受的掺杂分布。通过在沟道层的外延生长之前,注入或以其它方式形成用于NMOS晶体管元件的屏蔽层,通过本征硅外延生长形成所述沟道层,然后将PMOS晶体管元件的屏蔽层注入穿过外延沟道层,能够将该技术集成到全CMOS工艺和上述的多种工艺中。图7示出了针对沟道层外延生长之后注入的不同掺杂浓度下的锑的Id-off和Id-on的比较图1000。正如可以看到的,相比于外延沟道形成之前注入的砷,漏电流的减小是通过该工艺获得的。当锑在外延沟道层形成后被注入时,在足够高的注入能量的情况下,锑的峰值可以位于外延沟道层表面下方10至30nm处。当使用2e13原子/cm2的掺杂浓度或比具有较高掺杂浓度的穿过外延沟道层注入的锑更低的掺杂浓度时,能够得到更好的结果。
另一种替代的工艺是使用利用锑和较快扩散n型掺杂物(例如砷)的双注入,这二者在外延沟道层的沉积或其它形成之前完成。相比于仅用锑,将砷扩散到阈值电压控制层中将增加阈值电压并减小漏电流。砷的注入能量通常是等于或小于锑的注入能量。砷的掺杂浓度可以被选择,以使得掺杂分布峰值浓度等于或小于锑掺杂浓度的掺杂分布峰值浓度。尽管被公开为锑屏蔽层和砷阈值电压控制层,可以期望的是具有砷屏蔽层和锑阈值电压控制层。
在锑注入之后执行退火步骤以改善锑掺杂剂的活化也可以是很有用的。该退火步骤通常为950℃至1050℃的范围,其持续时间从几毫秒到几秒钟。在形成外延沟道层之前,在砷注入之后执行退火步骤也可以是很有用的。该退火步骤通常为800℃至1000℃的范围,其持续时间从几毫秒到几秒钟。
图8示出了模拟的掺杂分布,其中锑在20keV的能量下被注入,掺杂浓度为1.5e13原子/cm2,砷在1keV的能量下被注入,掺杂浓度为5e12原子/cm2,并且在800℃的温度下执行退火,持续时间是一秒。虚线示出了组合的砷-锑注入。图9示出了模拟的掺杂分布,其中锑在10keV的能量下被注入,掺杂浓度为1.5e13原子/cm2,从而形成屏蔽层,砷在4keV的能量下被注入,掺杂浓度为5e12原子/cm2,从而形成阈值电压控制层,并且在800℃至1000℃的温度下恒定退火时间是一秒。图10示出了类似的掺杂分布,其中退火温度是恒定的900℃,但采用不同的退火时间。图11示出了除了具有在800℃的退火温度下更高能量的砷注入能量10keV之外,具有与图9相同的条件模拟的掺杂分布。图12是类似的掺杂分布,除了具有20keV的砷注入能量,高于10keV的锑注入能量。虚线示出了组合注入的分布。
锑的分布基本上不会由退火改变。砷退火具有减少了进入后续形成的外延沟道层中的砷扩散的效果。较高的退火温度和较长的退火持续时间对于抑制砷扩散到外延沟道层中是更有效的,而较低的退火温度和较短的退火持续时间允许更多的扩散。因此,通过控制砷的扩散,退火温度和时间可以用来设置阈值电压。该砷退火步骤可结合锑退火步骤来完成或作为单个退火而没有锑退火来完成。该外延沟道层可以在退火后随后被沉积。
另一种替代的工艺是在外延沟道层形成之前用锑注入或以其它方式形成屏蔽层,然后在外延沟道层形成后注入锑。执行该工艺来调整PMOS晶体管元件的阈值电压。图13示出了模拟的掺杂分布,其中在沉积外延沟道层之前,在1.5e13原子/cm2的掺杂浓度下以20keV的能量注入锑,接着在沉积20nm的外延沟道层之后,在1.0e13原子/cm2的掺杂浓度下以30keV的能量注入锑。图14示出了类似的掺杂分布,除了具有在20keV的能量下的第二次锑注入之外。也可以在外延沟道层形成后注入砷,而不是锑,尽管对于该技术,锑的尖锐掺杂分布是更好的。
图8至图14中使用的20nm的外延厚度是用于说明的目的。如在特定情况下设备性能所需要的那样,外延层的厚度可以大于或小于20nm。
尽管已经参照特定实施例详细描述了本公开,但应当理解的是,可以进行各种其他改变、替代和变更,而不脱离本发明的精神和所附权利要求的范围。例如,尽管未示出,分接到晶体管元件的阱区的主体分接头可以被形成,以提供进一步的阈值电压控制。虽然本公开包括参照特定工艺排序的描述,也可以遵循其他工艺排序,而且可执行其它附带的工艺步骤,以实现这里所讨论的最终结果。此外,一组附图中所示的工艺步骤也可以根据需要被并入到另一组附图中。
本领域技术人员可以发现许多其它改变、替代、变化、变更和修改,并且本公开旨在包括如落在所附权利要求的精神和范围内的所有这些改变、替换、变化、变更和修改。此外,本公开并不旨在以任何方式受限于说明书中的未否则被反映在所附权利要求中的任何表述。

Claims (17)

1.一种用于制造具有沟道堆栈的半导体结构的方法,包括:
在PMOS晶体管元件的栅极下方形成用于屏蔽所述栅极上的电荷的屏蔽层;
在所述PMOS晶体管元件的所述屏蔽层上方形成包含锑的阈值电压控制层;
在所述PMOS晶体管元件的所述屏蔽层上方形成所述阈值电压控制层之后,在所述PMOS晶体管元件的所述阈值电压控制层上形成外延沟道层;
在NMOS晶体管元件的栅极下方形成用于屏蔽所述栅极上的电荷的屏蔽层;
在所述NMOS晶体管元件的所述屏蔽层上形成阈值电压控制层;
在所述NMOS晶体管元件的所述屏蔽层上形成所述阈值电压控制层之后,在所述NMOS晶体管元件的所述阈值电压控制层上形成外延沟道层;并且
其中,所述PMOS晶体管元件的所述外延沟道层具有与所述NMOS晶体管元件的所述外延沟道层不同的厚度,其中所述厚度根据相应的栅极与阈值电压控制层之间的距离来测量。
2.如权利要求1所述的方法,其中,形成所述PMOS晶体管元件的所述阈值电压控制层包括从所述PMOS晶体管元件的所述屏蔽层扩散锑。
3.如权利要求1所述的方法,其中,形成所述PMOS晶体管元件的所述屏蔽层包括注入砷和锑两者。
4.如权利要求1所述的方法,其中,所述PMOS晶体管元件和所述NMOS晶体管元件的至少其中之一的所述阈值电压控制层和所述外延沟道层是在没有离子注入的情况下形成的。
5.如权利要求1所述的方法,还包括:
在形成所述PMOS晶体管元件和所述NMOS晶体管元件的所述外延沟道层之后,形成分离所述PMOS晶体管元件和所述NMOS晶体管元件的隔离区。
6.一种具有沟道堆栈的半导体结构,包括:
PMOS晶体管元件,其具有在栅极下方的用于屏蔽所述栅极上的电荷的屏蔽层、在所述屏蔽层上方的含有锑的阈值电压控制层、以及在所述阈值电压控制层上的外延沟道层;
NMOS晶体管元件,其具有在栅极下方的用于屏蔽所述栅极上的电荷的屏蔽层、在所述屏蔽层上的阈值电压控制层、以及在所述阈值电压控制层上的外延沟道层;
其中,所述PMOS晶体管元件的所述外延沟道层具有与所述NMOS晶体管元件的所述外延沟道层不同的厚度,其中所述厚度根据相应的栅极与阈值电压控制层之间的距离来测量;
其中,所述PMOS晶体管元件和所述NMOS晶体管元件的所述外延沟道层的至少一部分是公共的均厚层。
7.如权利要求6所述的半导体结构,其中,所述PMOS晶体管元件的所述阈值电压控制层是通过穿过其外延沟道层的离子注入、在所述屏蔽层上的锑注入和/或从所述屏蔽层的锑扩散中的一种或多种而形成的。
8.如权利要求6所述的半导体结构,还包括:
分离所述PMOS晶体管元件和所述NMOS晶体管元件的隔离区,所述隔离区形成于所述PMOS晶体管元件和所述NMOS晶体管元件的所述外延沟道层之后。
9.如权利要求6所述的半导体结构,其中,所述PMOS晶体管元件的所述屏蔽层和所述阈值电压控制层的至少其中之一包含砷。
10.如权利要求6所述的半导体结构,还包括:
耦接到所述PMOS晶体管元件和所述NMOS晶体管元件的至少其中之一的阱区的主体分接头以提供进一步的阈值电压控制。
11.一种具有沟道堆栈的半导体结构,包括:
PMOS晶体管元件,其具有,
高掺杂的用于屏蔽栅极上的电荷的屏蔽层,所述屏蔽层具有第一峰值掺杂浓度,所述屏蔽层被注入在衬底中、在所述栅极之下的大于10纳米的深度处;
阈值电压控制层,其包括防扩散锑掺杂剂,所述阈值电压控制层是通过从所述屏蔽层的扩散以及直接注入中的至少一种而形成的,其中,所述阈值电压控制层具有比所述高掺杂屏蔽层的所述第一峰值掺杂浓度小的第二峰值掺杂浓度;
外延沟道层,形成在所述阈值电压控制层上;以及
NMOS晶体管元件,其具有形成在栅极下方的用于屏蔽所述栅极上的电荷的高掺杂的屏蔽层、形成所述屏蔽层上的阈值电压控制层、以及形成在所述阈值电压控制层上的外延沟道层;
其中所述PMOS晶体管元件与所述NMOS晶体管元件的所述外延沟道层的一部分具有不同的厚度,其中所述厚度根据相应的栅极与阈值电压控制层之间的距离来测量。
12.如权利要求11所述的半导体结构,其中,所述PMOS晶体管元件和所述NMOS晶体管元件的所述外延沟道层的至少一部分被形成为公共的均厚层。
13.如权利要求11所述的半导体结构,其中,所述PMOS晶体管元件和所述NMOS晶体管元件的所述外延沟道层的至少一部分被形成为独立生长的单独外延层。
14.如权利要求11所述的半导体结构,其中,所述PMOS晶体管元件的所述阈值电压控制层是通过穿过其外延沟道层的离子注入而形成的。
15.如权利要求11所述的半导体结构,其中,所述PMOS晶体管元件的阈值电压控制层是通过在所述PMOS晶体管元件的屏蔽层上进行锑注入和从所述PMOS晶体管元件的屏蔽层上进行锑扩散中的一种而形成的。
16.如权利要求11所述的半导体结构,还包括:
分离所述PMOS晶体管元件和所述NMOS晶体管元件的隔离区,所述隔离区形成于所述PMOS晶体管元件和所述NMOS晶体管元件的所述外延沟道层之后。
17.如权利要求11所述的半导体结构,还包括:
耦接到所述PMOS晶体管元件和所述NMOS晶体管元件的至少其中之一的阱区的主体分接头以提供进一步的阈值电压控制。
CN201280017397.4A 2011-03-03 2012-02-29 具有改进的沟道堆栈的半导体结构及其制备方法 Active CN103460372B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/039,986 US8525271B2 (en) 2011-03-03 2011-03-03 Semiconductor structure with improved channel stack and method for fabrication thereof
US13/039,986 2011-03-03
PCT/US2012/027053 WO2012118873A1 (en) 2011-03-03 2012-02-29 Semiconductor structure with improved channel stack and method for fabrication thereof

Publications (2)

Publication Number Publication Date
CN103460372A CN103460372A (zh) 2013-12-18
CN103460372B true CN103460372B (zh) 2017-02-08

Family

ID=45937536

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280017397.4A Active CN103460372B (zh) 2011-03-03 2012-02-29 具有改进的沟道堆栈的半导体结构及其制备方法

Country Status (3)

Country Link
US (2) US8525271B2 (zh)
CN (1) CN103460372B (zh)
WO (1) WO2012118873A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8525271B2 (en) * 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
JP6024354B2 (ja) * 2012-10-02 2016-11-16 富士通セミコンダクター株式会社 半導体集積回路装置及びその製造方法
TW201423984A (zh) * 2012-12-07 2014-06-16 Richtek Technology Corp 異質接面半導體複合薄膜及其製造方法
JP6100535B2 (ja) * 2013-01-18 2017-03-22 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
KR102137371B1 (ko) * 2013-10-29 2020-07-27 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9478642B2 (en) * 2014-11-10 2016-10-25 Globalfoundries Inc. Semiconductor junction formation
US9741717B1 (en) 2016-10-10 2017-08-22 International Business Machines Corporation FinFETs with controllable and adjustable channel doping
US10804267B2 (en) * 2017-09-28 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded semiconductor region for latch-up susceptibility improvement
CN111627810B (zh) * 2020-06-05 2022-10-11 合肥晶合集成电路股份有限公司 一种半导体结构及其制造方法
CN117133793B (zh) * 2023-10-26 2024-03-01 合肥晶合集成电路股份有限公司 一种半导体存储器件及其制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232164B1 (en) * 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
US6271551B1 (en) * 1995-12-15 2001-08-07 U.S. Philips Corporation Si-Ge CMOS semiconductor device
CN1728402A (zh) * 2004-07-30 2006-02-01 国际商业机器公司 超薄型本体超陡后退阱(ssrw)场效应晶体管器件

Family Cites Families (468)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4021835A (en) 1974-01-25 1977-05-03 Hitachi, Ltd. Semiconductor device and a method for fabricating the same
US3958266A (en) 1974-04-19 1976-05-18 Rca Corporation Deep depletion insulated gate field effect transistors
US4000504A (en) 1975-05-12 1976-12-28 Hewlett-Packard Company Deep channel MOS transistor
US4276095A (en) 1977-08-31 1981-06-30 International Business Machines Corporation Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations
US4242691A (en) 1978-09-18 1980-12-30 Mitsubishi Denki Kabushiki Kaisha MOS Semiconductor device
DE3069973D1 (en) 1979-08-25 1985-02-28 Zaidan Hojin Handotai Kenkyu Insulated-gate field-effect transistor
US4315781A (en) 1980-04-23 1982-02-16 Hughes Aircraft Company Method of controlling MOSFET threshold voltage with self-aligned channel stop
JPS56155572A (en) 1980-04-30 1981-12-01 Sanyo Electric Co Ltd Insulated gate field effect type semiconductor device
US4518926A (en) 1982-12-20 1985-05-21 At&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
JPS59193066U (ja) 1983-06-08 1984-12-21 三菱電機株式会社 エレベ−タの防犯テレビカメラ
US4559091A (en) 1984-06-15 1985-12-17 Regents Of The University Of California Method for producing hyperabrupt doping profiles in semiconductors
US5060234A (en) 1984-11-19 1991-10-22 Max-Planck Gesellschaft Zur Forderung Der Wissenschaften Injection laser with at least one pair of monoatomic layers of doping atoms
US4617066A (en) 1984-11-26 1986-10-14 Hughes Aircraft Company Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
US4578128A (en) 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4662061A (en) 1985-02-27 1987-05-05 Texas Instruments Incorporated Method for fabricating a CMOS well structure
JPS62128175A (ja) 1985-11-29 1987-06-10 Hitachi Ltd 半導体装置
JPH0770606B2 (ja) 1985-11-29 1995-07-31 株式会社日立製作所 半導体装置
GB8606748D0 (en) 1986-03-19 1986-04-23 Secr Defence Monitoring surface layer growth
US4780748A (en) 1986-06-06 1988-10-25 American Telephone & Telegraph Company, At&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
EP0248988B1 (de) 1986-06-10 1990-10-31 Siemens Aktiengesellschaft Verfahren zum Herstellen von hochintegrierten komplementären MOS-Feldeffekttransistorschaltungen
US5156990A (en) 1986-07-23 1992-10-20 Texas Instruments Incorporated Floating-gate memory cell with tailored doping profile
DE3789894T2 (de) 1987-01-05 1994-09-08 Seiko Instr Inc MOS-Feldeffekttransistor und dessen Herstellungsmethode.
GB2206010A (en) 1987-06-08 1988-12-21 Philips Electronic Associated Differential amplifier and current sensing circuit including such an amplifier
EP0312237A3 (en) 1987-10-13 1989-10-25 AT&T Corp. Interface charge enhancement in delta-doped heterostructure
US5156989A (en) 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4956311A (en) 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
US5208473A (en) 1989-11-29 1993-05-04 Mitsubishi Denki Kabushiki Kaisha Lightly doped MISFET with reduced latchup and punchthrough
JP2822547B2 (ja) 1990-03-06 1998-11-11 富士通株式会社 高電子移動度トランジスタ
KR920008834A (ko) 1990-10-09 1992-05-28 아이자와 스스무 박막 반도체 장치
US5166765A (en) 1991-08-26 1992-11-24 At&T Bell Laboratories Insulated gate field-effect transistor with pulse-shaped doping
KR940006711B1 (ko) 1991-09-12 1994-07-25 포항종합제철 주식회사 델타도핑 양자 우물전계 효과 트랜지스터의 제조방법
JP2851753B2 (ja) 1991-10-22 1999-01-27 三菱電機株式会社 半導体装置およびその製造方法
JPH05315598A (ja) 1992-05-08 1993-11-26 Fujitsu Ltd 半導体装置
US5422508A (en) 1992-09-21 1995-06-06 Siliconix Incorporated BiCDMOS structure
JPH06151828A (ja) 1992-10-30 1994-05-31 Toshiba Corp 半導体装置及びその製造方法
US5298763A (en) 1992-11-02 1994-03-29 Motorola, Inc. Intrinsically doped semiconductor structure and method for making
US5426279A (en) 1993-06-21 1995-06-20 Dasgupta; Sankar Heating rate regulator
US5444008A (en) 1993-09-24 1995-08-22 Vlsi Technology, Inc. High-performance punchthrough implant method for MOS/VLSI
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
EP0698236B1 (en) 1994-02-14 2000-05-10 Koninklijke Philips Electronics N.V. A reference circuit having a controlled temperature dependence
KR0144959B1 (ko) 1994-05-17 1998-07-01 김광호 반도체장치 및 제조방법
US5622880A (en) 1994-08-18 1997-04-22 Sun Microsystems, Inc. Method of making a low power, high performance junction transistor
US5889315A (en) 1994-08-18 1999-03-30 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
US5818078A (en) 1994-08-29 1998-10-06 Fujitsu Limited Semiconductor device having a regrowth crystal region
US5559368A (en) 1994-08-30 1996-09-24 The Regents Of The University Of California Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
EP0717435A1 (en) 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
JPH08250728A (ja) 1995-03-10 1996-09-27 Sony Corp 電界効果型半導体装置及びその製造方法
US5608253A (en) 1995-03-22 1997-03-04 Advanced Micro Devices Inc. Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
US5552332A (en) 1995-06-02 1996-09-03 Motorola, Inc. Process for fabricating a MOSFET device having reduced reverse short channel effects
US5663583A (en) 1995-06-06 1997-09-02 Hughes Aircraft Company Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate
JP3462301B2 (ja) 1995-06-16 2003-11-05 三菱電機株式会社 半導体装置及びその製造方法
US5624863A (en) 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
KR0172793B1 (ko) 1995-08-07 1999-02-01 김주용 반도체소자의 제조방법
JPH0973784A (ja) 1995-09-07 1997-03-18 Nec Corp 半導体装置及びその制御回路
US6127700A (en) 1995-09-12 2000-10-03 National Semiconductor Corporation Field-effect transistor having local threshold-adjust doping
US5712501A (en) 1995-10-10 1998-01-27 Motorola, Inc. Graded-channel semiconductor device
US5753555A (en) 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
US5698884A (en) 1996-02-07 1997-12-16 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same
JPH09270466A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1022462A (ja) 1996-06-28 1998-01-23 Sharp Corp 半導体装置及びその製造方法
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6118148A (en) * 1996-11-04 2000-09-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US5736419A (en) 1996-11-12 1998-04-07 National Semiconductor Corporation Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
JPH10163342A (ja) 1996-12-04 1998-06-19 Sharp Corp 半導体装置
JPH10223853A (ja) 1997-02-04 1998-08-21 Mitsubishi Electric Corp 半導体装置
US5918129A (en) 1997-02-25 1999-06-29 Advanced Micro Devices, Inc. Method of channel doping using diffusion from implanted polysilicon
JPH10242153A (ja) 1997-02-26 1998-09-11 Hitachi Ltd 半導体ウエハ、半導体ウエハの製造方法、半導体装置および半導体装置の製造方法
US5936868A (en) 1997-03-06 1999-08-10 Harris Corporation Method for converting an integrated circuit design for an upgraded process
JPH10270687A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp 電界効果トランジスタおよびその製造方法
US5923067A (en) 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
US6060345A (en) 1997-04-21 2000-05-09 Advanced Micro Devices, Inc. Method of making NMOS and PMOS devices with reduced masking steps
US6218892B1 (en) 1997-06-20 2001-04-17 Intel Corporation Differential circuits employing forward body bias
US6218895B1 (en) 1997-06-20 2001-04-17 Intel Corporation Multiple well transistor circuits having forward body bias
US6194259B1 (en) 1997-06-27 2001-02-27 Advanced Micro Devices, Inc. Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
US5923987A (en) 1997-06-30 1999-07-13 Sun Microsystems, Inc. Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface
US6723621B1 (en) 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US5946214A (en) 1997-07-11 1999-08-31 Advanced Micro Devices Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns
US5989963A (en) 1997-07-21 1999-11-23 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
JP3544833B2 (ja) 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
FR2769132B1 (fr) 1997-09-29 2003-07-11 Sgs Thomson Microelectronics Amelioration de l'isolement entre alimentations d'un circuit analogique-numerique
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
JPH11163458A (ja) 1997-11-26 1999-06-18 Mitsui Chem Inc 半導体レーザ装置
US6426260B1 (en) 1997-12-02 2002-07-30 Magepower Semiconductor Corp. Switching speed improvement in DMO by implanting lightly doped region under gate
US6271070B2 (en) 1997-12-25 2001-08-07 Matsushita Electronics Corporation Method of manufacturing semiconductor device
KR100339409B1 (ko) 1998-01-14 2002-09-18 주식회사 하이닉스반도체 반도체소자및그의제조방법
US6088518A (en) 1998-01-30 2000-07-11 Aspec Technology, Inc. Method and system for porting an integrated circuit layout from a reference process to a target process
US6001695A (en) 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6096611A (en) 1998-03-13 2000-08-01 Texas Instruments - Acer Incorporated Method to fabricate dual threshold CMOS circuits
KR100265227B1 (ko) 1998-06-05 2000-09-15 김영환 씨모스 트랜지스터의 제조 방법
US6072217A (en) 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US6492232B1 (en) 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6262461B1 (en) 1998-06-22 2001-07-17 Motorola, Inc. Method and apparatus for creating a voltage threshold in a FET
US5985705A (en) 1998-06-30 1999-11-16 Lsi Logic Corporation Low threshold voltage MOS transistor and method of manufacture
KR100292818B1 (ko) 1998-07-02 2001-11-05 윤종용 모오스트랜지스터제조방법
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6143593A (en) 1998-09-29 2000-11-07 Conexant Systems, Inc. Elevated channel MOSFET
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6221724B1 (en) 1998-11-06 2001-04-24 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having punch-through suppression
US6380019B1 (en) 1998-11-06 2002-04-30 Advanced Micro Devices, Inc. Method of manufacturing a transistor with local insulator structure
US6084271A (en) 1998-11-06 2000-07-04 Advanced Micro Devices, Inc. Transistor with local insulator structure
US6184112B1 (en) 1998-12-02 2001-02-06 Advanced Micro Devices, Inc. Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
US6214654B1 (en) 1999-01-27 2001-04-10 Advanced Micro Devices, Inc. Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget
US6245618B1 (en) 1999-02-03 2001-06-12 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
JP2000243958A (ja) 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
US6060364A (en) 1999-03-02 2000-05-09 Advanced Micro Devices, Inc. Fast Mosfet with low-doped source/drain
US7145167B1 (en) 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
US6928128B1 (en) 1999-05-03 2005-08-09 Rambus Inc. Clock alignment circuit having a self regulating voltage supply
US6190979B1 (en) 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
US6271547B1 (en) 1999-08-06 2001-08-07 Raytheon Company Double recessed transistor with resistive layer
US6235597B1 (en) 1999-08-06 2001-05-22 International Business Machines Corporation Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication
US6268640B1 (en) 1999-08-12 2001-07-31 International Business Machines Corporation Forming steep lateral doping distribution at source/drain junctions
US6426279B1 (en) 1999-08-18 2002-07-30 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
US6444550B1 (en) 1999-08-18 2002-09-03 Advanced Micro Devices, Inc. Laser tailoring retrograde channel profile in surfaces
US6503801B1 (en) 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
DE19940362A1 (de) 1999-08-25 2001-04-12 Infineon Technologies Ag MOS-Transistor und Verfahren zu dessen Herstellung
US6162693A (en) 1999-09-02 2000-12-19 Micron Technology, Inc. Channel implant through gate polysilicon
US7091093B1 (en) 1999-09-17 2006-08-15 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a pocket dopant diffused layer
US6506640B1 (en) * 1999-09-24 2003-01-14 Advanced Micro Devices, Inc. Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
US6313489B1 (en) 1999-11-16 2001-11-06 Philips Electronics North America Corporation Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device
JP3371871B2 (ja) 1999-11-16 2003-01-27 日本電気株式会社 半導体装置の製造方法
US6449749B1 (en) 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
GB9929084D0 (en) 1999-12-08 2000-02-02 Regan Timothy J Modification of integrated circuits
US7638380B2 (en) 2000-01-05 2009-12-29 Agere Systems Inc. Method for manufacturing a laterally diffused metal oxide semiconductor device
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6297132B1 (en) 2000-02-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Process to control the lateral doping profile of an implanted channel region
US6797994B1 (en) 2000-02-14 2004-09-28 Raytheon Company Double recessed transistor
US7015546B2 (en) 2000-02-23 2006-03-21 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
US6326666B1 (en) 2000-03-23 2001-12-04 International Business Machines Corporation DTCMOS circuit having improved speed
US6548842B1 (en) 2000-03-31 2003-04-15 National Semiconductor Corporation Field-effect transistor for alleviating short-channel effects
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
JP2001352057A (ja) 2000-06-09 2001-12-21 Mitsubishi Electric Corp 半導体装置、およびその製造方法
WO2002001641A1 (fr) 2000-06-27 2002-01-03 Matsushita Electric Industrial Co., Ltd. Dispositif semi-conducteur
DE10034942B4 (de) 2000-07-12 2004-08-05 Infineon Technologies Ag Verfahren zur Erzeugung eines Halbleitersubstrats mit vergrabener Dotierung
US6624488B1 (en) 2000-08-07 2003-09-23 Advanced Micro Devices, Inc. Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6391752B1 (en) 2000-09-12 2002-05-21 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6617217B2 (en) 2000-10-10 2003-09-09 Texas Instruments Incorpated Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride
US6448590B1 (en) 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
US6664143B2 (en) * 2000-11-22 2003-12-16 North Carolina State University Methods of fabricating vertical field effect transistors by conformal channel layer deposition on sidewalls
DE10061191A1 (de) 2000-12-08 2002-06-13 Ihp Gmbh Schichten in Substratscheiben
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
US6852602B2 (en) 2001-01-31 2005-02-08 Matsushita Electric Industrial Co., Ltd. Semiconductor crystal film and method for preparation thereof
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6797602B1 (en) 2001-02-09 2004-09-28 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US6821852B2 (en) 2001-02-13 2004-11-23 Micron Technology, Inc. Dual doped gates
KR100393216B1 (ko) 2001-02-19 2003-07-31 삼성전자주식회사 엘디디 구조를 갖는 모오스 트랜지스터의 제조방법
US6432754B1 (en) 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6534373B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. MOS transistor with reduced floating body effect
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002299454A (ja) 2001-04-02 2002-10-11 Toshiba Corp 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6620671B1 (en) 2001-05-01 2003-09-16 Advanced Micro Devices, Inc. Method of fabricating transistor having a single crystalline gate conductor
US6693333B1 (en) 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6586817B1 (en) 2001-05-18 2003-07-01 Sun Microsystems, Inc. Device including a resistive path to introduce an equivalent RC circuit
US6489224B1 (en) 2001-05-31 2002-12-03 Sun Microsystems, Inc. Method for engineering the threshold voltage of a device using buried wells
US6822297B2 (en) 2001-06-07 2004-11-23 Texas Instruments Incorporated Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US6500739B1 (en) 2001-06-14 2002-12-31 Taiwan Semiconductor Manufacturing Company Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect
US6358806B1 (en) 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP4035354B2 (ja) 2001-07-11 2008-01-23 富士通株式会社 電子回路設計方法及び装置、コンピュータプログラム及び記憶媒体
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
US20030020114A1 (en) * 2001-07-25 2003-01-30 Motorola, Inc. Metal-insulator-transition field-effect transistor utilizing a compliant substrate and method for fabricating same
WO2003028110A1 (fr) 2001-09-14 2003-04-03 Matsushita Electric Industrial Co., Ltd. Semi-conducteur
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003028106A2 (en) 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US20050250289A1 (en) 2002-10-30 2005-11-10 Babcock Jeffrey A Control of dopant diffusion from buried layers in bipolar integrated circuits
US6521470B1 (en) 2001-10-31 2003-02-18 United Microelectronics Corp. Method of measuring thickness of epitaxial layer
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6760900B2 (en) 2001-12-03 2004-07-06 Anadigics Inc. Integrated circuits with scalable design
ITTO20011129A1 (it) 2001-12-04 2003-06-04 Infm Istituto Naz Per La Fisi Metodo per la soppressione della diffusione anomala transiente di droganti in silicio.
US6849528B2 (en) 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
US7013359B1 (en) 2001-12-21 2006-03-14 Cypress Semiconductor Corporation High speed memory interface system and method
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US20030141033A1 (en) 2002-01-31 2003-07-31 Tht Presses Inc. Semi-solid molding method
US7919791B2 (en) 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
DE10214066B4 (de) 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
JP4597531B2 (ja) 2002-03-28 2010-12-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド チャネル領域のドーパント分布がレトログレードな半導体デバイスおよびそのような半導体デバイスの製造方法
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6957163B2 (en) 2002-04-24 2005-10-18 Yoshiyuki Ando Integrated circuits having post-silicon adjustment control
KR100410574B1 (ko) 2002-05-18 2003-12-18 주식회사 하이닉스반도체 데카보렌 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
KR100414736B1 (ko) 2002-05-20 2004-01-13 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
US6893947B2 (en) 2002-06-25 2005-05-17 Freescale Semiconductor, Inc. Advanced RF enhancement-mode FETs with improved gate properties
US7673273B2 (en) 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US6849492B2 (en) 2002-07-08 2005-02-01 Micron Technology, Inc. Method for forming standard voltage threshold and low voltage threshold MOSFET devices
US6743291B2 (en) 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
JP4463482B2 (ja) 2002-07-11 2010-05-19 パナソニック株式会社 Misfet及びその製造方法
US6869854B2 (en) 2002-07-18 2005-03-22 International Business Machines Corporation Diffused extrinsic base and method for fabrication
JP4020730B2 (ja) 2002-08-26 2007-12-12 シャープ株式会社 半導体装置およびその製造方法
KR100464935B1 (ko) 2002-09-17 2005-01-05 주식회사 하이닉스반도체 불화붕소화합물 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
JP2004119513A (ja) 2002-09-24 2004-04-15 Toshiba Corp 半導体装置及びその製造方法
US7226843B2 (en) 2002-09-30 2007-06-05 Intel Corporation Indium-boron dual halo MOSFET
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
DE10251308B4 (de) 2002-11-04 2007-01-18 Advanced Micro Devices, Inc., Sunnyvale Integrierte geschaltete Kondensatorschaltung und Verfahren
US6660605B1 (en) 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
JP3769262B2 (ja) 2002-12-20 2006-04-19 株式会社東芝 ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法
KR100486609B1 (ko) 2002-12-30 2005-05-03 주식회사 하이닉스반도체 이중 도핑구조의 초박형 에피채널 피모스트랜지스터 및그의 제조 방법
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
EP1579352A2 (en) 2003-01-02 2005-09-28 PDF Solutions, Inc. Yield improvement
US6963090B2 (en) 2003-01-09 2005-11-08 Freescale Semiconductor, Inc. Enhancement mode metal-oxide-semiconductor field effect transistor
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
KR100989006B1 (ko) 2003-03-13 2010-10-20 크로스텍 캐피탈, 엘엘씨 씨모스 이미지센서의 제조방법
JP4250144B2 (ja) 2003-03-19 2009-04-08 サイスド エレクトロニクス デヴェロプメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニ コマンディートゲゼルシャフト 高ドープのチャネル伝導領域を持つ半導体装置とその製造方法
US7294877B2 (en) 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
SE0300924D0 (sv) 2003-03-28 2003-03-28 Infineon Technologies Wireless A method to provide a triple well in an epitaxially based CMOS or BiCMOS process
KR20050119662A (ko) 2003-03-28 2005-12-21 코닌클리즈케 필립스 일렉트로닉스 엔.브이. N-도핑된 규소 층의 에피택시얼 증착 방법
WO2004093192A1 (ja) 2003-04-10 2004-10-28 Fujitsu Limited 半導体装置とその製造方法
JP4469139B2 (ja) 2003-04-28 2010-05-26 シャープ株式会社 化合物半導体fet
US7176137B2 (en) 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US7652326B2 (en) 2003-05-20 2010-01-26 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6794235B1 (en) 2003-06-05 2004-09-21 Texas Instruments Incorporated Method of manufacturing a semiconductor device having a localized halo implant
JP4472633B2 (ja) 2003-06-10 2010-06-02 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置および半導体集積回路装置の製造方法
US6808994B1 (en) 2003-06-17 2004-10-26 Micron Technology, Inc. Transistor structures and processes for forming same
US7260562B2 (en) 2003-06-30 2007-08-21 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
US7036098B2 (en) 2003-06-30 2006-04-25 Sun Microsystems, Inc. On-chip signal state duration measurement and adjustment
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
KR20060056331A (ko) 2003-07-23 2006-05-24 에이에스엠 아메리카, 인코포레이티드 절연체-상-실리콘 구조 및 벌크 기판 상의 SiGe 증착
KR20060071412A (ko) 2003-09-03 2006-06-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 이중 게이트 전계 효과 트랜지스터 제조 방법 및 장치
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
JP4186774B2 (ja) 2003-09-25 2008-11-26 沖電気工業株式会社 情報抽出装置,情報抽出方法,およびプログラム
US7127687B1 (en) 2003-10-14 2006-10-24 Sun Microsystems, Inc. Method and apparatus for determining transistor sizes
US7109099B2 (en) 2003-10-17 2006-09-19 Chartered Semiconductor Manufacturing Ltd. End of range (EOR) secondary defect engineering using substitutional carbon doping
US7274076B2 (en) 2003-10-20 2007-09-25 Micron Technology, Inc. Threshold voltage adjustment for long channel transistors
US7141468B2 (en) 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7132323B2 (en) 2003-11-14 2006-11-07 International Business Machines Corporation CMOS well structure and method of forming the same
US6927137B2 (en) 2003-12-01 2005-08-09 Texas Instruments Incorporated Forming a retrograde well in a transistor to enhance performance of the transistor
US7279743B2 (en) 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
EP1697978A1 (en) 2003-12-18 2006-09-06 Koninklijke Philips Electronics N.V. A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
US7045456B2 (en) 2003-12-22 2006-05-16 Texas Instruments Incorporated MOS transistor gates with thin lower metal silicide and methods for making the same
US7111185B2 (en) 2003-12-23 2006-09-19 Micron Technology, Inc. Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit
US7015741B2 (en) 2003-12-23 2006-03-21 Intel Corporation Adaptive body bias for clock skew compensation
DE10360874B4 (de) 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
KR100597460B1 (ko) 2003-12-31 2006-07-05 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 및제조방법
US6917237B1 (en) 2004-03-02 2005-07-12 Intel Corporation Temperature dependent regulation of threshold voltage
US7089515B2 (en) 2004-03-09 2006-08-08 International Business Machines Corporation Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power
US7176530B1 (en) 2004-03-17 2007-02-13 National Semiconductor Corporation Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
US7089513B2 (en) 2004-03-19 2006-08-08 International Business Machines Corporation Integrated circuit design for signal integrity, avoiding well proximity effects
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
TWI253046B (en) 2004-05-12 2006-04-11 Au Optronics Corp Liquid crystal display with improved motion image quality and driving method therefor
JP4795653B2 (ja) 2004-06-15 2011-10-19 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7221021B2 (en) 2004-06-25 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high voltage devices with retrograde well
US7491988B2 (en) 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7462908B2 (en) 2004-07-14 2008-12-09 International Rectifier Corporation Dynamic deep depletion field effect transistor
US7186622B2 (en) 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
US7119381B2 (en) 2004-07-30 2006-10-10 Freescale Semiconductor, Inc. Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices
US7071103B2 (en) 2004-07-30 2006-07-04 International Business Machines Corporation Chemical treatment to retard diffusion in a semiconductor overlayer
DE102004037087A1 (de) 2004-07-30 2006-03-23 Advanced Micro Devices, Inc., Sunnyvale Selbstvorspannende Transistorstruktur und SRAM-Zellen mit weniger als sechs Transistoren
US7846822B2 (en) 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
JP4469677B2 (ja) 2004-08-04 2010-05-26 パナソニック株式会社 半導体装置およびその製造方法
JP4664631B2 (ja) 2004-08-05 2011-04-06 株式会社東芝 半導体装置及びその製造方法
US7189627B2 (en) 2004-08-19 2007-03-13 Texas Instruments Incorporated Method to improve SRAM performance and stability
US8106481B2 (en) 2004-09-03 2012-01-31 Rao G R Mohan Semiconductor devices with graded dopant regions
US20060049464A1 (en) 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
US7615808B2 (en) 2004-09-17 2009-11-10 California Institute Of Technology Structure for implementation of back-illuminated CMOS or CCD imagers
JP4540438B2 (ja) 2004-09-27 2010-09-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7095094B2 (en) 2004-09-29 2006-08-22 Agere Systems Inc. Multiple doping level bipolar junctions transistors and method for forming
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
US7226833B2 (en) 2004-10-29 2007-06-05 Freescale Semiconductor, Inc. Semiconductor device structure and method therefor
DE102004053761A1 (de) 2004-11-08 2006-05-18 Robert Bosch Gmbh Halbleitereinrichtung und Verfahren für deren Herstellung
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060113591A1 (en) 2004-11-30 2006-06-01 Chih-Hao Wan High performance CMOS devices and methods for making same
US7105399B1 (en) 2004-12-07 2006-09-12 Advanced Micro Devices, Inc. Selective epitaxial growth for tunable channel thickness
KR100642407B1 (ko) 2004-12-29 2006-11-08 주식회사 하이닉스반도체 반도체 메모리 소자의 셀 트랜지스터 제조 방법
KR100613294B1 (ko) 2004-12-30 2006-08-21 동부일렉트로닉스 주식회사 단채널 효과가 개선되는 모스 전계효과 트랜지스터 및 그제조 방법
US20060154428A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Increasing doping of well compensating dopant region according to increasing gate length
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060166417A1 (en) 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7531436B2 (en) 2005-02-14 2009-05-12 Texas Instruments Incorporated Highly conductive shallow junction formation
US7404114B2 (en) 2005-02-15 2008-07-22 International Business Machines Corporation System and method for balancing delay of signal communication paths through well voltage adjustment
US20060203581A1 (en) 2005-03-10 2006-09-14 Joshi Rajiv V Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US7407850B2 (en) 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices
JP4493536B2 (ja) 2005-03-30 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7338817B2 (en) 2005-03-31 2008-03-04 Intel Corporation Body bias compensation for aged transistors
US7170120B2 (en) 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US7271079B2 (en) 2005-04-06 2007-09-18 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US7605429B2 (en) 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7446380B2 (en) 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US20060273379A1 (en) 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
US7354833B2 (en) * 2005-06-10 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving threshold voltage stability of a MOS device
US20070040222A1 (en) 2005-06-15 2007-02-22 Benjamin Van Camp Method and apparatus for improved ESD performance
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
JP4800700B2 (ja) 2005-08-01 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体集積回路
US7409651B2 (en) 2005-08-05 2008-08-05 International Business Machines Corporation Automated migration of analog and mixed-signal VLSI design
US7314794B2 (en) 2005-08-08 2008-01-01 International Business Machines Corporation Low-cost high-performance planar back-gate CMOS
US7307471B2 (en) 2005-08-26 2007-12-11 Texas Instruments Incorporated Adaptive voltage control and body bias for performance and energy optimization
US7838369B2 (en) 2005-08-29 2010-11-23 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
JP2007073578A (ja) 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007103863A (ja) 2005-10-07 2007-04-19 Nec Electronics Corp 半導体デバイス
US7569873B2 (en) * 2005-10-28 2009-08-04 Dsm Solutions, Inc. Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
JP4256381B2 (ja) 2005-11-09 2009-04-22 株式会社東芝 半導体装置
US8255843B2 (en) 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7759206B2 (en) 2005-11-29 2010-07-20 International Business Machines Corporation Methods of forming semiconductor devices using embedded L-shape spacers
WO2007070321A2 (en) 2005-12-09 2007-06-21 Semequip Inc. System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
KR100657130B1 (ko) 2005-12-27 2006-12-13 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7633134B2 (en) 2005-12-29 2009-12-15 Jaroslav Hynecek Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
US7485536B2 (en) 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
JP5145691B2 (ja) 2006-02-23 2013-02-20 セイコーエプソン株式会社 半導体装置
US20070212861A1 (en) 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US7380225B2 (en) 2006-03-14 2008-05-27 International Business Machines Corporation Method and computer program for efficient cell failure rate estimation in cell arrays
JP5283827B2 (ja) 2006-03-30 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US7351637B2 (en) 2006-04-10 2008-04-01 General Electric Company Semiconductor transistors having reduced channel widths and methods of fabricating same
US7681628B2 (en) 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US7348629B2 (en) 2006-04-20 2008-03-25 International Business Machines Corporation Metal gated ultra short MOSFET devices
US20070257315A1 (en) 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR100703986B1 (ko) 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
WO2007136102A1 (ja) 2006-05-23 2007-11-29 Nec Corporation 半導体装置、集積回路、及び半導体装置の製造方法
US7384835B2 (en) 2006-05-25 2008-06-10 International Business Machines Corporation Metal oxide field effect transistor with a sharp halo and a method of forming the transistor
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
JP5073968B2 (ja) 2006-05-31 2012-11-14 住友化学株式会社 化合物半導体エピタキシャル基板およびその製造方法
US7503020B2 (en) 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7469164B2 (en) 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US7538412B2 (en) 2006-06-30 2009-05-26 Infineon Technologies Austria Ag Semiconductor device with a field stop zone
GB0613289D0 (en) 2006-07-04 2006-08-16 Imagination Tech Ltd Synchronisation of execution threads on a multi-threaded processor
JP5090451B2 (ja) 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
US7496862B2 (en) 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
TW200821417A (en) 2006-09-07 2008-05-16 Sumco Corp Semiconductor substrate for solid state imaging device, solid state imaging device, and method for manufacturing them
US20080067589A1 (en) 2006-09-20 2008-03-20 Akira Ito Transistor having reduced channel dopant fluctuation
JP2008085253A (ja) 2006-09-29 2008-04-10 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7683442B1 (en) 2006-09-29 2010-03-23 Burr James B Raised source/drain with super steep retrograde channel
US7642150B2 (en) 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7750374B2 (en) 2006-11-14 2010-07-06 Freescale Semiconductor, Inc Process for forming an electronic device including a transistor having a metal gate electrode
US7696000B2 (en) 2006-12-01 2010-04-13 International Business Machines Corporation Low defect Si:C layer with retrograde carbon profile
US7741200B2 (en) 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7821066B2 (en) 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
US7897495B2 (en) 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7713875B2 (en) * 2006-12-20 2010-05-11 Spansion Llc Variable salicide block for resistance equalization in an array
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7416605B2 (en) 2007-01-08 2008-08-26 Freescale Semiconductor, Inc. Anneal of epitaxial layer in a semiconductor device
US7400015B1 (en) * 2007-01-15 2008-07-15 International Business Machines Corporation Semiconductor structure with field shield and method of forming the structure
KR100819562B1 (ko) 2007-01-15 2008-04-08 삼성전자주식회사 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법
US20080169516A1 (en) 2007-01-17 2008-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices for alleviating well proximity effects
KR100862113B1 (ko) 2007-01-22 2008-10-09 삼성전자주식회사 공정 변화에 대한 정보를 이용하여 공급전압/공급주파수를제어할 수 있는 장치와 방법
US7644377B1 (en) 2007-01-31 2010-01-05 Hewlett-Packard Development Company, L.P. Generating a configuration of a system that satisfies constraints contained in models
KR100836767B1 (ko) 2007-02-05 2008-06-10 삼성전자주식회사 높은 전압을 제어하는 모스 트랜지스터를 포함하는 반도체소자 및 그 형성 방법
KR101312259B1 (ko) 2007-02-09 2013-09-25 삼성전자주식회사 박막 트랜지스터 및 그 제조방법
US7781288B2 (en) 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7818702B2 (en) 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7831873B1 (en) 2007-03-07 2010-11-09 Xilinx, Inc. Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits
US7602017B2 (en) 2007-03-13 2009-10-13 Fairchild Semiconductor Corporation Short channel LV, MV, and HV CMOS devices
US7598142B2 (en) 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US8394687B2 (en) 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7496867B2 (en) 2007-04-02 2009-02-24 Lsi Corporation Cell library management for power optimization
US7737472B2 (en) 2007-04-05 2010-06-15 Panasonic Corporation Semiconductor integrated circuit device
CN101030602B (zh) 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 一种可减小短沟道效应的mos晶体管及其制作方法
US7692220B2 (en) 2007-05-01 2010-04-06 Suvolta, Inc. Semiconductor device storage cell structure, method of operation, and method of manufacture
US7586322B1 (en) 2007-05-02 2009-09-08 Altera Corporation Test structure and method for measuring mismatch and well proximity effects
US20080272409A1 (en) 2007-05-03 2008-11-06 Dsm Solutions, Inc.; JFET Having a Step Channel Doping Profile and Method of Fabrication
US7604399B2 (en) 2007-05-31 2009-10-20 Siemens Energy, Inc. Temperature monitor for bus structure flex connector
US20080315206A1 (en) 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7759714B2 (en) 2007-06-26 2010-07-20 Hitachi, Ltd. Semiconductor device
JP5367703B2 (ja) 2007-06-28 2013-12-11 サガンテック イスラエル リミテッド 設計規則及びユーザ制約に基づく半導体レイアウト修正方法
US7651920B2 (en) 2007-06-29 2010-01-26 Infineon Technologies Ag Noise reduction in semiconductor device using counter-doping
KR100934789B1 (ko) 2007-08-29 2009-12-31 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US7895546B2 (en) 2007-09-04 2011-02-22 Lsi Corporation Statistical design closure
JP2009064860A (ja) 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
US7795677B2 (en) 2007-09-05 2010-09-14 International Business Machines Corporation Nanowire field-effect transistors
JP5242103B2 (ja) 2007-09-07 2013-07-24 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法
US7675317B2 (en) 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US7678637B2 (en) * 2007-09-21 2010-03-16 Texas Instruments Incorporated CMOS fabrication process
US7926018B2 (en) 2007-09-25 2011-04-12 Synopsys, Inc. Method and apparatus for generating a layout for a transistor
US8053340B2 (en) 2007-09-27 2011-11-08 National University Of Singapore Method for fabricating semiconductor devices with reduced junction diffusion
US7704844B2 (en) 2007-10-04 2010-04-27 International Business Machines Corporation High performance MOSFET
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
US8329564B2 (en) 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
DE102007052220B4 (de) 2007-10-31 2015-04-09 Globalfoundries Inc. Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation
US7648868B2 (en) * 2007-10-31 2010-01-19 International Business Machines Corporation Metal-gated MOSFET devices having scaled gate stack thickness
JP5528667B2 (ja) 2007-11-28 2014-06-25 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の制御方法
US7994573B2 (en) 2007-12-14 2011-08-09 Fairchild Semiconductor Corporation Structure and method for forming power devices with carbon-containing region
US7745270B2 (en) 2007-12-28 2010-06-29 Intel Corporation Tri-gate patterning using dual layer gate stack
US7622341B2 (en) 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
DE102008006961A1 (de) 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
JP2011512677A (ja) 2008-02-14 2011-04-21 マックスパワー・セミコンダクター・インコーポレイテッド 半導体素子構造及び関連プロセス
FR2928028B1 (fr) 2008-02-27 2011-07-15 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant.
US7867835B2 (en) 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
US7750682B2 (en) 2008-03-10 2010-07-06 International Business Machines Corporation CMOS back-gated keeper technique
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
KR101502033B1 (ko) 2008-04-11 2015-03-12 삼성전자주식회사 Adc의 전류 제어 회로 및 방법
EP2112686B1 (en) 2008-04-22 2011-10-12 Imec Method for fabricating a dual workfunction semiconductor device made thereof
JP2009267159A (ja) 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
JP5173582B2 (ja) 2008-05-19 2013-04-03 株式会社東芝 半導体装置
US8225255B2 (en) 2008-05-21 2012-07-17 International Business Machines Corporation Placement and optimization of process dummy cells
DE102008026213B3 (de) 2008-05-30 2009-09-24 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation
FR2932609B1 (fr) 2008-06-11 2010-12-24 Commissariat Energie Atomique Transistor soi avec plan de masse et grille auto-alignes et oxyde enterre d'epaisseur variable
US8471307B2 (en) 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8129797B2 (en) 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
US20100012988A1 (en) 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
US20110175168A1 (en) * 2008-08-08 2011-07-21 Texas Instruments Incorporated Nmos transistor with enhanced stress gate
US7951678B2 (en) 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
DE102008045037B4 (de) 2008-08-29 2010-12-30 Advanced Micro Devices, Inc., Sunnyvale Statischer RAM-Zellenaufbau und Mehrfachkontaktschema zum Anschluss von Doppelkanaltransistoren
US7927943B2 (en) 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
JP2012503886A (ja) 2008-09-25 2012-02-09 アプライド マテリアルズ インコーポレイテッド オクタデカボラン自己アモルファス化注入種を使用する無欠陥接合形成
US20100100856A1 (en) 2008-10-17 2010-04-22 Anurag Mittal Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics
JP5519140B2 (ja) 2008-10-28 2014-06-11 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7824986B2 (en) 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8103983B2 (en) 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
US8170857B2 (en) 2008-11-26 2012-05-01 International Business Machines Corporation In-situ design method and system for improved memory yield
DE102008059501B4 (de) 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US20100148153A1 (en) 2008-12-16 2010-06-17 Hudait Mantu K Group III-V devices with delta-doped layer under channel region
US7960238B2 (en) 2008-12-29 2011-06-14 Texas Instruments Incorporated Multiple indium implant methods and devices and integrated circuits therefrom
US7759142B1 (en) * 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
DE102008063427B4 (de) 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
JP5350815B2 (ja) 2009-01-22 2013-11-27 株式会社東芝 半導体装置
US7829402B2 (en) 2009-02-10 2010-11-09 General Electric Company MOSFET devices and methods of making
US20100207182A1 (en) 2009-02-13 2010-08-19 International Business Machines Corporation Implementing Variable Threshold Voltage Transistors
US8048791B2 (en) 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
US8163619B2 (en) 2009-03-27 2012-04-24 National Semiconductor Corporation Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone
US8178430B2 (en) 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
US8214190B2 (en) 2009-04-13 2012-07-03 International Business Machines Corporation Methodology for correlated memory fail estimations
US7943457B2 (en) 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
JP2010258264A (ja) 2009-04-27 2010-11-11 Toshiba Corp 半導体集積回路装置およびその設計方法
US8183107B2 (en) 2009-05-27 2012-05-22 Globalfoundries Inc. Semiconductor devices with improved local matching and end resistance of RX based resistors
US8173499B2 (en) 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8227307B2 (en) 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
US8236661B2 (en) 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
CN102034865B (zh) 2009-09-30 2012-07-04 中国科学院微电子研究所 半导体器件及其制造方法
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
EP2309544B1 (en) 2009-10-06 2019-06-12 IMEC vzw Tunnel field effect transistor with improved subthreshold swing
US8552795B2 (en) 2009-10-22 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bias control circuit for system on chip
WO2011062788A1 (en) 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
TWI404209B (zh) 2009-12-31 2013-08-01 Univ Nat Chiao Tung 高電子遷移率電晶體及其製作方法
US8343818B2 (en) 2010-01-14 2013-01-01 International Business Machines Corporation Method for forming retrograded well for MOSFET
US8697521B2 (en) 2010-01-21 2014-04-15 International Business Machines Corporation Structure and method for making low leakage and low mismatch NMOSFET
US8048810B2 (en) 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8288798B2 (en) 2010-02-10 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Step doping in extensions of III-V family semiconductor devices
US20110212590A1 (en) 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature implantation method for stressor formation
US8385147B2 (en) 2010-03-30 2013-02-26 Silicon Storage Technology, Inc. Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features
US8530286B2 (en) * 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8176461B1 (en) 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8201122B2 (en) 2010-05-25 2012-06-12 International Business Machines Corporation Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes
JP5614877B2 (ja) 2010-05-28 2014-10-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP2012060016A (ja) 2010-09-10 2012-03-22 Renesas Electronics Corp 半導体装置の評価方法、評価装置、及びシミュレーション方法
US8618554B2 (en) * 2010-11-08 2013-12-31 International Business Machines Corporation Method to reduce ground-plane poisoning of extremely-thin SOI (ETSOI) layer with thin buried oxide
US8450169B2 (en) 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8466473B2 (en) * 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8656339B2 (en) 2010-12-22 2014-02-18 Advanced Micro Devices, Inc. Method for analyzing sensitivity and failure probability of a circuit
US8525271B2 (en) * 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8299562B2 (en) 2011-03-28 2012-10-30 Nanya Technology Corporation Isolation structure and device structure including the same
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271551B1 (en) * 1995-12-15 2001-08-07 U.S. Philips Corporation Si-Ge CMOS semiconductor device
US6232164B1 (en) * 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
CN1728402A (zh) * 2004-07-30 2006-02-01 国际商业机器公司 超薄型本体超陡后退阱(ssrw)场效应晶体管器件

Also Published As

Publication number Publication date
CN103460372A (zh) 2013-12-18
US8525271B2 (en) 2013-09-03
US20120223389A1 (en) 2012-09-06
US9111785B2 (en) 2015-08-18
WO2012118873A1 (en) 2012-09-07
US20130313652A1 (en) 2013-11-28

Similar Documents

Publication Publication Date Title
CN103460372B (zh) 具有改进的沟道堆栈的半导体结构及其制备方法
US6465843B1 (en) MOS-transistor structure with a trench-gate-electrode and a limited specific turn-on resistance and method for producing an MOS-transistor structure
TWI301326B (zh)
US6812086B2 (en) Method of making a semiconductor transistor
US8445939B2 (en) Method of forming a semiconductor device and semiconductor device
CN102569411B (zh) 半导体器件及其制作方法
DE102008047127B4 (de) Verfahren zur Herstellung integral ausgebildeter Drain- und Source-Gebiete in einem Silizium/Germanium enthaltenden Transistorbauelement und Halbleiterbauelement
CN101743621B (zh) 具有不同掺杂的有应变的电流电极区域的晶体管
CN105720098B (zh) Nldmos及其制作方法
KR20070029711A (ko) 트랜지스터 형성 방법
CN101517741B (zh) 具有包含性能增进材料成分的受应变沟道区的晶体管
DE102009033313A1 (de) Reduzierte Prozessempfindlichkeit von Elektroden-Halbleiter-Gleichrichtern
KR20180008318A (ko) 초접합 장치 제조 방법
TWI544632B (zh) 包含金屬氧化物半導體場效應電晶體(mosfet)裝置的半導體裝置和製造方法
US8993424B2 (en) Method for forming a semiconductor transistor device with optimized dopant profile
CN107093632A (zh) 半导体器件和用于形成半导体器件的方法
CN100561689C (zh) 用于形成晶体管的方法
DE102007026745B4 (de) Halbleiterbauelement und Verfahren zur Herstellung desselben
DE102017121693B4 (de) Dotierungsverfahren
US6200879B1 (en) Using epitaxially grown wells for reducing junction capacitances
US9385187B2 (en) High breakdown N-type buried layer
CN102214580B (zh) 场效晶体管的制作方法及场效晶体管
CN108231886B (zh) 制造半导体器件的方法以及半导体器件
US8637955B1 (en) Semiconductor structure with reduced junction leakage and method of fabrication thereof
CN108198829A (zh) Cmos图像传感器及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: MIE FUJITSU SEMICONDUCTOR LIMITED

Free format text: FORMER OWNER: SUVOLTA INC.

Effective date: 20150807

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20150807

Address after: Mie, Japan

Applicant after: Triple Fujitsu Semiconductor Co., Ltd.

Address before: American California

Applicant before: Suvolta, Inc.

C14 Grant of patent or utility model
GR01 Patent grant