CN101416278B - 简化的间距加倍工艺流程 - Google Patents
简化的间距加倍工艺流程 Download PDFInfo
- Publication number
- CN101416278B CN101416278B CN2007800125255A CN200780012525A CN101416278B CN 101416278 B CN101416278 B CN 101416278B CN 2007800125255 A CN2007800125255 A CN 2007800125255A CN 200780012525 A CN200780012525 A CN 200780012525A CN 101416278 B CN101416278 B CN 101416278B
- Authority
- CN
- China
- Prior art keywords
- hard mask
- mask layer
- axle
- spacer
- etching
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims abstract description 114
- 230000008569 process Effects 0.000 title abstract description 8
- 239000000463 material Substances 0.000 claims abstract description 146
- 238000005530 etching Methods 0.000 claims abstract description 50
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 49
- 238000000151 deposition Methods 0.000 claims abstract description 19
- 238000000059 patterning Methods 0.000 claims abstract description 17
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 12
- 239000004065 semiconductor Substances 0.000 claims abstract description 9
- 125000006850 spacer group Chemical group 0.000 claims description 90
- 230000002093 peripheral effect Effects 0.000 claims description 57
- 239000000758 substrate Substances 0.000 claims description 35
- 239000003795 chemical substances by application Substances 0.000 claims description 34
- 238000005260 corrosion Methods 0.000 claims description 34
- 238000005516 engineering process Methods 0.000 claims description 29
- 230000008021 deposition Effects 0.000 claims description 15
- 238000003860 storage Methods 0.000 claims description 14
- 229910052799 carbon Inorganic materials 0.000 claims description 11
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 8
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 7
- 238000004519 manufacturing process Methods 0.000 claims description 7
- 229910052710 silicon Inorganic materials 0.000 claims description 7
- 239000010703 silicon Substances 0.000 claims description 7
- ISQINHMJILFLAQ-UHFFFAOYSA-N argon hydrofluoride Chemical compound F.[Ar] ISQINHMJILFLAQ-UHFFFAOYSA-N 0.000 claims description 5
- 229910052760 oxygen Inorganic materials 0.000 claims description 5
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 4
- 239000006117 anti-reflective coating Substances 0.000 claims description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 3
- 238000000576 coating method Methods 0.000 claims description 3
- 239000001301 oxygen Substances 0.000 claims description 3
- 230000000717 retained effect Effects 0.000 claims description 3
- 239000011248 coating agent Substances 0.000 claims description 2
- 238000001127 nanoimprint lithography Methods 0.000 claims description 2
- 238000001259 photo etching Methods 0.000 description 23
- 230000015572 biosynthetic process Effects 0.000 description 9
- 239000004020 conductor Substances 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000007667 floating Methods 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 102100022717 Atypical chemokine receptor 1 Human genes 0.000 description 3
- 101000678879 Homo sapiens Atypical chemokine receptor 1 Proteins 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000002349 favourable effect Effects 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 206010070834 Sensitisation Diseases 0.000 description 1
- 239000002194 amorphous carbon material Substances 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000005039 memory span Effects 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000001393 microlithography Methods 0.000 description 1
- 208000012978 nondisjunction Diseases 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 230000008672 reprogramming Effects 0.000 description 1
- 230000008313 sensitization Effects 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000010023 transfer printing Methods 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3088—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Inorganic Chemistry (AREA)
- Chemical & Material Sciences (AREA)
- Semiconductor Memories (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Drying Of Semiconductors (AREA)
- Weting (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
一种用于制造半导体装置(100)的方法包含对光致抗蚀剂材料层(111)进行图案化以形成多个心轴(124)。所述方法进一步包含通过原子层沉积(ALD)工艺在所述多个心轴(124)上沉积氧化物材料(126)。所述方法进一步包含从暴露的水平表面各向异性地蚀刻所述氧化物材料(126)。所述方法进一步包含选择性地蚀刻光致抗蚀剂材料(111)。
Description
技术领域
本发明一般来说涉及集成电路制造,且更具体地说,涉及用于形成双倍间距集成电路特征的简化工艺。
背景技术
随着对现代电子装置的便携性、计算能力、存储器容量和能量效率的需求的增长,集成电路正不断地变小。因此,集成电路构成特征(例如,电气装置和互连线宽度)的大小也在不断地减小。特征大小减小的趋势在例如动态随机存取存储器(dynamicrandom access memory,“DRAM”)、快闪存储器、非易失性存储器、静态随机存取存储器(“static random access memory,SRAM”)、铁电(ferroelectric,“FE”)存储器、逻辑门阵列等存储器电路或装置中是明显的。
举例来说,DRAM通常包含数百万个相同的电路元件,所述电路元件被称为存储器单元。典型的存储器单元由两个电气装置组成:存储电容器和存取场效应晶体管。每一存储器单元为可存储一个二进制数字(“位”)数据的可寻址位置。可通过晶体管将位写入到存储器单元,且通过感测存储电容器的参考电极上的电荷来读取所述位。通过减小这些构成电气装置和将其互连的导线的大小,并入有存储器单元的存储器装置的大小可同样被减小。以类似方式,可通过将更多存储器单元安装到存储器装置中来增加这些装置的存储容量。
作为另一实例,快闪存储器(例如,电可擦除可编程只读存储器或“EEPROM”)是通常以区块为单位而非一次一个字节地擦除和重新编程的一类存储器。典型的快闪存储器包含存储器阵列,所述存储器阵列包括大量存储器单元。存储器单元包括能够容纳电荷的浮栅场效应晶体管。存储器单元中的数据由浮动栅极上电荷的存在或不存在来确定。通常将所述单元分组成被称作“擦除区块”的部分。通常将快闪存储器阵列的存储器单元布置成“或非”结构(在其中,每一存储器单元直接耦合到位线)或“与非”结构(在其中,存储器单元耦合成单元“串”,使得每一单元间接地耦合到位线,且为了存取需要激活串中的其它单元)。可通过对浮动栅极进行充电来在随机基础上对擦除区块内的存储器单元进行电编程。可通过区块擦除操作将电荷从浮动栅极移除,其中在单次操作中擦除所述擦除区块中的所有浮动栅极存储器单元。
如从此处所提供的实例明显看出,存储器装置通常包括电气装置和用于使所述电气装置互连的导体的较大图案或阵列。将此图案的“间距”界定为图案中两个相邻特征中的相同点之间的距离。这些特征通常由例如绝缘体或导体的材料中的开口界定,且彼此由例如绝缘体或导体的材料间隔开。因此,间距可被理解为特征的宽度和使所述特征与相邻特征隔开的间隔的宽度的总和。
发明内容
在本发明的一个实施例中,一种用于制造半导体装置的方法包含对光致抗蚀剂材料层进行图案化以形成多个心轴。所述方法进一步包含使用原子层沉积技术来将氧化物材料沉积到所述多个心轴上。所述方法进一步包含从暴露的水平表面各向异性地蚀刻所述氧化物材料。所述方法进一步包含相对于所述氧化物材料而选择性地蚀刻光致抗蚀剂材料,从而形成多个氧化物间隔物。
在本发明的另一实施例中,一种形成存储器装置的方法包含在衬底上形成多个心轴。所述心轴由衬底的暴露部分隔开。所述方法进一步包含使用在小于约100℃的温度下进行的原子层沉积技术来在心轴上且在衬底的暴露部分上沉积间隔物材料。所述方法进一步包含从(a)所述多个心轴的暴露水平表面和(b)衬底的暴露部分各向异性地蚀刻间隔物材料。将间隔物材料保留在所述多个心轴的垂直侧壁上。
在本发明的另一实施例中,一种形成集成电路的方法包含在硬掩模层上形成多个心轴。所述心轴包含感光材料。所述方法进一步包含使用原子层沉积技术来沉积间隔物材料。间隔物材料覆盖所述多个心轴。所述方法进一步包含从水平表面各向异性地蚀刻间隔物材料,从而使感光材料暴露。所述方法进一步包含在各向异性地蚀刻间隔物材料之后移除暴露的感光材料,从而在硬掩模层上留下间隔物图案。所述方法进一步包含将所述间隔物图案转印到硬掩模层。所述方法进一步包含从硬掩模层蚀刻间隔物图案。
在本发明的另一实施例中,一种集成电路制造方法包含使用微影技术来在硬掩模层上界定多个狭长心轴。所述心轴包含光致抗蚀剂材料。所述方法进一步包含在心轴周围形成间隔物图案。所述间隔物图案的间距小于微影技术的最小可分辨间距。间隔物图案由氧化物材料形成。在小于约100℃的温度下使用原子层沉积技术来沉积所述间隔物图案。
在本发明的另一实施例中,一种用于制造半导体装置的方法包含对光致抗蚀剂材料层进行图案化以在装置阵列区域中形成多个心轴。所述方法进一步包含在所述多个心轴上且在装置外围区域上沉积氧化物材料。所述方法进一步包含在装置阵列区域中从暴露的水平表面各向异性地蚀刻氧化物材料。所述方法进一步包含在装置外围区域中在氧化物材料上形成光致抗蚀剂材料图案。所述方法进一步包含从装置阵列区域且从装置外围区域选择性地蚀刻光致抗蚀剂材料。
在本发明的另一实施例中,一种形成存储器装置的方法包含在存储器装置的阵列区域中在衬底上方形成多个心轴。所述心轴视情况包含光致抗蚀剂材料。所述心轴由衬底的暴露部分隔开。所述方法进一步包含在心轴上、在衬底的暴露部分上且在存储器装置的外围区域上沉积间隔物材料。所述方法进一步包含在存储器装置的外围区域中在间隔物材料上沉积外围掩模。所述方法进一步包含从暴露的水平表面各向异性地蚀刻间隔物材料。将间隔物材料保留在(a)阵列区域中,心轴的垂直侧壁上和(b)外围区域中,衬底与外围掩模之间。
在本发明的另一实施例中,一种形成集成电路的方法包含在阵列区域中在硬掩模层上形成多个心轴。所述心轴包含光致抗蚀剂材料。所述方法进一步包含在阵列区域上和在围绕所述阵列区域的外围区域上沉积氧化物材料。所述氧化物材料覆盖所述多个心轴。所述方法进一步包含在外围区域中在氧化物材料上形成光致抗蚀剂材料图案。所述方法进一步包含在阵列区域中从水平表面各向异性地蚀刻氧化物材料。所述方法进一步包含在各向异性地蚀刻氧化物材料之后从阵列区域和外围区域移除暴露的光致抗蚀剂材料。
在本发明的另一实施例中,一种集成电路制造方法包含使用微影技术来在集成电路阵列区域中在硬掩模层上界定多个狭长心轴。所述心轴包含光致抗蚀剂材料。所述方法进一步包含在所述多个狭长心轴周围形成间隔物图案。所述间隔物图案的间距小于微影技术的最小可分辨间距。所述间隔物是由在小于约100℃的温度下沉积的氧化物材料形成。
在本发明的另一实施例中,一种部分形成的集成电路包含衬底。所述部分形成的集成电路进一步包含位于所述衬底上的硬掩模层。所述部分形成的集成电路进一步包含多个间隔物回路,所述多个间隔物回路直接形成于硬掩模层上,且至少部分地位于所述部分形成的集成电路的阵列区域中。所述部分形成的集成电路进一步包含至少部分地界定在所述部分形成的集成电路的外围区域中的掩模,其中所述掩模也直接形成于所述硬掩模层上。
附图说明
在附图中说明本文所揭示的集成电路和集成电路制造技术的示范性实施例,附图仅用于达到说明性目的,且不必按比例绘制。附图包含以下各图,其中相同标号指示相同部分。
图1A是上面形成有多个掩模线的衬底的示意性横截面图。
图1B是在执行将掩模图案转印到临时层中的各向异性蚀刻工艺之后图1A的衬底的示意性横截面图。
图1C是在去除掩模线和执行各向同性“缩小”蚀刻之后图1B的衬底的示意性横截面图。
图1D是在留在临时层中的心轴上席状沉积(blanket depositing)间隔物材料之后图1C的衬底的示意性横截面图。
图1E是在执行定向间隔物蚀刻以留下多倍间距特征或间隔物之后图1D的衬底的示意性横截面图。
图1F是在移除心轴之后图1E的衬底的示意性横截面图。
图2A是示范性部分形成的集成电路的示意性横截面图。
图2B是图2A的部分形成的集成电路的示意性俯视图。
图3A是在光致抗蚀剂层中的阵列区域中形成线之后图2A的示范性部分形成的集成电路的示意性横截面图。
图3B是图3A的部分形成的集成电路的示意性俯视图。
图4A是在执行修整蚀刻之后图3A的示范性部分形成的集成电路的示意性横截面图。
图4B是图4A的部分形成的集成电路的示意性俯视图。
图5A是在光致抗蚀剂心轴上席状沉积低温间隔物材料之后图4A的示范性部分形成的集成电路的示意性横截面图。
图5B是图5A的部分形成的集成电路的示意性俯视图。
图6A是在外围区域中界定特征之后图5A的示范性部分形成的集成电路的示意性横截面图。
图6B是图6A的部分形成的集成电路的示意性俯视图,其中外围光致抗蚀剂层席状沉积在大体上整个外围区域上
图6C是图6A的部分形成的集成电路的示意性俯视图,其中外围光致抗蚀剂层经图案化以界定外围特征。
图6D是图6A的部分形成的集成电路的示意性俯视图,其中外围光致抗蚀剂层部分地重叠低温间隔物材料。
图7A是在对低温间隔物材料执行各向异性蚀刻之后图6A的示范性部分形成的集成电路的示意性横截面图。
图7B是图7A的部分形成的集成电路的示意性俯视图。
图8A是在移除暴露的光致抗蚀剂材料之后图7A的示范性部分形成的集成电路的示意性横截面图。
图8B是图8A的部分形成的集成电路的示意性俯视图。
图9A是在将间隔物图案转印到下伏硬掩模层中之后图8A的示范性部分形成的集成电路的示意性横截面图。
图9B是图9A的部分形成的集成电路的示意性俯视图。
具体实施方式
随着包含存储器装置的电气装置和导体的大小变得越来越小,对用于形成这些特征的技术提出了更高的要求。举例来说,通常使用光刻来在衬底上对装置特征(例如导线)进行图案化。可使用间距的概念来描述这些特征的大小。然而,由于光学因素(例如,光或辐射波长),光刻技术具有最小间距,低于此最小间距,不能可靠地形成特征。因此,光刻技术的最小间距可限制特征大小的减小。
一种针对使光刻技术的能力扩展到其最小间距外而提出的技术是“间距加倍”技术。在图1A到图1F中说明此技术,且在第5,328,81号美国专利(1994年7月12日发布)中描述此技术,所述专利的整个揭示内容以引用的方式并入本文中。参看图1A,首先使用光刻来在上覆在临时或消耗性材料层20和衬底30上的光致抗蚀剂层中形成线10的图案。用于执行光刻的一般波长包括(但不限于)157nm、193nm、248nm或365nm。在执行随后的处理步骤之前,视情况地使用各向同性蚀刻来使线10缩小。如图1B中所示,接着通过蚀刻步骤(例如,各向异性蚀刻步骤)将所述图案转印到消耗性材料层20,从而形成占位区(placeholder)或心轴(mandrel)40。如图1C中所示,可剥离光致抗蚀剂线10,且可各向同性地蚀刻心轴40以增加相邻心轴40之间的距离。如图1D中所示,随后将间隔物材料层50沉积在心轴40上。如图1E中所示,接着通过在定向间隔物蚀刻中优先从水平表面蚀刻间隔物材料来在心轴40的侧面上形成间隔物60。如图1F中所示,接着移除其余的心轴40,仅留下间隔物60,其共同充当用于图案化的掩模。因此,在给定图案区以前界定一个特征和一个间隔(每一者具有宽度F,间距为2F)的情况下,同一图案区现在包括如由间隔物60界定的两个特征和两个间隔(每一者具有宽度1/2F,间距为F)。因此,通过使用间距加倍技术来有效地减小光刻技术可能具有的最小特征大小。
虽然实际上是使用这些技术来减小间距,但此间距减小常规上被称作“间距加倍”,或更一般来说,被称作“间距倍增”。这是因为这些技术允许衬底的给定区域中的特征数目加倍或更一般来说倍增。因此,使用所述常规术语,间距“倍增”某一因数实际上涉及使所述间距减小所述因数。本文中保留所述常规术语。通过层叠地形成多个间隔物层,可使可界定的特征大小变得更加小。因此,术语“间距倍增”和“间距加倍”大体上涉及所述工艺,不管使用间隔物形成工艺的次数是多少。
用于在存储器装置的外围和阵列中形成图案的一些所提出的方法涉及使用两个单独的碳层。举例来说,在一个此种方法中,用于界定间隔物回路的心轴形成于上部碳层中。在执行间距加倍和外围图案化工艺之后,将阵列图案转印到下部碳层。虽然此工艺确实允许在阵列区域和外围区域中形成具有不同大小的特征,但使用低温氧化物材料来界定间隔物回路允许心轴由现存光致抗蚀剂层形成。这有利地允许省略顶部碳层,从而简化生产。
根据前述内容,已开发出用于在半导体或集成电路装置中形成间距加倍特征的经简化且改进的技术。
在某些实施例中,待转印到衬底的特征图案的间距低于用于处理衬底的光刻技术的最小间距。另外,某些实施例可用于形成特征阵列,包括逻辑或栅极阵列以及易失性和非易失性存储器装置,例如,DRAM、相变存储器(phase change memory,“PCM”)、可编程导体随机存取存储器(programmable conductor random access memory,“PCRAM”)、只读存储器(read only memory,“ROM”)、快闪存储器。在此些装置中,间距倍增可用于(例如)在装置的阵列区域中形成晶体管栅极电极和导线。视情况,常规光刻可以特定序列与前述简化间距加倍技术组合,以在装置的外围区域中同时处理对逻辑电路(例如,局部互连和互补金属氧化物半导体(complementary metal oxidesemiconductor,“CMOS”)电路)有用的较大特征。举例来说,逻辑阵列可为现场可编程栅极阵列(“FPGA”),其具有类似于存储器阵列的核心阵列和带支持逻辑电路的外围设备。在图中说明且在本文中描述制造存储器装置期间的示范性遮蔽步骤。
图2A和图2B分别说明示范性部分制造的集成电路100(例如,存储器装置)的示意性横截面图和俯视图。图2B说明集成电路100包含由外围区域104围绕的中央阵列区域102。将了解,在制造集成电路100之后,阵列区域102通常将密集地组装有导线和电气装置(例如,晶体管和电容器)。如本文中所论述,可使用间距倍增来在阵列区域102中形成特征。
另一方面,外围区域104视情况可包括比阵列区域102中的特征更复杂且/或更大的特征。通常使用常规光刻而非间距倍增来对这些更复杂且/或更大的特征进行图案化,其实例包括各种类型的逻辑电路。位于外围区域104中的逻辑电路的几何复杂性使在集成电路100的此区域中使用间距倍增较为困难。相反,阵列图案典型的规则栅格有益于间距倍增。另外,外围区域104中的一些装置可能由于电约束条件而需要较大的几何形状,从而使得针对此些装置,间距倍增的优势比常规光刻的优势小。除了相对比例、相对位置方面的可能差异之外,集成电路100中外围区域104和阵列区域102的数目在其它实施例中也可能改变。在单独使用不同处理技术来在阵列区域102和外围区域104中形成特征的实施例中,可在处理阵列区域期间遮蔽外围区域104。
图2A说明部分形成的集成电路100包括上方形成有第一硬掩模层108的衬底106。在示范性实施例中,第一硬掩模层108包含例如以下材料的材料:氮化硅、氧化硅或有机材料,例如无定形碳材料、聚合物材料或自旋介电材料(spin on dielectric material)。在优选实施例中,第一硬掩模层108包含一种形式的无定形碳,其对光高度透明且通过对用于光对准的光的波长透明来提供对光对准的进一步改进。在其它经修改的实施例中,省略第一硬掩模层108。
可选第二硬掩模层110形成于第一硬掩模层108上。第二硬掩模层110优选包含无机材料。用于第二硬掩模层110的示范性材料包括氮化硅、多晶硅,或介电抗反射涂层(dielectric antireflective coating,“DARC”),例如富硅氧氮化硅。优选的是,可相对于随后沉积的间隔物材料来选择性地蚀刻包含第二硬掩模层110的材料。针对第二硬掩模层110使用DARC对形成具有接近于光刻技术的分辨极限的间距的图案可能尤其有利。明确地说,DARC可通过减少光反射来增强分辨率,从而增加光刻可界定图案的边缘的精确度。在可相对于随后沉积的间隔物材料来选择性地蚀刻第一硬掩模层108的实施例中,可省略第二硬掩模层110。在其它实施例中,用位于第一硬掩模层108与随后描述的上覆阵列光致抗蚀剂层之间的有机自旋抗反射涂层(“ARC”)来代替第二硬掩模层110。
阵列光致抗蚀剂层111(在本文中也被称作心轴层)形成于第二硬掩模层110上。阵列光致抗蚀剂层111优选包含感光材料,例如,与157nm、193nm、248nm或365nm波长系统、193nm波长浸渍系统(wavelength immersion system)、例如13.7nm波长系统的远紫外系统,或电子束微影系统相容的光致抗蚀剂材料。优选光致抗蚀剂材料的实例包括氟化氩敏感光致抗蚀剂(即,适合与氟化氩光源一起使用的光致抗蚀剂)和氟化氪敏感光致抗蚀剂(即,适合与氟化氪光源一起使用的光致抗蚀剂)。氟化氩光致抗蚀剂优选地与较短波长光刻系统(例如,193nm波长系统)一起使用。氟化氪光致抗蚀剂优选地与较长波长光刻系统(例如,248nm波长系统)一起使用。在经修改的实施例中,阵列光致抗蚀剂层111包含可通过纳米压印微影来图案化的光致抗蚀剂材料,例如通过使用模或机械力来对光致抗蚀剂材料进行图案化。
一般来说,基于本文中所揭示的用于各种图案形成和转印步骤的化学物和工艺条件而选择用于第一硬掩模层108、第二硬掩模层110和阵列光致抗蚀剂层111的材料。举例来说,在示范性实施例中,第一硬掩模层108、第二硬掩模层110和阵列光致抗蚀剂层111每一者可相对于彼此而被选择性地蚀刻。如本文中所使用,当某材料的蚀刻速率大于相邻材料的蚀刻速率时,认为所述材料“被选择性地蚀刻”(或“优先蚀刻”)。举例来说,在某些实施例中,“可选择性蚀刻的”材料的蚀刻速率比相邻材料的蚀刻速率大至少约2倍、大至少约10倍、大至少约20倍或大至少约40倍。在经修改的实施例中,如果使用其它合适材料、化学物和/或工艺条件,那么添加其它层。
可使用沉积工艺(例如,旋涂、溅镀、化学气相沉积(chemical vapor deposition,“CVD”)或原子层沉积)来形成第一硬掩模层108、第二硬掩模层110和阵列光致抗蚀剂层111。举例来说,低温CVD工艺(小于约550℃、小于约450℃或甚至小于约400℃)有利地减少无定形碳层的化学和/或物理分解,且因此对将材料沉积在现存无定形碳层(例如,第一硬掩模层108)上有用。在第6,573,030号美国专利(2003年6月3日发布)和第2005/0042879号美国专利申请公开案(2005年2月24日公开)中提供关于无定形碳层(包括掺杂无定形碳层)的形成的额外信息。此专利和专利申请公开案的整个揭示内容以引用的方式并入本文中。
第一硬掩模层108、第二硬掩模层110和阵列光致抗蚀剂层111的厚度也是取决于与本文中所揭示的蚀刻化学物和工艺条件的相容性来选择的。举例来说,当通过另一种材料的掩模来蚀刻某材料时,例如当通过选择性地蚀刻下伏层来将图案从上覆层转印到下伏层时,将来自这两个层的材料移除到某一程度。因此,上层优选地足够厚,使得其在图案转印期间不被完全磨损。在示范性实施例中,第二硬掩模层110的厚度优选地在约10nm与约40nm之间,且更优选地在约15nm与约30nm之间。
阵列光致抗蚀剂层111的厚度取决于用于对阵列光致抗蚀剂层111进行图案化的光的波长。在使用248nm光来执行光刻的示范性实施例中,阵列光致抗蚀剂层111的厚度优选地在约50nm与约300nm之间,且更优选地在约200nm与250nm之间。此高度可取决于用于对光致抗蚀剂层进行图案化的光的波长而改变。因为随后在阵列光致抗蚀剂层111中形成线,所以在某些实施例中,阵列光致抗蚀剂层111的高度受结构整体性和待形成的线的纵横比限制,因为较高间隔物线可能倒塌或以其它方式变形。
如图3A和图3B中所说明,在阵列光致抗蚀剂层111中界定包含由线124划界的沟槽122的图案。在一些实施例中,此图案可在衬底上的任何地方找到;在阵列区域中界定图案仅代表具有特定优势的特定实施例。沟槽122可(例如)用248nm或193nm的光通过光刻来形成,其中阵列光致抗蚀剂层111通过标线片(reticle)而暴露于辐射下,且接着被显影。在被显影后,其余的光可界定材料(其在所说明的实施例中为光致抗蚀剂)形成掩模特征,例如所说明的线124。在其它实施例中,还可使用无掩模微影或无掩模光刻来界定线124。
所得线124的间距等于线124的宽度与相邻沟槽122的宽度的总和。为了减小使用线124和沟槽122的此图案形成的特征的尺寸,所述间距可处于或接近用于对阵列光致抗蚀剂层111进行图案化的光刻技术的极限。举例来说,对于使用248nm光的光刻来说,线124的间距优选在约80nm与约150nm之间,且更优选地在约90nm与约120nm之间。因此,在示范性实施例中,所述间距是光刻技术的最小间距,且随后形成的间距倍增的间隔物图案有利地具有低于光刻技术的最小间距的间距。在替代实施例中,因为位置和特征大小的误差容限通常随着接近光刻技术的极限而增加,所以形成具有较大特征大小(例如,200nm)的线124,以减少线124的位置和大小的误差。
如图4A和图4B中所说明,优选通过对线124进行蚀刻来加宽沟槽122,以形成经修改的沟槽122′和经修改的线124′。优选使用各向同性蚀刻来对线124进行蚀刻,以使这些特征“缩小”。合适的蚀刻包括使用含氧等离子体的蚀刻,例如SO2/O2/N2/Ar等离子体、Cl2/O2/He等离子体或HBr/O2/N2等离子体。优选选择蚀刻的程度,使得经修改的线124′的宽度大体上等于随后形成的间距加倍特征之间的所需间隔。举例来说,在示范性实施例中,线124的宽度从在约80nm与约120nm之间减小到在约35nm与约70nm之间,且在另一实施例中,宽度减小到在约40nm与约50nm之间。有利地,宽度减小的蚀刻允许经修改的线124′比使用用于形成线124的光刻技术否则将可能形成的线窄。另外,所述蚀刻可向经修改的线124′提供光滑的边缘,从而改进经修改的线124′的均匀性。虽然可将经修改的线124′的临界尺寸蚀刻为低于光刻技术的分辨率极限,但此蚀刻并不更改经修改的沟槽122′和经修改的线124′的间距,因为这些特征中的相同点之间的距离保持相同。
如图5A和图5B中所说明,席状低温间隔物材料层126沉积在经修改的线124′上。在示范性实施例中,低温间隔物材料126包含使用原子层沉积(“ALD”)技术沉积的氧化物材料层。一般来说,光致抗蚀剂材料并不如无机或碳材料那样耐高温。因此,由低温氧化物材料形成间隔物有利地允许消除单独的心轴层沉积、图案化和转印,经图案化的阵列光致抗蚀剂层111提供相同的功能。在一个实施例中在小于约200℃下,在另一实施例中在小于约100℃下,在另一实施例中在小于约80℃下,且在另一实施例中在小于约75℃下,沉积低温间隔物材料。
在示范性实施例中,使用Si2Cl6、H2O和C5H5N前驱物来在ALD工艺中沉积低温间隔物材料126。在这些实施例中,将间隔物材料126沉积到某一厚度,在一个实施例中所述厚度在约20nm与约65nm之间,在另一实施例中所述厚度在约25nm与约60nm之间,且在另一实施例中所述厚度在约30nm与约55nm之间。在一个实施例中,间隔物材料的厚度在约30nm与约40nm之间,且在另一实施例中,间隔物材料的厚度在约43nm与约55nm之间。间隔物材料沉积速率在一个实施例中在约每循环1与约每循环4之间,且在另一实施例中为约每循环2。
如图6A到图6D中所说明,外围光致抗蚀剂层128视情况沉积在外围区域104中,同时使阵列区域102的至少一部分保持敞开。在图6B中所说明的优选实施例中,外围光致抗蚀剂层128大体上席状沉积在整个外围区域104上。在图6C中所说明的替代实施例中,外围光致抗蚀剂层128经图案化以界定外围特征。示范性外围特征包括着陆垫(landing pad)、晶体管、局部互连和其类似物。在图6D中所说明的另一替代实施例中,外围光致抗蚀剂层128沉积在低温间隔物材料126的回路末端和经修改的线124′的尖端124"上,从而阻断经修改的线124′的尖端124"。图6D中所说明的实施例对形成波形花纹结构尤其有利,因为此配置防止低温间隔物材料126上的回路末端在随后的蚀刻工艺期间可使用。
在又一个经修改的实施例中,省略图6A到图6D的第二遮蔽步骤,在较早阶段进行第二遮蔽步骤,或在稍后阶段进行第二遮蔽步骤。这使间隔物材料126在外围区域104中暴露。举例来说,在一种布置中,在与沉积阵列光致抗蚀剂层111相同的沉积步骤中沉积外围光致抗蚀剂层128。在此些实施例中,用于对阵列光致抗蚀剂层111进行图案化的掩模经配置以在外围区域104中留下光致抗蚀剂图案或席状层。此工艺导致光致抗蚀剂直接沉积在外围区域104中的第二硬掩模层110上,而无插入的间隔物材料126。
如图7A和图7B中所说明,低温间隔物材料126接着经受各向异性蚀刻,以从部分形成的集成电路100的水平表面移除间隔物材料。可使用(例如)含HBr/Cl2的等离子体来执行此蚀刻(也被称作间隔物蚀刻)。因此,间距倍增已完成以形成间隔物130。在所说明的实施例中,间隔物130的间距大约为最初通过光刻形成的光致抗蚀剂线124和沟槽122(见图3A和图3B)的间距的一半。在光致抗蚀剂线124具有约200nm的间距的情况下,可形成具有约100nm或更小(针对约50nm的宽度)的间距的间隔物130。因为间隔物130形成于经修改的线124′的侧壁上,所以间隔物130大体上遵循第一或阵列光致抗蚀剂层111中的经修改的线124′的图案的轮廓,且因此通常形成如图7B中所说明的闭合回路。然而,一般来说,间隔物130的配置取决于第二光致抗蚀剂层128的图案的不存在或存在(见上文对图6A到图6D和其变化方案的论述)。
如图8A和图8B中所说明,从部分形成的集成电路100选择性地蚀刻剩余的暴露光致抗蚀剂材料。所述其余的暴露光致抗蚀剂材料包括第一或阵列光致抗蚀剂层111,以及任何第二或外围光致抗蚀剂材料128。这导致由经修改的沟槽122′间隔的独立间隔物130的形成。现在通过任何剩余低温间隔物材料126来在外围区域104中界定外围特征。因此,将阵列光致抗蚀剂层111用作心轴,以形成间隔物130。使用有机剥离工艺来选择性地移除光致抗蚀剂材料。优选的蚀刻化学包括含氧等离子体蚀刻,例如使用SO2的蚀刻。在外围光致抗蚀剂层128直接沉积在第二硬掩模层110上的实施例中,仅从集成电路100的阵列区域102选择性地蚀刻光致抗蚀剂材料。或者,在此些实施例中,从阵列区域102和外围区域104两者蚀刻光致抗蚀剂材料,接着是外围区域104中光致抗蚀剂材料的随后沉积。在省略外围光致抗蚀剂层的实施例中,硬掩模层110在外围阵列区域104中暴露。
在形成独立间隔物130之后,可进行随后的处理步骤,例如干式显影步骤和原位蚀刻步骤。如图9A和图9B中所说明,可使用随后的处理步骤来将间隔物130和外围特征的图案转印到下伏第一硬掩模层108和/或第二硬掩模层110。具体来说,图9A和图9B说明界定在第一硬掩模层108和第二硬掩模层110中的间隔物130的图案。视情况从图9A和图9B中所说明的结构蚀刻第二硬掩模层110。接着可通过蚀刻下伏衬底106来将此图案转印到下伏衬底106中。还可通过界定在第一硬掩模层108和/或第二硬掩模层110中的图案来以其它方式处理所述结构(例如,通过掺杂、氧化、氮化或选择性地沉积)。如本文中所述,衬底106可包括先前沉积的层,例如用于波形花纹金属化的绝缘层或用于常规金属化的金属层。
本文所揭示的某些技术有利地允许形成间隔物的间距加倍的图案,而不使用额外的层来界定阵列特征,例如顶部碳层和无定形硅层。具体来说,如本文中所揭示,通过直接在阵列光致抗蚀剂层111上形成低温氧化物间隔物,可使用感光或光致抗蚀剂材料本身来界定随后的间距倍增技术中所使用的心轴。这有利地消除了对图案化额外遮蔽层以界定心轴的需要。此些技术有利地允许消除与此些额外遮蔽层相关联的工艺步骤,例如额外干式显影步骤和硬掩模蚀刻步骤。此外,此些技术还有利地允许使用与用于在阵列区域中形成间隔物的低温间隔物材料相同的低温间隔物材料来界定外围光致抗蚀剂层128。本文中所揭示的某些实施例还有利地允许硬掩模材料(与更易受影响的光致抗蚀剂材料相比)用于在外围区域104中阻断和界定特征,而不要求使用单独的硬掩模层。
本发明的范畴
虽然前述详细描述揭示本发明的若干实施例,但应理解,此揭示内容仅是说明性的且不限制本发明。应了解,所揭示的具体配置和操作可不同于上文所述的配置和操作,且本文所描述的方法可在除集成电路制造之外的环境中使用。
Claims (43)
1.一种制造半导体装置的方法,所述方法包含:
对光致抗蚀剂材料层进行图案化以在硬掩模层上形成多个心轴;
使用原子层沉积技术来将氧化物材料沉积在所述多个心轴上;
优先从暴露的水平表面各向异性地蚀刻所述氧化物材料;以及
相对于所述氧化物材料而选择性地蚀刻所述光致抗蚀剂材料,从而在所述硬掩模层上形成多个氧化物间隔物;以及
将所述间隔物图案转印到所述硬掩模层。
2.根据权利要求1所述的方法,其中使用选自由Si2Cl6、H2O和C5H5N组成的群组的前驱物来沉积所述氧化物材料。
3.根据权利要求1所述的方法,其中:
所述多个心轴形成于装置阵列区域中;以及
所述氧化物材料还沉积在装置外围区域上。
4.根据权利要求3所述的方法,其进一步包含在所述装置外围区域中所述氧化物材料上形成光致抗蚀剂材料图案。
5.根据权利要求4所述的方法,其中选择性地蚀刻所述光致抗蚀剂材料进一步包含从所述装置外围区域选择性地蚀刻光致抗蚀剂材料。
6.根据权利要求1所述的方法,其进一步包含各向同性地蚀刻所述多个心轴,以在沉积所述氧化物材料之前形成多个经修改的心轴。
7.根据权利要求6所述的方法,其中各向同性地蚀刻所述多个心轴包含使用选自由SO2/O2/N2/Ar等离子体、Cl2/O2/He等离子体和HBr/O2/N2等离子体组成的群组的含氧等离子体。
8.根据权利要求6所述的方法,其中所述经修改的心轴具有在35nm与70nm之间的宽度。
9.根据权利要求1所述的方法,其中对所述光致抗蚀剂层进行图案化包含使用纳米压印微影。
10.根据权利要求1所述的方法,其中各向异性地蚀刻所述氧化物材料包含使用含HBr/Ci2的等离子体。
11.根据权利要求1所述的方法,其中在小于100℃的温度下沉积所述氧化物材料。
12.根据权利要求1所述的方法,其中在小于80℃的温度下沉积所述氧化物材料。
13.根据权利要求1所述的方法,其中在位于碳层上的氧氮化硅层上对所述光致抗蚀剂材料层进行图案化。
14.根据权利要求1所述的方法,其中所述多个心轴具有在50nm与300nm之间的高度。
15.根据权利要求1所述的方法,其中所述光致抗蚀剂材料层包含选自由氟化氩光致抗蚀剂和氟化氪光致抗蚀剂组成的群组的材料。
16.一种形成存储器装置的方法,所述方法包含:
在硬掩模层上形成多个心轴,所述硬掩模层在衬底上,所述心轴由所述衬底的暴露部分隔开;
使用在小于100℃的温度下进行的原子层沉积技术来在所述心轴上且在所述衬底的所述暴露部分上沉积间隔物材料;以及
从(a)所述多个心轴的暴露水平表面和(b)所述衬底的所述暴露部分各向异性地蚀刻所述间隔物材料,从而使间隔物材料保留在所述多个心轴的垂直侧壁上。
17.根据权利要求16所述的方法,其进一步包含移除所述多个心轴,从而使间隔物图案位于所述衬底上。
18.根据权利要求17所述的方法,其中包含所述间隔物图案的所述间隔物具有50nm或更小的宽度
19.根据权利要求17所述的方法,其中在所述心轴上将所述间隔物材料沉积到厚度x,且其中包含所述间隔物图案包含所述间隔物图案的所述间隔物具有宽度x。
20.根据权利要求17所述的方法,其进一步包含将所述间隔物图案转印到无定形碳硬掩模中。
21.一种形成集成电路的方法,所述方法包含:
在所述集成电路的阵列区域中在硬掩模层上形成多个心轴,其中所述心轴包含感光材料;
使用原子层沉积技术来沉积间隔物材料,其中所述间隔物材料覆盖所述多个心轴,且在所述集成电路的外围区域上延伸;
在所述外围区域中在所述间隔物材料上形成感光材料图案;
随后优先从水平表面各向异性地蚀刻所述间隔物材料,从而使所述心轴暴露;
在各向异性地蚀刻所述间隔物材料之后移除所述暴露的心轴,从而在所述硬掩模层上留下间隔物图案;
将所述间隔物图案转印到所述硬掩模层;以及
从所述硬掩模层蚀刻所述间隔物图案。
22.根据权利要求21所述的方法,其中所述硬掩模层包含碳。
23.根据权利要求21所述的方法,其进一步包含:
在各向异性地蚀刻所述间隔物材料之后,从所述外围区域移除暴露的感光材料。
24.根据权利要求21所述的方法,其中所述硬掩模层包含碳,且进一步包含位于所述包含碳的硬掩模层上的第二硬掩模层,所述第二硬掩模层包含选自由硅、氮化硅和氧氮化硅组成的群组的材料。
25.根据权利要求21所述的方法,其中所述硬掩模层位于半导体衬底上。
26.根据权利要求21所述的方法,其中在小于100℃的温度下沉积所述间隔物材料。
27.根据权利要求21所述的方法,其进一步包含在沉积所述间隔物材料之前各向同性地蚀刻所述多个心轴。
28.一种集成电路制造方法,所述方法包含:
使用微影技术在硬掩模层上界定多个狭长心轴,其中所述心轴包含光致抗蚀剂材料;以及
在所述心轴周围形成间隔物图案,其中:
所述间隔物图案的间距小于所述微影技术的最小可分辨间距,
所述间隔物图案由氧化物材料形成,以及
在小于100℃的温度下使用原子层沉积技术来沉积所述间隔物图案。
29.根据权利要求28所述的方法,其中在小于80℃的温度下沉积所述间隔物图案。
30.根据权利要求28所述的方法,其中在小于30℃的温度下沉积所述间隔物图案。
31.根据权利要求28所述的方法,其进一步包含将所述间隔物图案转印到所述硬掩模层中。
32.根据权利要求31所述的方法,其中将所述间隔物图案转印到所述硬掩模层中进一步包含使衬底的下伏在所述硬掩模层下的一部分暴露。
33.根据权利要求28所述的方法,其中所述间隔物图案包含形成于所述心轴周围的间隔物回路图案。
34.根据权利要求28所述的方法,其中所述硬掩模层包含选自由硅、氮化硅和氧氮化硅组成的群组的材料。
35.根据权利要求28所述的方法,其中所述硬掩模层包含介电抗反射涂层。
36.根据权利要求28所述的方法,其中所述硬掩模层包含有机抗反射涂层。
37.根据权利要求28所述的方法,其中所述硬掩模层形成于半导体衬底上。
38.根据权利要求28所述的方法,其中所述硬掩模层形成于半导体衬底和无定形碳层上。
39.根据权利要求28所述的方法,其中所述心轴具有在50nm与300nm之间的高度。
40.根据权利要求28所述的方法,其中所述心轴具有在200nm与250nm之间的高度。
41.根据权利要求28所述的方法,其中所述心轴包含选自由无定形碳、氟化氩光致抗蚀剂和氟化氪光致抗蚀剂组成的群组的材料。
42.根据权利要求28所述的方法,其中所述间隔物图案具有在70nm与120nm之间的间距。
43.根据权利要求28所述的方法,其中所述图案具有在80nm与100nm之间的间距。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/400,603 US7902074B2 (en) | 2006-04-07 | 2006-04-07 | Simplified pitch doubling process flow |
PCT/US2007/011525 WO2007117718A2 (en) | 2006-04-07 | 2007-05-14 | Simplified pitch doubling process flow |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101416278A CN101416278A (zh) | 2009-04-22 |
CN101416278B true CN101416278B (zh) | 2011-04-06 |
Family
ID=38541931
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2007800125255A Active CN101416278B (zh) | 2006-04-07 | 2007-05-14 | 简化的间距加倍工艺流程 |
Country Status (4)
Country | Link |
---|---|
US (5) | US7902074B2 (zh) |
EP (1) | EP2011141B1 (zh) |
CN (1) | CN101416278B (zh) |
WO (1) | WO2007117718A2 (zh) |
Families Citing this family (473)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7547945B2 (en) | 2004-09-01 | 2009-06-16 | Micron Technology, Inc. | Transistor devices, transistor structures and semiconductor constructions |
US7384849B2 (en) | 2005-03-25 | 2008-06-10 | Micron Technology, Inc. | Methods of forming recessed access devices associated with semiconductor constructions |
US7282401B2 (en) | 2005-07-08 | 2007-10-16 | Micron Technology, Inc. | Method and apparatus for a self-aligned recessed access device (RAD) transistor gate |
US7867851B2 (en) | 2005-08-30 | 2011-01-11 | Micron Technology, Inc. | Methods of forming field effect transistors on substrates |
US7700441B2 (en) | 2006-02-02 | 2010-04-20 | Micron Technology, Inc. | Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates |
US7488685B2 (en) | 2006-04-25 | 2009-02-10 | Micron Technology, Inc. | Process for improving critical dimension uniformity of integrated circuit arrays |
US7795149B2 (en) | 2006-06-01 | 2010-09-14 | Micron Technology, Inc. | Masking techniques and contact imprint reticles for dense semiconductor fabrication |
US8852851B2 (en) | 2006-07-10 | 2014-10-07 | Micron Technology, Inc. | Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same |
US7602001B2 (en) | 2006-07-17 | 2009-10-13 | Micron Technology, Inc. | Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells |
WO2008022097A2 (en) * | 2006-08-15 | 2008-02-21 | Api Nanofabrication And Research Corp. | Methods for forming patterned structures |
US7772632B2 (en) | 2006-08-21 | 2010-08-10 | Micron Technology, Inc. | Memory arrays and methods of fabricating memory arrays |
US7611980B2 (en) | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
US7589995B2 (en) | 2006-09-07 | 2009-09-15 | Micron Technology, Inc. | One-transistor memory cell with bias gate |
US7666578B2 (en) | 2006-09-14 | 2010-02-23 | Micron Technology, Inc. | Efficient pitch multiplication process |
US7807578B2 (en) * | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
US7923373B2 (en) * | 2007-06-04 | 2011-04-12 | Micron Technology, Inc. | Pitch multiplication using self-assembling materials |
US8980756B2 (en) | 2007-07-30 | 2015-03-17 | Micron Technology, Inc. | Methods for device fabrication using pitch reduction |
US20090035902A1 (en) * | 2007-07-31 | 2009-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated method of fabricating a memory device with reduced pitch |
US8563229B2 (en) | 2007-07-31 | 2013-10-22 | Micron Technology, Inc. | Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures |
KR101095772B1 (ko) * | 2007-10-17 | 2011-12-21 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
TWI493598B (zh) * | 2007-10-26 | 2015-07-21 | Applied Materials Inc | 利用光阻模板遮罩的倍頻方法 |
US7737039B2 (en) | 2007-11-01 | 2010-06-15 | Micron Technology, Inc. | Spacer process for on pitch contacts and related structures |
US7659208B2 (en) | 2007-12-06 | 2010-02-09 | Micron Technology, Inc | Method for forming high density patterns |
US7790531B2 (en) | 2007-12-18 | 2010-09-07 | Micron Technology, Inc. | Methods for isolating portions of a loop of pitch-multiplied material and related structures |
US7846812B2 (en) * | 2007-12-18 | 2010-12-07 | Micron Technology, Inc. | Methods of forming trench isolation and methods of forming floating gate transistors |
US8304174B2 (en) * | 2007-12-28 | 2012-11-06 | Hynix Semiconductor Inc. | Method for fabricating semiconductor device |
US7856613B1 (en) | 2008-01-30 | 2010-12-21 | Cadence Design Systems, Inc. | Method for self-aligned doubled patterning lithography |
JP2009200080A (ja) * | 2008-02-19 | 2009-09-03 | Tokyo Electron Ltd | プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体 |
US8030218B2 (en) | 2008-03-21 | 2011-10-04 | Micron Technology, Inc. | Method for selectively modifying spacing between pitch multiplied structures |
KR101448854B1 (ko) * | 2008-03-28 | 2014-10-14 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법 |
JP2009267111A (ja) * | 2008-04-25 | 2009-11-12 | Tokyo Electron Ltd | 半導体デバイスの製造方法、製造装置、コンピュータプログラム、及びコンピュータ可読記憶媒体 |
US7989307B2 (en) | 2008-05-05 | 2011-08-02 | Micron Technology, Inc. | Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same |
US10151981B2 (en) | 2008-05-22 | 2018-12-11 | Micron Technology, Inc. | Methods of forming structures supported by semiconductor substrates |
JP2009295785A (ja) * | 2008-06-05 | 2009-12-17 | Toshiba Corp | 半導体装置の製造方法 |
JP5224919B2 (ja) * | 2008-06-10 | 2013-07-03 | 株式会社東芝 | 半導体装置の製造方法 |
US20110104901A1 (en) * | 2008-06-13 | 2011-05-05 | Tokyo Electron Limited | Semiconductor device manufacturing method |
US8076208B2 (en) | 2008-07-03 | 2011-12-13 | Micron Technology, Inc. | Method for forming transistor with high breakdown voltage using pitch multiplication technique |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8492282B2 (en) | 2008-11-24 | 2013-07-23 | Micron Technology, Inc. | Methods of forming a masking pattern for integrated circuits |
US8273634B2 (en) | 2008-12-04 | 2012-09-25 | Micron Technology, Inc. | Methods of fabricating substrates |
US8796155B2 (en) | 2008-12-04 | 2014-08-05 | Micron Technology, Inc. | Methods of fabricating substrates |
US8247302B2 (en) | 2008-12-04 | 2012-08-21 | Micron Technology, Inc. | Methods of fabricating substrates |
US8680650B2 (en) | 2009-02-03 | 2014-03-25 | Micron Technology, Inc. | Capacitor structures having improved area efficiency |
JP5329265B2 (ja) * | 2009-03-09 | 2013-10-30 | 株式会社日立国際電気 | 半導体装置の製造方法および基板処理装置 |
US8268543B2 (en) | 2009-03-23 | 2012-09-18 | Micron Technology, Inc. | Methods of forming patterns on substrates |
US8197915B2 (en) | 2009-04-01 | 2012-06-12 | Asm Japan K.K. | Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US9330934B2 (en) * | 2009-05-18 | 2016-05-03 | Micron Technology, Inc. | Methods of forming patterns on substrates |
CN102428544B (zh) | 2009-05-20 | 2014-10-29 | 株式会社东芝 | 凹凸图案形成方法 |
US8268730B2 (en) * | 2009-06-03 | 2012-09-18 | Micron Technology, Inc. | Methods of masking semiconductor device structures |
JP2010283213A (ja) * | 2009-06-05 | 2010-12-16 | Tokyo Electron Ltd | 基板処理方法 |
US7972926B2 (en) * | 2009-07-02 | 2011-07-05 | Micron Technology, Inc. | Methods of forming memory cells; and methods of forming vertical structures |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5486238B2 (ja) * | 2009-08-17 | 2014-05-07 | 日本電信電話株式会社 | 微細構造体形成方法 |
CN102054668B (zh) * | 2009-10-28 | 2012-02-22 | 中国科学院微电子研究所 | 电子束正性光刻胶Zep 520掩蔽介质刻蚀的方法 |
US8003482B2 (en) | 2009-11-19 | 2011-08-23 | Micron Technology, Inc. | Methods of processing semiconductor substrates in forming scribe line alignment marks |
US8153522B2 (en) | 2010-03-02 | 2012-04-10 | Micron Technology, Inc. | Patterning mask and method of formation of mask using step double patterning |
NL2006451A (en) * | 2010-05-06 | 2011-11-08 | Asml Netherlands Bv | Production of an alignment mark. |
US8621398B2 (en) * | 2010-05-14 | 2013-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Automatic layout conversion for FinFET device |
US8541765B2 (en) | 2010-05-25 | 2013-09-24 | Micron Technology, Inc. | Resistance variable memory cell structures and methods |
US8512938B2 (en) | 2010-06-14 | 2013-08-20 | Micron Technology, Inc. | Methods of forming a pattern in a material and methods of forming openings in a material to be patterned |
US8574954B2 (en) | 2010-08-31 | 2013-11-05 | Micron Technology, Inc. | Phase change memory structures and methods |
US8455341B2 (en) | 2010-09-02 | 2013-06-04 | Micron Technology, Inc. | Methods of forming features of integrated circuitry |
US8288083B2 (en) | 2010-11-05 | 2012-10-16 | Micron Technology, Inc. | Methods of forming patterned masks |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
US8575032B2 (en) | 2011-05-05 | 2013-11-05 | Micron Technology, Inc. | Methods of forming a pattern on a substrate |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
KR20130015145A (ko) * | 2011-08-02 | 2013-02-13 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법 |
US8309462B1 (en) * | 2011-09-29 | 2012-11-13 | Sandisk Technologies Inc. | Double spacer quadruple patterning with self-connected hook-up |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
TWI473205B (zh) * | 2011-11-24 | 2015-02-11 | Powerchip Technology Corp | 接觸窗開口的形成方法 |
US9177794B2 (en) | 2012-01-13 | 2015-11-03 | Micron Technology, Inc. | Methods of patterning substrates |
US8551690B2 (en) | 2012-01-20 | 2013-10-08 | Micron Technology, Inc. | Methods of forming patterns |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
KR101908980B1 (ko) | 2012-04-23 | 2018-10-17 | 삼성전자주식회사 | 전계 효과 트랜지스터 |
US9006911B2 (en) * | 2012-05-16 | 2015-04-14 | Nanya Technology Corporation | Method for forming patterns of dense conductor lines and their contact pads, and memory array having dense conductor lines and contact pads |
CN103474389B (zh) * | 2012-06-06 | 2016-03-02 | 中芯国际集成电路制造(上海)有限公司 | 金属互连结构的制作方法 |
JP6236918B2 (ja) * | 2012-06-26 | 2017-11-29 | 大日本印刷株式会社 | ナノインプリント用テンプレートの製造方法 |
US8629048B1 (en) | 2012-07-06 | 2014-01-14 | Micron Technology, Inc. | Methods of forming a pattern on a substrate |
US8735296B2 (en) | 2012-07-18 | 2014-05-27 | International Business Machines Corporation | Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9111857B2 (en) * | 2012-09-21 | 2015-08-18 | Micron Technology, Inc. | Method, system and device for recessed contact in memory array |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8697538B1 (en) * | 2012-11-07 | 2014-04-15 | Winbond Electronics Corp. | Method of forming pattern in substrate |
US8932956B2 (en) | 2012-12-04 | 2015-01-13 | International Business Machines Corporation | Far back end of the line stack encapsulation |
US8999852B2 (en) | 2012-12-12 | 2015-04-07 | Micron Technology, Inc. | Substrate mask patterns, methods of forming a structure on a substrate, methods of forming a square lattice pattern from an oblique lattice pattern, and methods of forming a pattern on a substrate |
US8889559B2 (en) | 2012-12-12 | 2014-11-18 | Micron Technology, Inc. | Methods of forming a pattern on a substrate |
US8889558B2 (en) | 2012-12-12 | 2014-11-18 | Micron Technology, Inc. | Methods of forming a pattern on a substrate |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9213239B2 (en) | 2013-01-22 | 2015-12-15 | Micron Technology, Inc. | Methods of forming patterns for semiconductor device structures |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8623770B1 (en) | 2013-02-21 | 2014-01-07 | HGST Netherlands B.V. | Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide |
US8937018B2 (en) | 2013-03-06 | 2015-01-20 | Micron Technology, Inc. | Methods of forming a pattern on a substrate |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9437443B2 (en) | 2013-06-12 | 2016-09-06 | Globalfoundries Inc. | Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides |
US9583381B2 (en) | 2013-06-14 | 2017-02-28 | Micron Technology, Inc. | Methods for forming semiconductor devices and semiconductor device structures |
US9190291B2 (en) | 2013-07-03 | 2015-11-17 | United Microelectronics Corp. | Fin-shaped structure forming process |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9177797B2 (en) * | 2013-12-04 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithography using high selectivity spacers for pitch reduction |
US9123825B2 (en) | 2014-01-13 | 2015-09-01 | GlobalFoundries, Inc. | Methods for fabricating FinFET integrated circuits using laser interference lithography techniques |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
KR102233577B1 (ko) * | 2014-02-25 | 2021-03-30 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US9508713B2 (en) | 2014-03-05 | 2016-11-29 | International Business Machines Corporation | Densely spaced fins for semiconductor fin field effect transistors |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9373678B2 (en) * | 2014-06-17 | 2016-06-21 | Globalfoundries Inc. | Non-planar capacitors with finely tuned capacitance values and methods of forming the non-planar capacitors |
KR102257038B1 (ko) | 2014-06-23 | 2021-05-28 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법, 및 이를 이용한 반도체 소자의 제조방법, 및 이를 이용하여 제조된 반도체 소자 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US9299924B1 (en) | 2015-06-29 | 2016-03-29 | International Business Machines Corporation | Injection pillar definition for line MRAM by a self-aligned sidewall transfer |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
KR102280471B1 (ko) | 2015-07-20 | 2021-07-22 | 삼성전자주식회사 | 액티브 패턴들 형성 방법, 액티브 패턴 어레이, 및 반도체 장치 제조 방법 |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US20170053793A1 (en) | 2015-08-17 | 2017-02-23 | Tokyo Electron Limited | Method and system for sculpting spacer sidewall mask |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US20170092576A1 (en) * | 2015-09-29 | 2017-03-30 | HGST Netherlands B.V. | Contacting nano-imprinted cross-point arrays to a substrate |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9882028B2 (en) * | 2016-06-29 | 2018-01-30 | International Business Machines Corporation | Pitch split patterning for semiconductor devices |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
TWI697032B (zh) * | 2016-10-24 | 2020-06-21 | 聯華電子股份有限公司 | 半導體元件的製程 |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10431457B2 (en) | 2016-11-25 | 2019-10-01 | United Microelectronics Corp. | Method for forming patterned structure |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
CN108389796A (zh) * | 2017-02-03 | 2018-08-10 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
CN109309091A (zh) | 2017-07-28 | 2019-02-05 | 联华电子股份有限公司 | 图案化方法 |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
CN107731665B (zh) * | 2017-11-13 | 2023-07-25 | 长鑫存储技术有限公司 | 用于间距倍增的集成电路制造 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
CN109872946B (zh) | 2017-12-04 | 2020-12-01 | 联华电子股份有限公司 | 半导体装置的形成方法 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10755969B2 (en) | 2018-01-01 | 2020-08-25 | International Business Machines Corporation | Multi-patterning techniques for fabricating an array of metal lines with different widths |
US10276434B1 (en) | 2018-01-02 | 2019-04-30 | International Business Machines Corporation | Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
WO2019169335A1 (en) | 2018-03-02 | 2019-09-06 | Lam Research Corporation | Selective deposition using hydrolysis |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10475648B1 (en) | 2018-05-01 | 2019-11-12 | United Microelectronics Corp. | Method for patterning a semiconductor structure |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US20190390341A1 (en) * | 2018-06-26 | 2019-12-26 | Lam Research Corporation | Deposition tool and method for depositing metal oxide films on organic materials |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US10522395B1 (en) | 2018-08-21 | 2019-12-31 | Micron Technology, Inc. | Methods of forming a pattern |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11482414B2 (en) | 2019-12-18 | 2022-10-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Ultra-low temperature ALD to form high-quality Si-containing film |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11437317B2 (en) | 2020-02-10 | 2022-09-06 | International Business Machines Corporation | Single-mask alternating line deposition |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US20220189771A1 (en) * | 2020-12-10 | 2022-06-16 | Applied Materials, Inc. | Underlayer film for semiconductor device formation |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
KR20220087229A (ko) | 2020-12-17 | 2022-06-24 | 삼성전자주식회사 | 반도체 소자 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
KR20220138921A (ko) | 2021-04-06 | 2022-10-14 | 삼성전자주식회사 | 반도체 소자의 제조방법 |
US12068158B2 (en) | 2021-04-23 | 2024-08-20 | Changxin Memory Technologies, Inc. | Method for fabricating semiconductor structure |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005034215A1 (de) * | 2003-09-30 | 2005-04-14 | Infineon Technologies Ag | Verfahren zum erzeugen einer hartmaske und hartmasken-anordnung |
WO2006127586A2 (en) * | 2005-05-23 | 2006-11-30 | Micron Technology, Inc. | Methods for forming arrays of small, closely spaced features |
Family Cites Families (233)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5748237Y2 (zh) | 1978-12-28 | 1982-10-22 | ||
US4234362A (en) | 1978-11-03 | 1980-11-18 | International Business Machines Corporation | Method for forming an insulator between layers of conductive material |
US4246822A (en) | 1979-02-09 | 1981-01-27 | Kawai Musical Instrument Mfg. Co. Ltd. | Data transfer apparatus for digital polyphonic tone synthesizer |
US4508579A (en) | 1981-03-30 | 1985-04-02 | International Business Machines Corporation | Lateral device structures using self-aligned fabrication techniques |
DE3112672C1 (de) | 1981-03-31 | 1983-06-01 | M.A.N.- Roland Druckmaschinen AG, 6050 Offenbach | Anschlag fuer die Bogenhinterkante bei Bogenauslegern an bogenverarbeitenden Maschinen |
US4432132A (en) | 1981-12-07 | 1984-02-21 | Bell Telephone Laboratories, Incorporated | Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features |
US4419809A (en) | 1981-12-30 | 1983-12-13 | International Business Machines Corporation | Fabrication process of sub-micrometer channel length MOSFETs |
DE3242113A1 (de) | 1982-11-13 | 1984-05-24 | Ibm Deutschland Gmbh, 7000 Stuttgart | Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper |
US4716131A (en) | 1983-11-28 | 1987-12-29 | Nec Corporation | Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film |
US4570325A (en) | 1983-12-16 | 1986-02-18 | Kabushiki Kaisha Toshiba | Manufacturing a field oxide region for a semiconductor device |
US4713756A (en) | 1985-02-28 | 1987-12-15 | Westinghouse Electric Corp. | Non-volatile memory device for a programmable controller |
US4648937A (en) | 1985-10-30 | 1987-03-10 | International Business Machines Corporation | Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer |
GB8528967D0 (en) | 1985-11-25 | 1986-01-02 | Plessey Co Plc | Semiconductor device manufacture |
DE3682395D1 (de) | 1986-03-27 | 1991-12-12 | Ibm | Verfahren zur herstellung von seitenstrukturen. |
US4751645A (en) | 1986-08-12 | 1988-06-14 | Abrams William R | Method for sonic analysis of an anomaly in a seafloor topographic representation |
US5514885A (en) | 1986-10-09 | 1996-05-07 | Myrick; James J. | SOI methods and apparatus |
JPS6435916U (zh) | 1987-08-28 | 1989-03-03 | ||
US4776922A (en) | 1987-10-30 | 1988-10-11 | International Business Machines Corporation | Formation of variable-width sidewall structures |
US4838991A (en) | 1987-10-30 | 1989-06-13 | International Business Machines Corporation | Process for defining organic sidewall structures |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5013680A (en) | 1990-07-18 | 1991-05-07 | Micron Technology, Inc. | Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography |
US5053105A (en) | 1990-07-19 | 1991-10-01 | Micron Technology, Inc. | Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template |
US5047117A (en) | 1990-09-26 | 1991-09-10 | Micron Technology, Inc. | Method of forming a narrow self-aligned, annular opening in a masking layer |
DE4034612A1 (de) | 1990-10-31 | 1992-05-07 | Huels Chemische Werke Ag | Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen |
IT1243919B (it) | 1990-11-20 | 1994-06-28 | Cons Ric Microelettronica | Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi |
JPH05343370A (ja) | 1992-06-10 | 1993-12-24 | Toshiba Corp | 微細パタ−ンの形成方法 |
US5330879A (en) | 1992-07-16 | 1994-07-19 | Micron Technology, Inc. | Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer |
DE4236609A1 (de) | 1992-10-29 | 1994-05-05 | Siemens Ag | Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats |
US5407785A (en) | 1992-12-18 | 1995-04-18 | Vlsi Technology, Inc. | Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures |
US5470661A (en) | 1993-01-07 | 1995-11-28 | International Business Machines Corporation | Diamond-like carbon films from a hydrocarbon helium plasma |
JP3311070B2 (ja) | 1993-03-15 | 2002-08-05 | 株式会社東芝 | 半導体装置 |
US6042998A (en) | 1993-09-30 | 2000-03-28 | The University Of New Mexico | Method and apparatus for extending spatial frequencies in photolithography images |
JP3720064B2 (ja) | 1994-01-20 | 2005-11-24 | 株式会社ルネサステクノロジ | 半導体集積回路 |
KR970007173B1 (ko) | 1994-07-14 | 1997-05-03 | 현대전자산업 주식회사 | 미세패턴 형성방법 |
JPH0855920A (ja) | 1994-08-15 | 1996-02-27 | Toshiba Corp | 半導体装置の製造方法 |
JPH0855908A (ja) | 1994-08-17 | 1996-02-27 | Toshiba Corp | 半導体装置 |
US5600153A (en) | 1994-10-07 | 1997-02-04 | Micron Technology, Inc. | Conductive polysilicon lines and thin film transistors |
TW366367B (en) | 1995-01-26 | 1999-08-11 | Ibm | Sputter deposition of hydrogenated amorphous carbon film |
US5795830A (en) | 1995-06-06 | 1998-08-18 | International Business Machines Corporation | Reducing pitch with continuously adjustable line and space dimensions |
KR100190757B1 (ko) | 1995-06-30 | 1999-06-01 | 김영환 | 모스 전계 효과 트랜지스터 형성방법 |
JP3393286B2 (ja) | 1995-09-08 | 2003-04-07 | ソニー株式会社 | パターンの形成方法 |
US5789320A (en) | 1996-04-23 | 1998-08-04 | International Business Machines Corporation | Plating of noble metal electrodes for DRAM and FRAM |
JPH09293793A (ja) | 1996-04-26 | 1997-11-11 | Mitsubishi Electric Corp | 薄膜トランジスタを有する半導体装置およびその製造方法 |
TW329539B (en) | 1996-07-05 | 1998-04-11 | Mitsubishi Electric Corp | The semiconductor device and its manufacturing method |
JP3164026B2 (ja) * | 1996-08-21 | 2001-05-08 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US5817560A (en) | 1996-09-12 | 1998-10-06 | Advanced Micro Devices, Inc. | Ultra short trench transistors and process for making same |
US5998256A (en) | 1996-11-01 | 1999-12-07 | Micron Technology, Inc. | Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry |
US6395613B1 (en) | 2000-08-30 | 2002-05-28 | Micron Technology, Inc. | Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts |
US5895740A (en) | 1996-11-13 | 1999-04-20 | Vanguard International Semiconductor Corp. | Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers |
US6288431B1 (en) | 1997-04-04 | 2001-09-11 | Nippon Steel Corporation | Semiconductor device and a method of manufacturing the same |
KR100231134B1 (ko) | 1997-06-14 | 1999-11-15 | 문정환 | 반도체장치의 배선 형성 방법 |
DE19728559A1 (de) * | 1997-07-04 | 1999-01-07 | Km Europa Metal Ag | Kabelkopf eines Hochstrom-Mehrfachkabels für Gleichstromanwendungen |
US6063688A (en) * | 1997-09-29 | 2000-05-16 | Intel Corporation | Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition |
KR100247862B1 (ko) | 1997-12-11 | 2000-03-15 | 윤종용 | 반도체 장치 및 그 제조방법 |
US6143476A (en) | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
US6291334B1 (en) | 1997-12-19 | 2001-09-18 | Applied Materials, Inc. | Etch stop layer for dual damascene process |
US6004862A (en) | 1998-01-20 | 1999-12-21 | Advanced Micro Devices, Inc. | Core array and periphery isolation technique |
JP2975917B2 (ja) | 1998-02-06 | 1999-11-10 | 株式会社半導体プロセス研究所 | 半導体装置の製造方法及び半導体装置の製造装置 |
US5933725A (en) | 1998-05-27 | 1999-08-03 | Vanguard International Semiconductor Corporation | Word line resistance reduction method and design for high density memory with relaxed metal pitch |
US6020255A (en) | 1998-07-13 | 2000-02-01 | Taiwan Semiconductor Manufacturing Company | Dual damascene interconnect process with borderless contact |
US6245662B1 (en) | 1998-07-23 | 2001-06-12 | Applied Materials, Inc. | Method of producing an interconnect structure for an integrated circuit |
US6333866B1 (en) | 1998-09-28 | 2001-12-25 | Texas Instruments Incorporated | Semiconductor device array having dense memory cell array and heirarchical bit line scheme |
US6071789A (en) | 1998-11-10 | 2000-06-06 | Vanguard International Semiconductor Corporation | Method for simultaneously fabricating a DRAM capacitor and metal interconnections |
US6204187B1 (en) | 1999-01-06 | 2001-03-20 | Infineon Technologies North America, Corp. | Contact and deep trench patterning |
US6271141B2 (en) | 1999-03-23 | 2001-08-07 | Micron Technology, Inc. | Methods of forming materials over uneven surface topologies, and methods of forming insulative materials over and between conductive lines |
US6211044B1 (en) | 1999-04-12 | 2001-04-03 | Advanced Micro Devices | Process for fabricating a semiconductor device component using a selective silicidation reaction |
JP2000307084A (ja) | 1999-04-23 | 2000-11-02 | Hitachi Ltd | 半導体集積回路装置およびその製造方法 |
US6110837A (en) | 1999-04-28 | 2000-08-29 | Worldwide Semiconductor Manufacturing Corp. | Method for forming a hard mask of half critical dimension |
US6136662A (en) | 1999-05-13 | 2000-10-24 | Lsi Logic Corporation | Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same |
JP2000357736A (ja) | 1999-06-15 | 2000-12-26 | Toshiba Corp | 半導体装置及びその製造方法 |
DE19928781C1 (de) | 1999-06-23 | 2000-07-06 | Siemens Ag | DRAM-Zellenanordnung und Verfahren zu deren Herstellung |
US6330777B1 (en) | 1999-07-20 | 2001-12-18 | Tcw Technologies Inc. | Three dimensional metal structural assembly and production method |
JP2001077196A (ja) | 1999-09-08 | 2001-03-23 | Sony Corp | 半導体装置の製造方法 |
US6282113B1 (en) | 1999-09-29 | 2001-08-28 | International Business Machines Corporation | Four F-squared gapless dual layer bitline DRAM array architecture |
US6362057B1 (en) * | 1999-10-26 | 2002-03-26 | Motorola, Inc. | Method for forming a semiconductor device |
US6582891B1 (en) | 1999-12-02 | 2003-06-24 | Axcelis Technologies, Inc. | Process for reducing edge roughness in patterned photoresist |
KR100311050B1 (ko) | 1999-12-14 | 2001-11-05 | 윤종용 | 커패시터의 전극 제조 방법 |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6967140B2 (en) | 2000-03-01 | 2005-11-22 | Intel Corporation | Quantum wire gate device and method of making same |
US6297554B1 (en) | 2000-03-10 | 2001-10-02 | United Microelectronics Corp. | Dual damascene interconnect structure with reduced parasitic capacitance |
US6423474B1 (en) | 2000-03-21 | 2002-07-23 | Micron Technology, Inc. | Use of DARC and BARC in flash memory processing |
JP3805603B2 (ja) | 2000-05-29 | 2006-08-02 | 富士通株式会社 | 半導体装置及びその製造方法 |
US6632741B1 (en) | 2000-07-19 | 2003-10-14 | International Business Machines Corporation | Self-trimming method on looped patterns |
US6455372B1 (en) | 2000-08-14 | 2002-09-24 | Micron Technology, Inc. | Nucleation for improved flash erase characteristics |
US6348380B1 (en) | 2000-08-25 | 2002-02-19 | Micron Technology, Inc. | Use of dilute steam ambient for improvement of flash devices |
SE517275C2 (sv) | 2000-09-20 | 2002-05-21 | Obducat Ab | Sätt vid våtetsning av ett substrat |
US6335257B1 (en) | 2000-09-29 | 2002-01-01 | Vanguard International Semiconductor Corporation | Method of making pillar-type structure on semiconductor substrate |
US6667237B1 (en) | 2000-10-12 | 2003-12-23 | Vram Technologies, Llc | Method and apparatus for patterning fine dimensions |
JP2002124585A (ja) | 2000-10-17 | 2002-04-26 | Hitachi Ltd | 不揮発性半導体記憶装置およびその製造方法 |
US6534243B1 (en) | 2000-10-23 | 2003-03-18 | Advanced Micro Devices, Inc. | Chemical feature doubling process |
US6926843B2 (en) | 2000-11-30 | 2005-08-09 | International Business Machines Corporation | Etching of hard masks |
US6664028B2 (en) | 2000-12-04 | 2003-12-16 | United Microelectronics Corp. | Method of forming opening in wafer layer |
JP3406302B2 (ja) | 2001-01-16 | 2003-05-12 | 株式会社半導体先端テクノロジーズ | 微細パターンの形成方法、半導体装置の製造方法および半導体装置 |
US6531727B2 (en) | 2001-02-09 | 2003-03-11 | Micron Technology, Inc. | Open bit line DRAM with ultra thin body transistors |
US6424001B1 (en) | 2001-02-09 | 2002-07-23 | Micron Technology, Inc. | Flash memory with ultra thin vertical body transistors |
US6597203B2 (en) | 2001-03-14 | 2003-07-22 | Micron Technology, Inc. | CMOS gate array with vertical transistors |
US6545904B2 (en) | 2001-03-16 | 2003-04-08 | Micron Technology, Inc. | 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array |
US7176109B2 (en) | 2001-03-23 | 2007-02-13 | Micron Technology, Inc. | Method for forming raised structures by controlled selective epitaxial growth of facet using spacer |
US6475867B1 (en) | 2001-04-02 | 2002-11-05 | Advanced Micro Devices, Inc. | Method of forming integrated circuit features by oxidation of titanium hard mask |
US6548347B2 (en) | 2001-04-12 | 2003-04-15 | Micron Technology, Inc. | Method of forming minimally spaced word lines |
US6740594B2 (en) | 2001-05-31 | 2004-05-25 | Infineon Technologies Ag | Method for removing carbon-containing polysilane from a semiconductor without stripping |
US6960806B2 (en) | 2001-06-21 | 2005-11-01 | International Business Machines Corporation | Double gated vertical transistor with different first and second gate materials |
JP2003031686A (ja) | 2001-07-16 | 2003-01-31 | Sony Corp | 半導体記憶装置およびその製造方法 |
EP1415330B1 (en) * | 2001-07-18 | 2012-02-01 | Infineon Technologies AG | Selective base etching |
US6522584B1 (en) | 2001-08-02 | 2003-02-18 | Micron Technology, Inc. | Programming methods for multi-level flash EEPROMs |
US6599684B2 (en) | 2001-08-13 | 2003-07-29 | Eastman Kodak Company | Color photothermographic element comprising a dye-forming system for forming a novel infrared dye |
US6744094B2 (en) | 2001-08-24 | 2004-06-01 | Micron Technology Inc. | Floating gate transistor with horizontal gate layers stacked next to vertical body |
TW497138B (en) | 2001-08-28 | 2002-08-01 | Winbond Electronics Corp | Method for improving consistency of critical dimension |
DE10142590A1 (de) * | 2001-08-31 | 2003-04-03 | Infineon Technologies Ag | Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße |
US7045383B2 (en) | 2001-09-19 | 2006-05-16 | BAE Systems Information and Ovonyx, Inc | Method for making tapered opening for programmable resistance memory element |
JP2003133437A (ja) | 2001-10-24 | 2003-05-09 | Hitachi Ltd | 半導体装置の製造方法および半導体装置 |
US7226853B2 (en) | 2001-12-26 | 2007-06-05 | Applied Materials, Inc. | Method of forming a dual damascene structure utilizing a three layer hard mask structure |
TW576864B (en) | 2001-12-28 | 2004-02-21 | Toshiba Corp | Method for manufacturing a light-emitting device |
US6638441B2 (en) | 2002-01-07 | 2003-10-28 | Macronix International Co., Ltd. | Method for pitch reduction |
DE10207131B4 (de) | 2002-02-20 | 2007-12-20 | Infineon Technologies Ag | Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe |
US6620715B1 (en) | 2002-03-29 | 2003-09-16 | Cypress Semiconductor Corp. | Method for forming sub-critical dimension structures in an integrated circuit |
US6759180B2 (en) | 2002-04-23 | 2004-07-06 | Hewlett-Packard Development Company, L.P. | Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography |
US20030207584A1 (en) | 2002-05-01 | 2003-11-06 | Swaminathan Sivakumar | Patterning tighter and looser pitch geometries |
US6951709B2 (en) | 2002-05-03 | 2005-10-04 | Micron Technology, Inc. | Method of fabricating a semiconductor multilevel interconnect structure |
US6602779B1 (en) | 2002-05-13 | 2003-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer |
US6703312B2 (en) | 2002-05-17 | 2004-03-09 | International Business Machines Corporation | Method of forming active devices of different gatelengths using lithographic printed gate images of same length |
US6818141B1 (en) | 2002-06-10 | 2004-11-16 | Advanced Micro Devices, Inc. | Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines |
US6734107B2 (en) | 2002-06-12 | 2004-05-11 | Macronix International Co., Ltd. | Pitch reduction in semiconductor fabrication |
US6559017B1 (en) | 2002-06-13 | 2003-05-06 | Advanced Micro Devices, Inc. | Method of using amorphous carbon as spacer material in a disposable spacer process |
US6777725B2 (en) | 2002-06-14 | 2004-08-17 | Ingentix Gmbh & Co. Kg | NROM memory circuit with recessed bitline |
KR100476924B1 (ko) * | 2002-06-14 | 2005-03-17 | 삼성전자주식회사 | 반도체 장치의 미세 패턴 형성 방법 |
US6924191B2 (en) | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method for fabricating a gate structure of a field effect transistor |
AU2003280498A1 (en) | 2002-06-27 | 2004-01-19 | Advanced Micro Devices, Inc. | Method of defining the dimensions of circuit elements by using spacer deposition techniques |
US6500756B1 (en) | 2002-06-28 | 2002-12-31 | Advanced Micro Devices, Inc. | Method of forming sub-lithographic spaces between polysilicon lines |
US6689695B1 (en) | 2002-06-28 | 2004-02-10 | Taiwan Semiconductor Manufacturing Company | Multi-purpose composite mask for dual damascene patterning |
US6835663B2 (en) | 2002-06-28 | 2004-12-28 | Infineon Technologies Ag | Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity |
US6734063B2 (en) | 2002-07-22 | 2004-05-11 | Infineon Technologies Ag | Non-volatile memory cell and fabrication method |
US20040018738A1 (en) | 2002-07-22 | 2004-01-29 | Wei Liu | Method for fabricating a notch gate structure of a field effect transistor |
US6913871B2 (en) | 2002-07-23 | 2005-07-05 | Intel Corporation | Fabricating sub-resolution structures in planar lightwave devices |
US6800930B2 (en) | 2002-07-31 | 2004-10-05 | Micron Technology, Inc. | Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies |
US6673684B1 (en) | 2002-07-31 | 2004-01-06 | Advanced Micro Devices, Inc. | Use of diamond as a hard mask material |
US6764949B2 (en) | 2002-07-31 | 2004-07-20 | Advanced Micro Devices, Inc. | Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication |
US6939808B2 (en) | 2002-08-02 | 2005-09-06 | Applied Materials, Inc. | Undoped and fluorinated amorphous carbon film as pattern mask for metal etch |
KR100480610B1 (ko) | 2002-08-09 | 2005-03-31 | 삼성전자주식회사 | 실리콘 산화막을 이용한 미세 패턴 형성방법 |
US7071043B2 (en) | 2002-08-15 | 2006-07-04 | Micron Technology, Inc. | Methods of forming a field effect transistor having source/drain material over insulative material |
US6566280B1 (en) | 2002-08-26 | 2003-05-20 | Intel Corporation | Forming polymer features on a substrate |
US6888187B2 (en) | 2002-08-26 | 2005-05-03 | International Business Machines Corporation | DRAM cell with enhanced SER immunity |
US7205598B2 (en) | 2002-08-29 | 2007-04-17 | Micron Technology, Inc. | Random access memory device utilizing a vertically oriented select transistor |
US6794699B2 (en) | 2002-08-29 | 2004-09-21 | Micron Technology Inc | Annular gate and technique for fabricating an annular gate |
US6756284B2 (en) | 2002-09-18 | 2004-06-29 | Silicon Storage Technology, Inc. | Method for forming a sublithographic opening in a semiconductor process |
US6706571B1 (en) * | 2002-10-22 | 2004-03-16 | Advanced Micro Devices, Inc. | Method for forming multiple structures in a semiconductor device |
JP4034164B2 (ja) | 2002-10-28 | 2008-01-16 | 富士通株式会社 | 微細パターンの作製方法及び半導体装置の製造方法 |
US6888755B2 (en) | 2002-10-28 | 2005-05-03 | Sandisk Corporation | Flash memory cell arrays having dual control gates per memory cell charge storage element |
US6804142B2 (en) | 2002-11-12 | 2004-10-12 | Micron Technology, Inc. | 6F2 3-transistor DRAM gain cell |
US7119020B2 (en) | 2002-12-04 | 2006-10-10 | Matsushita Electric Industrial Co., Ltd. | Method for fabricating semiconductor device |
US6869868B2 (en) * | 2002-12-13 | 2005-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a MOSFET device with metal containing gate structures |
US6686245B1 (en) | 2002-12-20 | 2004-02-03 | Motorola, Inc. | Vertical MOSFET with asymmetric gate structure |
US6916594B2 (en) | 2002-12-30 | 2005-07-12 | Hynix Semiconductor Inc. | Overcoating composition for photoresist and method for forming photoresist pattern using the same |
US7304336B2 (en) | 2003-02-13 | 2007-12-04 | Massachusetts Institute Of Technology | FinFET structure and method to make the same |
TWI262960B (en) | 2003-02-27 | 2006-10-01 | Samsung Electronics Co Ltd | Method for forming silicon dioxide film using siloxane |
US7084076B2 (en) | 2003-02-27 | 2006-08-01 | Samsung Electronics, Co., Ltd. | Method for forming silicon dioxide film using siloxane |
JP3920235B2 (ja) * | 2003-03-24 | 2007-05-30 | 株式会社ルネサステクノロジ | 半導体装置の製造方法 |
US7015124B1 (en) | 2003-04-28 | 2006-03-21 | Advanced Micro Devices, Inc. | Use of amorphous carbon for gate patterning |
US6773998B1 (en) | 2003-05-20 | 2004-08-10 | Advanced Micro Devices, Inc. | Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning |
JP4578785B2 (ja) | 2003-05-21 | 2010-11-10 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6835662B1 (en) | 2003-07-14 | 2004-12-28 | Advanced Micro Devices, Inc. | Partially de-coupled core and periphery gate module process |
DE10332725A1 (de) | 2003-07-18 | 2005-02-24 | Forschungszentrum Jülich GmbH | Verfahren zur selbstjustierenden Verkleinerung von Strukturen |
US7105431B2 (en) | 2003-08-22 | 2006-09-12 | Micron Technology, Inc. | Masking methods |
KR100536801B1 (ko) | 2003-10-01 | 2005-12-14 | 동부아남반도체 주식회사 | 반도체 소자 및 그 제조 방법 |
US6867116B1 (en) | 2003-11-10 | 2005-03-15 | Macronix International Co., Ltd. | Fabrication method of sub-resolution pitch for integrated circuits |
JP2005150333A (ja) | 2003-11-14 | 2005-06-09 | Sony Corp | 半導体装置の製造方法 |
KR101002928B1 (ko) | 2003-11-29 | 2010-12-27 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 라인 형성방법 |
JP2005191254A (ja) * | 2003-12-25 | 2005-07-14 | Fujitsu Ltd | 半導体装置の製造方法 |
KR100554514B1 (ko) * | 2003-12-26 | 2006-03-03 | 삼성전자주식회사 | 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법. |
KR100545697B1 (ko) * | 2003-12-29 | 2006-01-24 | 주식회사 하이닉스반도체 | 반도체소자의 트렌치 소자분리 방법 |
US6998332B2 (en) | 2004-01-08 | 2006-02-14 | International Business Machines Corporation | Method of independent P and N gate length control of FET device made by sidewall image transfer technique |
US6875703B1 (en) | 2004-01-20 | 2005-04-05 | International Business Machines Corporation | Method for forming quadruple density sidewall image transfer (SIT) structures |
US7372091B2 (en) | 2004-01-27 | 2008-05-13 | Micron Technology, Inc. | Selective epitaxy vertical integrated circuit components |
US7064078B2 (en) | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
US6989308B2 (en) * | 2004-03-11 | 2006-01-24 | International Business Machines Corporation | Method of forming FinFET gates without long etches |
WO2005094231A2 (en) | 2004-03-19 | 2005-10-13 | The Regents Of The University Of California | Methods for fabrication of positional and compositionally controlled nanostructures on substrate |
US7098105B2 (en) | 2004-05-26 | 2006-08-29 | Micron Technology, Inc. | Methods for forming semiconductor structures |
US6955961B1 (en) | 2004-05-27 | 2005-10-18 | Macronix International Co., Ltd. | Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution |
US7183205B2 (en) | 2004-06-08 | 2007-02-27 | Macronix International Co., Ltd. | Method of pitch dimension shrinkage |
US7473644B2 (en) | 2004-07-01 | 2009-01-06 | Micron Technology, Inc. | Method for forming controlled geometry hardmasks including subresolution elements |
US7074666B2 (en) | 2004-07-28 | 2006-07-11 | International Business Machines Corporation | Borderless contact structures |
KR100704470B1 (ko) | 2004-07-29 | 2007-04-10 | 주식회사 하이닉스반도체 | 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법 |
US7151040B2 (en) | 2004-08-31 | 2006-12-19 | Micron Technology, Inc. | Methods for increasing photo alignment margins |
US7175944B2 (en) | 2004-08-31 | 2007-02-13 | Micron Technology, Inc. | Prevention of photoresist scumming |
US7442976B2 (en) | 2004-09-01 | 2008-10-28 | Micron Technology, Inc. | DRAM cells with vertical transistors |
US7910288B2 (en) | 2004-09-01 | 2011-03-22 | Micron Technology, Inc. | Mask material conversion |
US7655387B2 (en) | 2004-09-02 | 2010-02-02 | Micron Technology, Inc. | Method to align mask patterns |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
KR100614651B1 (ko) | 2004-10-11 | 2006-08-22 | 삼성전자주식회사 | 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법 |
US7208379B2 (en) | 2004-11-29 | 2007-04-24 | Texas Instruments Incorporated | Pitch multiplication process |
US7298004B2 (en) | 2004-11-30 | 2007-11-20 | Infineon Technologies Ag | Charge-trapping memory cell and method for production |
KR100596795B1 (ko) | 2004-12-16 | 2006-07-05 | 주식회사 하이닉스반도체 | 반도체 소자의 캐패시터 및 그 형성방법 |
US7254890B2 (en) * | 2004-12-30 | 2007-08-14 | Lexmark International, Inc. | Method of making a microfluid ejection head structure |
US7183142B2 (en) | 2005-01-13 | 2007-02-27 | International Business Machines Corporation | FinFETs with long gate length at high density |
US7271107B2 (en) | 2005-02-03 | 2007-09-18 | Lam Research Corporation | Reduction of feature critical dimensions using multiple masks |
KR100787352B1 (ko) * | 2005-02-23 | 2007-12-18 | 주식회사 하이닉스반도체 | 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법 |
US7329613B2 (en) * | 2005-03-11 | 2008-02-12 | International Business Machines Corporation | Structure and method for forming semiconductor wiring levels using atomic layer deposition |
US7390746B2 (en) | 2005-03-15 | 2008-06-24 | Micron Technology, Inc. | Multiple deposition for integration of spacers in pitch multiplication process |
US7253118B2 (en) | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
US7431927B2 (en) | 2005-03-24 | 2008-10-07 | Epitomics, Inc. | TNFα-neutralizing antibodies |
US7611944B2 (en) | 2005-03-28 | 2009-11-03 | Micron Technology, Inc. | Integrated circuit fabrication |
KR100640639B1 (ko) | 2005-04-19 | 2006-10-31 | 삼성전자주식회사 | 미세콘택을 포함하는 반도체소자 및 그 제조방법 |
US7547599B2 (en) | 2005-05-26 | 2009-06-16 | Micron Technology, Inc. | Multi-state memory cell |
US7560390B2 (en) | 2005-06-02 | 2009-07-14 | Micron Technology, Inc. | Multiple spacer steps for pitch multiplication |
KR100648859B1 (ko) * | 2005-06-07 | 2006-11-24 | 주식회사 하이닉스반도체 | 반도체 소자 제조 방법 |
US7396781B2 (en) | 2005-06-09 | 2008-07-08 | Micron Technology, Inc. | Method and apparatus for adjusting feature size and position |
US7541632B2 (en) | 2005-06-14 | 2009-06-02 | Micron Technology, Inc. | Relaxed-pitch method of aligning active area to digit line |
JP2006351861A (ja) | 2005-06-16 | 2006-12-28 | Toshiba Corp | 半導体装置の製造方法 |
TW200705541A (en) * | 2005-07-25 | 2007-02-01 | Li Bing Huan | Manufacturing method of nano-sticker |
US7413981B2 (en) | 2005-07-29 | 2008-08-19 | Micron Technology, Inc. | Pitch doubled circuit layout |
US7291560B2 (en) | 2005-08-01 | 2007-11-06 | Infineon Technologies Ag | Method of production pitch fractionizations in semiconductor technology |
US7291563B2 (en) * | 2005-08-18 | 2007-11-06 | Micron Technology, Inc. | Method of etching a substrate; method of forming a feature on a substrate; and method of depositing a layer comprising silicon, carbon, and fluorine onto a semiconductor substrate |
US7816262B2 (en) | 2005-08-30 | 2010-10-19 | Micron Technology, Inc. | Method and algorithm for random half pitched interconnect layout with constant spacing |
US7829262B2 (en) | 2005-08-31 | 2010-11-09 | Micron Technology, Inc. | Method of forming pitch multipled contacts |
US7776744B2 (en) | 2005-09-01 | 2010-08-17 | Micron Technology, Inc. | Pitch multiplication spacers and methods of forming the same |
US7759197B2 (en) | 2005-09-01 | 2010-07-20 | Micron Technology, Inc. | Method of forming isolated features using pitch multiplication |
US7572572B2 (en) | 2005-09-01 | 2009-08-11 | Micron Technology, Inc. | Methods for forming arrays of small, closely spaced features |
US7687342B2 (en) | 2005-09-01 | 2010-03-30 | Micron Technology, Inc. | Method of manufacturing a memory device |
US7393789B2 (en) | 2005-09-01 | 2008-07-01 | Micron Technology, Inc. | Protective coating for planarization |
US7323374B2 (en) * | 2005-09-19 | 2008-01-29 | International Business Machines Corporation | Dense chevron finFET and method of manufacturing same |
KR101200938B1 (ko) | 2005-09-30 | 2012-11-13 | 삼성전자주식회사 | 반도체 장치의 패턴 형성 방법 |
US7244638B2 (en) | 2005-09-30 | 2007-07-17 | Infineon Technologies Ag | Semiconductor memory device and method of production |
KR100675897B1 (ko) * | 2005-09-30 | 2007-02-02 | 주식회사 하이닉스반도체 | 반도체 소자의 트랜지스터 형성 방법 |
KR100714305B1 (ko) | 2005-12-26 | 2007-05-02 | 삼성전자주식회사 | 자기정렬 이중패턴의 형성방법 |
US8716772B2 (en) | 2005-12-28 | 2014-05-06 | Micron Technology, Inc. | DRAM cell design with folded digitline sense amplifier |
KR100672123B1 (ko) | 2006-02-02 | 2007-01-19 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성방법 |
US7842558B2 (en) | 2006-03-02 | 2010-11-30 | Micron Technology, Inc. | Masking process for simultaneously patterning separate regions |
US20070210449A1 (en) * | 2006-03-07 | 2007-09-13 | Dirk Caspary | Memory device and an array of conductive lines and methods of making the same |
US7351666B2 (en) * | 2006-03-17 | 2008-04-01 | International Business Machines Corporation | Layout and process to contact sub-lithographic structures |
US7537866B2 (en) | 2006-05-24 | 2009-05-26 | Synopsys, Inc. | Patterning a single integrated circuit layer using multiple masks and multiple masking layers |
US7825460B2 (en) | 2006-09-06 | 2010-11-02 | International Business Machines Corporation | Vertical field effect transistor arrays and methods for fabrication thereof |
US20080292991A1 (en) | 2007-05-24 | 2008-11-27 | Advanced Micro Devices, Inc. | High fidelity multiple resist patterning |
US7851135B2 (en) | 2007-11-30 | 2010-12-14 | Hynix Semiconductor Inc. | Method of forming an etching mask pattern from developed negative and positive photoresist layers |
-
2006
- 2006-04-07 US US11/400,603 patent/US7902074B2/en active Active
-
2007
- 2007-05-03 US US11/744,074 patent/US7732343B2/en active Active
- 2007-05-14 CN CN2007800125255A patent/CN101416278B/zh active Active
- 2007-05-14 WO PCT/US2007/011525 patent/WO2007117718A2/en active Application Filing
- 2007-05-14 EP EP07794840.4A patent/EP2011141B1/en active Active
-
2010
- 2010-04-30 US US12/771,951 patent/US8030217B2/en active Active
-
2011
- 2011-09-12 US US13/229,898 patent/US8338959B2/en active Active
-
2012
- 2012-12-21 US US13/725,915 patent/US9184159B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005034215A1 (de) * | 2003-09-30 | 2005-04-14 | Infineon Technologies Ag | Verfahren zum erzeugen einer hartmaske und hartmasken-anordnung |
WO2006127586A2 (en) * | 2005-05-23 | 2006-11-30 | Micron Technology, Inc. | Methods for forming arrays of small, closely spaced features |
Also Published As
Publication number | Publication date |
---|---|
CN101416278A (zh) | 2009-04-22 |
US9184159B2 (en) | 2015-11-10 |
EP2011141A2 (en) | 2009-01-07 |
US7732343B2 (en) | 2010-06-08 |
US20100216307A1 (en) | 2010-08-26 |
US20070238299A1 (en) | 2007-10-11 |
US8030217B2 (en) | 2011-10-04 |
WO2007117718A3 (en) | 2007-11-29 |
US8338959B2 (en) | 2012-12-25 |
JP5229588B2 (ja) | 2013-07-03 |
EP2011141B1 (en) | 2014-10-29 |
US20110316114A1 (en) | 2011-12-29 |
US20070238308A1 (en) | 2007-10-11 |
WO2007117718A2 (en) | 2007-10-18 |
US7902074B2 (en) | 2011-03-08 |
JP2010527138A (ja) | 2010-08-05 |
US20130105937A1 (en) | 2013-05-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101416278B (zh) | 简化的间距加倍工艺流程 | |
KR101149632B1 (ko) | 반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법 | |
CN101903991B (zh) | 用于隔离间距倍增材料环的部分的方法及相关结构 | |
US9059115B2 (en) | Methods of forming memory cells; and methods of forming vertical structures | |
US9330934B2 (en) | Methods of forming patterns on substrates | |
US8361905B2 (en) | Methods of forming patterns for semiconductor devices | |
WO2011068621A2 (en) | Methods of patterning materials, and methods of forming memory cells | |
CN104681410A (zh) | 形成图案的机制 | |
US10734284B2 (en) | Method of self-aligned double patterning | |
US8680671B2 (en) | Self-aligned double patterning for memory and other microelectronic devices | |
CN101399226B (zh) | 形成半导体器件的图案的方法 | |
JP2006156657A (ja) | 半導体装置及び半導体記憶装置の製造方法 | |
KR101368544B1 (ko) | 간이화한 피치 더블링 프로세스 플로우 | |
CN111106001A (zh) | Nand存储器的栅极结构形成方法、nand存储器及光罩掩膜版 | |
JP5229588B6 (ja) | 簡易化ピッチダブリング工程 | |
KR100664789B1 (ko) | 플래시 메모리의 부유 게이트 형성 방법 | |
KR20100129544A (ko) | 네가티브 스페이서 패터닝 공정을 위한 패턴 형성 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |