TWI331770B - Apparatus for plasma-enhanced atomic layer deposition - Google Patents

Apparatus for plasma-enhanced atomic layer deposition Download PDF

Info

Publication number
TWI331770B
TWI331770B TW095141036A TW95141036A TWI331770B TW I331770 B TWI331770 B TW I331770B TW 095141036 A TW095141036 A TW 095141036A TW 95141036 A TW95141036 A TW 95141036A TW I331770 B TWI331770 B TW I331770B
Authority
TW
Taiwan
Prior art keywords
gas
plasma
assembly
ruthenium
substrate
Prior art date
Application number
TW095141036A
Other languages
English (en)
Other versions
TW200737306A (en
Inventor
Paul Ma
Kavita Shah
Dien Yeh Wu
Seshadri Ganguli
Christophe Marcadal
Frederick C Wu
Schubert S Chu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200737306A publication Critical patent/TW200737306A/zh
Application granted granted Critical
Publication of TWI331770B publication Critical patent/TWI331770B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

1331770 九、發明說明: 【發明所屬之技術領域】 本發明的實施例係有關於一種用於沉積材料的設備與 製程。更明確而言,係有關於一種在電漿增強式製程中沉 積材料的原子層沉積腔體。 【先前技術】
在半導體製程、平面顯示器製程或其他電子裝置製程 的領域中,氣相沉積製程對於沉積材料於基材上扮演著重 要角色。隨著電子裝置的幾何形狀持續縮小與元件密度持 續增加,特徵的尺寸與深寬比變的更具挑戰性,例如特徵 尺寸為0.07微米(μιη)與深寬比為10或更大。因此,形成 這些裝置之材料的共形沉積變的日益重要。
習知的化學氣相沉積製程(CVD)可有效地使裝置的幾 何形狀與深寬比降至0.15微米規格,然而更精進的裝置幾 何形狀則需要其他沉積技術。其中一頗受注意的沉積技術 為原子層沉積製程(atomic layer deposition,ALD)。在原 子層沉積製程中,係相繼地導入反應物氣體至具有基材的 製程腔體中。通常,第一反應物係以脈衝方式注入製程腔 體中且被吸附至基材表面上。第二反應物以脈衝方式注入 製程腔體中並與第一反應物發生反應而形成一沉積材料。 在每種反應氣體的輸送步靜之間通常會執行清洗(purge) 步驟。清洗步驟可為利用載氣做連續清洗的步驟或是在反 應氣體輸送之間做脈衝清洗的步驟。熱誘導原子層沉積製 i 6 [1331770 程(thermally induced ALD process)是最常見的原子層沉 積技術,且該技術使用熱量來引發兩種反應物之間的化學 反應。熱原子層沉積製程雖可良好的沉積某些材料,但是 通常有較慢的沉積速率。因此’會造成無法接受的製造產 率。較高沉積溫度可增加沉積速率,但是許多化學前駆物 (precursor) ’特別是金屬有機化合物,會在高溫時分解。 電漿增強式原子層沉積製程(ΡΕ-ALD)為另一種習知 的材料形成技術。在一些ΡΕ-ALD製程範例中,可利用熱 原子層沉積製程所使用的相同化學前驅物來形成材料,但 是卻可具有較高沉積速率與較低溫度.雖然存在各種技 術’一般而言’ ΡΕ-ALD製程提供連續導入反應氣體與反 應物電漿至具有基材的製程腔體中。第一反應物氣體係以 脈衝方式注入製程腔體中且被吸附至基材表面上。之後, 第二反應物電漿係以脈衝方式注入製程腔體中並與第一反 應物氣趙反應而形成沉積材料6與熱A LD製程類似的是, 在每個反應物的輸送步驟之間可進行清洗步驟。雖然由於 反應物自由基在電漿申的高反應性使pe_ald製程可克服 某些熱ALD製程的缺點,但pe_ald製程同時也具有諸多 限制。ΡΕ-ALD製程可能造成電漿對基材的損害(例如,侵 姓)’而與某些化學前驅物不相容並且需要額外的硬體。 因此’對於利用氣相沉積技術,較佳地為電漿增強式 技術’更佳為電漿增強式原子層沉積技術來沉積或形成材 料於基材上的設備與製程存在著需求。 7 1331770 【發明内容】
本發明的實施例提供一種可在諸如電漿增強式原子層 沉積(ΡΕ-ALD)等原子層沉積製程中形成材料的設備。在一 實施例中,製程腔體係用以使基材於PE-ALD製程中接觸 一連串的氣體與電漿。製程腔體包含多個電性絕緣、電性 接地或射頻激化的零件。在一個範例中,腔體主體與氣體 歧管(m a n i f ο 1 d)組件係接地且藉由例如絕緣套、電衆篩插件 與隔離環等電性絕緣零件加以分隔開。一喷頭、一電漿隔 板與一水箱係設置在該等絕緣零件之間,且在喷頭、電漿 隔板與水箱受到電漿產生器啟動時會變成具有高頻熱。
在一範例中,提供一種處理基材的腔體,其包含一基 材支持件與一腔體蓋組件,該支持件包含基材接收表面, 而且基材支持件與腔體蓋組件之間具有一製程區域。在一 實施例中,腔體蓋組件包含具有一内部區域與外部區域的 一喷頭組件、與該喷頭組件接觸之一冷卻組件、設置在該 喷頭組件内部區域中的一電漿隔板、位於該喷頭組件上方 且用以引導第一製程氣體至該電漿隔板與引導第二製程氣 體至該喷頭組件外部區域的一電漿篩、一位於該電漿隔板 與該電漿篩之間的第一氣體區域、以及位於該噴頭外部區 域與該冷卻組件之間的第二氣體區域。 在另一範例中,提供處理基材的一腔體,其包含一基 材支持件與一腔體蓋組件,該基材支持件包含一基材接收 表面,該腔體蓋組件包含一通道,該通道位於該腔體蓋組 件的中央部位。一端較窄的底表面(tapered bottom surface) 8 1331770
係從該通道延伸至電漿篩處並且位於一電漿隔板與 的上方,其中該喷頭的尺寸與形狀可加以調整以實質 基材接收表面,一第一導管連接至該通道内之第一氣 以及一第二導管連接至該通道内第二氣體入口,其中 導管與第二導管係用以提供環形方向的氣體流。 在另一實施例中,提供一種用以處理基材的腔 包含具有一基材接收表面的一基材支持件、具有一 區域與外部區域之喷頭組件的腔體蓋組件、位於該 件上方且用以引導第一製程氣體至該内部區域與引 製程氣體至該外部區域的一電漿篩、以及一位於該 收表面與該腔體蓋組件之間的製程區域。電漿篩包 接收該第一製程氣體的一内面積(inner area)以及 收該第二製程氣體的一外面積。 在另一實施例中,蓋組件係用以使基材於PE-程中接觸一連串的氣體與電漿。蓋組件包含多個 緣、電性接地或RF激化的元件。在一範例中,蓋 含一接地的氣體歧管組件,其位於例如絕緣套、電 件與隔離環等電性絕緣零件的上方。一喷頭、一電 與一水箱 (w a t e r b ο X )係設置在絕緣零件之間,且在 漿產生器啟動時,喷頭、電漿隔板與水箱會變得設i hot)。 在一範例中,喷頭組件包含一喷頭面板,其具 表面以實質涵蓋該基材接收表面。該噴頭組件之内 包含一可拆裝的電漿隔板。該噴頭組件與電漿隔板 一喷頭 涵蓋該 丨體入口 該第一 體,其 含内部 喷頭組 導第二 基材接 含用以 用以接 ALD製 電性絕 組件包 策筛插 漿隔板 受到電 黃熱(RF 有一下 部區域 通常包 9 rI331770
含導電材料,例如鋁、不銹鋼、鋼、鐵、鉻、鎳、上述金 屬之合金或組合物等。再者,該喷頭面板的下表面與該電 漿隔板係與該基材接收表面平行或實質平行設置,且連接 至一電源以點燃電漿。該喷頭組件的外部區域包含複數個 與製程區域流體連通的孔。每個孔的直徑介於約0.2毫米 (mm)至約0.8毫米之間,較佳地,係介於約0.4毫米至約 0.6毫米之間,諸如0.51毫米。喷頭面板可包含大約1000 個或更多個孔,例如大約1 5 0 0個或更多個孔。該等孔具有 可抑制氣體往回擴散或抑制二次電漿(secondary plasma) 形成的直徑。
在另一個實施例中,提供一個用以在製程腔體中執行 氣相沉積製程的蓋組件,其包含一絕緣套(insulation cap) 與一電黎篩(p丨asma screen),其中該絕緣套包括用以導流 第一製程氣體的第一通道,以及該電漿篩具有一上表面, 該上表面具有一内面積與一外面積。該絕緣套可位於該電 漿篩的上表面上。電漿篩之内面積内的複數個第一開口係 用以將來自上表面的第一製程氣體引導到一下表面下方, 以及在電漿篩之外面積内的複數個第二開口係用以將來自 該上表面上方之第二製程氣體引導到該下表面下方。在一 個範例中,該複數個第一開口可以是多個孔,以及該複數 個第二開口可以是多個狹缝(slots)。再者,絕緣套可包含 一第二通道,其用以導流該第二製程氣體至該電漿篩之外 面積處。該電漿篩的該内面積包含一無孔區帶,以及該 第一製程氣體的第一流動型態(flow pattern)係在視距方向 10 1331770
(line-o f-sight)朝向該無孔區帶。第一流動型態的視距方向 會阻礙該複數個孔,以避免在電漿篩的上表面上方點燃二 次電漿。在一個範例中,每個孔的直徑介於約0.5毫米至 約5毫米之間,較佳地,係介於約1毫米至約3毫米之間, 更佳地係約1.5毫米。該複數個孔可包含至少約1 0 0個孔, 較佳地至少約1 5 0個孔。絕緣套與電漿篩可各自由一電性 絕緣、絕熱或電與熱同時絕緣的材料所形成,例如陶瓷材 料、石英材料或上述材料的衍生物。
在另一個實施例中,喷頭組件包含一喷頭與一電漿隔 板,用以於電漿增強式氣相沉積腔體中分散製程氣體。喷 頭面板包含一内面積與一外面積,該内面積係用以將該電 漿隔板設置於其中,以及該外面積具有複數個用以釋出製 程氣體的孔。電漿隔板包含一用以接收其他製程氣體且位 於一上表面上的鼻頭部(nose)、用以釋出製程氣體的一下 表面、以及用以將製程氣體由該上表面導流至製程區域的 複數個開口。該些開口較佳地為狹縫(slot),並以一預定角 度設置成一環形流動型態用以釋出製程氣體。 在一範例中,電漿隔板組件包含複數個狹縫,其由第 一氣體區域延伸通過該電漿隔板組件以提供第一氣體區域 流體連通至製程區域。電漿隔板組件更包含一鼻頭部,其 由電漿隔板的上表面延伸至電漿篩的下表面。該等狹缝由 中央部位以正切角度延伸橫越介於鼻頭部與電漿隔板組件 外緣的該上表面。每個狹縫係相對基材接收表面以一預設 喷射角(injection angle)延伸穿過電漿隔板組件。此預設喷 11 1331770
射角可介於約2 0 °至約7 0 °之間,較佳地係介於約3 0 °至6 0 ° 之間,更佳地介於約4 0 °至5 0 °之間,例如約4 5。。每個狹 縫的寬度係介於約0.6毫米至約0.9毫米之間,較佳地介 於約0 · 7毫米至0.8毫米之間,例如約0.7 6毫米;以及其 長度介於約10毫米至約 5 0毫米之間,較佳地介於約2 0 毫米至約3 0毫米之間,例如約2 3毫米或更長。電漿隔板 組件通常包含約1 〇個或更多的狹縫,例如約2 0個或更多 的狹縫。狹縫具有可抑制氣體往回擴散或二次電漿形成的 寬度。在一個範例中,電漿隔板的上表面係由鼻頭部處向 下延伸。上表面可具有角度以接收通過狹縫開口的製程氣 體,並以均勻的流速分散該製程氣體。
在另一個實施例中,提供一種在電漿增強式氣相沉積 腔體中用於接收製程氣體的電漿隔板組件,其包含一電漿 隔板面板,該電漿隔板面板具有一接收製程氣體的上表面 以及一用以釋出製程氣體的下表面。電漿隔板組件包含複 數個開口 ,用以將來自上表面上方的製程氣體導流到下表 面下方,其中每個開口係以一 Ρ且礙角度(obscured angle)或 預設角度設置,該角度係由下表面的垂直軸處量測。 在另一個實施例中,冷卻組件包含複數個通路 (passageway),以使第二製程氣體通往第二氣體區域。複 數個通路使該電漿篩與第二氣體區域之間流體連通。複數 個通路包含至少約10個通道,較佳地包含至少約20個通 道,以及更佳地包含至少約3 0個通道,例如約3 6個通道。 在另一個範例中,提供一種用以進行氣相沉積製程的 12 1331770
喷頭組件,其包含一喷頭面板;並且該喷頭面板具有一 在製程腔體中實質涵蓋基材接收表面的底表面、一用以 配第一製程氣體通過相對基材接收表面具有預設喷射角 複數個狹縫的内部區域、以及一用以輸配第二製程氣體 過有複數個孔的外部區域。 在另一個實施例中,提供一種在電漿增強式氣相沉 腔體中用於接收製程氣體的喷頭組件,其包含一喷頭 板,該喷頭面板具有一用以接收氣體的上表面以及一用 釋出氣體的下表面。用於接收第一製程氣體之上表面的 内面積包含複數個第一開口 ,用以將上表面上方的第一 程氣體引導至下表面的下方。用於接收第二製程氣體之 表面的一外面積包含複數個第二開口 ,用以將上表面上 的第二製程氣體導流至下表面的下方。例如,冷卻組件 位於喷頭面板上方且與其接觸。一内部區域形成在該内 積與冷卻組件之間,而一外部區域形成在該外面積與冷 組件之間。喷頭面板的内部區域可包含一電漿隔板。 在另一個範例中,冷卻組件包含複數個通路,用以 導第二製程氣體進入該外部區域中。每個通路係以一預 角度延伸至外部區域。該預設角度可抑制氣體往回擴散 二次電漿的形成。在一個範例中,此預設喷射角可介於 5 °至約8 5 °之間,較佳地係介於約1 0 °至4 5 °之間,更佳 介於約15°至35°之間。每個通路為第二製程氣體提供進 外部區域的隱匿流動路徑(obscured flow path)。在範 中,冷卻組件可具有約3 6個通路。 可 輸 之 通 積 面 以 製 上 方 可 面 卻 引 設 或 約 地 入 例 13 1331770
在另一個實施例中,提供用以在製程腔體 沉積製程的蓋組件,其包含一絕緣套與一電漿 中,絕緣套具有一中央通道與一外通道,該中 將來自上表面的第一製程氣體引導至一Φ (expanded channel);以及該外通道係用以將來 第二製程氣體引導至一凹槽(groove)處,該凹 擴充通道。在範例中,電漿篩具有一上表面, 含一具有複數個孔的内面積與一具有複數個 積。絕緣套可位於電漿篩的頂端,以形成一具 的中央氣體區域以及一具有凹槽的環形氣體區 在另一個範例中,絕緣套位於電漿篩的上 包含至少二個氣體通路,使得一第一氣體通路 一製程氣體進入電漿篩的内部區域中,以及一 路用以引導第二製程氣體進入電漿篩的外部區 套包含電性絕緣材料,例如陶瓷材料、石英材 料的衍生物。 在另一個範例中,氣體歧管係設置在絕緣 含至少二個氣體通路。設置一第一氣體通路以 程氣體通至絕緣套處,以及設置一第二氣體通 二製程氣體通至絕緣套處。一第一導管與一第 接至該第一氣體通路並為該第一製程氣體提供 氣流方式。第一與第二導管係獨立設置以引導 通路内表面處的氣體。氣體流通常具有環形方 形狀可為竣渦狀(vortex)、螺纹狀(helix)、螺旋 中進行氣相 篩。在範例 央通道用以 I充通道處 自上表面的 槽包圍著該 該上表面包 狹縫的外面 有擴充通道 域。 方。絕緣套 用以引導第 第二氣體通 域中。絕緣 料或上述材 套上方且包 提供第一製 路以提供第 二導管可連 環形方向的 在第一氣體 向,其幾何 狀(spiral)、 14 1331770
旋轉狀(twirl)、扭轉狀(twist)、盤繞狀 (whirlpool)或上述形狀的衍生形狀。第一與 自與第一氣體通路的中央軸間具有一角度。 0 °,較佳地大於約 2 0 °,更佳地大於約 3 5 £ 可連接在該第一導管與一前驅物源之間,以 有大約1 0秒或更短的脈衝時間,較佳地係 短,更佳地係大約 1秒或更短,例如介於系 0.5秒之間。 在另一個範例中,提供一種在製程腔體 積製程的覆蓋組件(capping assembly),其έ 一第一通道與一第二通道,該絕緣套具有一 地氣體歧管的上表面,該第一通道係用以將 第一製程氣體引導至絕緣套之下表面,以及 用以將來自上表面之第二製程氣體引導至下 面更包含一内部區域與一外部區域,使得該 内部區域流體連通,而該第二通道與該外 通。在範例中,内部區域包含一擴充通道。 徑介於約0.5公分至約7公分的範圍内,較Ί 公分至約4公分之間,更佳地介於約1公分 之間。再者,擴充通道可包含一外徑,其介 約1 5公分之間,較佳地介於約3.5公分至約 更佳地係介於約4公分至約7公分之間。 在另一個範例中,提供一種用以在電聚 積腔體中接收製程氣體的電漿篩組件,其包 (coil)、圈狀 第二導管係各 此角度可大於 。一閥(valve) 使ALD製程具 大約6秒或更 j 0.01秒至約 中進行氣相沉 ,含一絕緣套、 用以接收一接 來自上表面之 該第二通道係 表面。該下表 第一通道與該' 部區域流體連 擴充通道的直 k地介於約0.8 至約2.5公分 於約2公分至 1 0公分之間, 增強式氣相沉 含一電楽篩。 15 1331770
該電漿篩具有一用以接收氣體之上表面與一用以釋出氣體 之下表面的電漿篩、位於該上表面上且用以接收第一製程 氣體的一内面積、以及位於該上表面且用以接收第二製程 氣體的一外面積;其中該内面積包含可引導第一製程氣體 由該上表面上方前往該下表面下方的複數個第一開口 ,以 及該外面積包含可引導第二製程氣體由該上表面上方前往 該下表面下方的複數個第二開口。該内面積更包含不具複 數個開口的區帶以及該第一製程氣體之第一流動型態係在 視距方向朝向該區帶,該視距方向會對複數個開口形成阻 礙。
在另一個範例中,電漿篩組件包含一用以接收該第一 製程氣體的内面積以及一用以接收該第二製程氣體的外面 積。電漿篩組件的内面積包含複數個用以引導第一製程氣 體至電漿隔板組件的孔。每個孔的直徑可介於約0.5毫米 至約5毫米之間,較佳地介於約1毫米至約3毫米之間, 例如約1 .5毫米。電漿篩的外面積包含複數個狹縫,以引 導第二製程氣體進入該第二氣體區域中。該些狹縫可平行 或實質平行於該基材接收表面,或該些狹缝可垂直或實質 垂直於該複數個位在電漿篩第一面積内的孔。每個狭縫的 寬度可介於約〇. 2毫米至約0.8毫米之間,較佳地介於約 0.4毫米至約0.6毫米之間,例如約0.5 1毫米。電漿篩組 件包含至少約1 〇個狹缝,較佳地約3 6個或更多個狹縫。 再者,電漿篩组件係由電性絕緣材料所形成,例如陶瓷材 料、石英材料或上述材料的衍生物。 16 1331770
在另一個實施例中’提供一種在電漿增強式氣相沉積 腔體中用於接收製程氣體的電漿篩組件,其包含一可接收 氣體的上表面以及一可釋出氣體的下表面。位在用於接收 第一製程氣體之上表面上的一内面積包含複數個第一開 口,以將該上表面上方的第一製程氣體導流至該下表面的 下方。位在用於接收第二製程氣體之上表面上的一外面積 包含複數個第二開口 ,以將該上表面上方的第二製程氣體 導流至該下表面的下方處。
本發明實施例亦提供一種在熱原子層沉積製程與電漿 增強式原子層沉積(ΡΕ-ALD)製程過程中形成材料於基材 上的方法。在另一個實施例中提供一種方法,該方法包含: 導入至少一製程氣體通過至少一導管以形成環形氣體流動 型態、暴露基材至該環形氣體流動型態下、連續脈衝輸入 至少一化學前驅物至該製程氣體中以及由該製程氣體點燃 出一電漿以沉積一材料於該基材上。在一範例中,該環形 氣體流動型態通常具有的環形幾何形狀可為漩渦狀 (vortex)、螺紋狀(helix)、螺旋狀(spiral)、旋轉狀(twirl)、 扭轉狀(twist)、盤繞狀(coil)、圈狀(whirlpool)或上述圖案 的衍生形狀。可利用上述方法沉積的材料包含釕 (ruthenium)、组(tantalum)、氮化组(tantalum nitride)、鎢 (tungsten)或氮化鶴(tungsten nitride)。 在另一個範例中,提供一種沉積一材料於一基材上的 方法’其包含:放置一基材於具有腔體蓋组件之製程腔體 内的基材支持件上、導入至少一載氣(carrjer gas)通過至少 17 1331770
一導管以形成一環形氣體流動型態、暴露該 氣體流動型態下、脈衝輸入至少一前驅物至 中、以及沉積含有來自該至少一前驅物中之 材料於該基材上。腔體蓋組件可包含:一具 與一外部區域的喷頭組件、一設置在該喷頭 引導一第一製程氣體至該内部區域與引導一 至該外部區域的電漿篩、一位於該内部區域 喷頭組件與該電漿篩之間的第一氣體區域、 外部區域上方的第二氣體區域。 在另一個範例中,提供一種用以沉積一 上的方法,其包含:設置一基材於包含一可 氣體流之氣體輸送系統的製程腔體内的基材 入至少一載氣至該製程腔體中以形成一環 態、以及於電漿增強式原子層沉積過程中暴 環形氣體流動型態下,其中該原子層沉積製 點燃一電黎並脈衝輸入一前驅物至該至少一 一材料於該基材上。 在另一個範例中,提供一種用以形成釕 的方法,該方法包含:設置一基材於具有一 隔板與一電漿篩之電漿增強式製程腔體内, 沉積製程時將該基材連續暴露在°比1 ruthenium)前驅物與一試劑中,以形成釘: 上。°比洛釘前驅物包含釘以及至少一種β比洛 該配體的化學分子式為: 基材至該環形 該至少一載氣 至少一元素的 有一内部區域 組件上方用以 第二製程氣體 上方且介於該 以及一位於該 材料於一基材 形成環形方向 支持件上、導 形氣體流動型 露該基材至該 程包含連續地 載氣中以沉積 材料於基材上 噴頭、一電漿 以及於原子層 洛釕(pyrrolyl 时料於該基材 配體(ligand), 18
其中R丨、R2、Rj、R4、R5係分別選自氫或有機官能基, 例如甲基(methyl)、乙基(ethyl)、丙基(propyl)、丁基 (butyl)、戊基(amyi)、上述官能基之衍生或組合等。在一 個範例中’ R2、R3 ' R4、R5中各自為氫基或曱基。在其他 範例中,R2'R5中各自為曱基或乙基。 此方法更提供一種吡咯釕前驅物,其可包含第一吡咯 配體與第二吡咯配體,且第一吡咯配體可與第二吡咯配體 相同或不相同。或者,吡咯釕前驅物可包含第一吡咯配體 與二烯配體(dienyl ligand)。例如,吡咯釕前驅物可為戊二 稀0比洛釕(pentadienyl pyrrolyl ruthenium)前驅物、環戊二稀 0比洛釕(cyclopentadienyl pyrrolyl ruthenium)前驅物、烧基戊 二稀 β 比洛釕前驅物(alkylpentadienyl pyrrolyl ruthenium)或烧 基環戊二稀 °比略釕(alkylcyclopentadienyl pyrrolyl ruthenium) 前驅物。因此,此方法提供的吡咯釕前驅物可為烷基吡咯 釕(alkyl pyrrolyl ruthenium)前驅物、雙(nt 洛)釕(bis(pyrrolyl) ruthenium)前驅物、二稀。比洛釕(dienyl pyrrolyl ruthenium)前 驅物或上述物質的衍生物。一些範例的吡咯釕前驅物包括 雙(四甲基0比洛)釕(bis(tetramethylpyrrolyl) ruthenium)、雙 (2,5-二曱基0比1*&·)釕(bis(2,5-dimethylpyrrolyl) ruthenium)、雙 (2,5-二乙基0比洛)釕(bis(2,5-diethylpyrrolyl) ruthenium)、雙 (四乙基0比洛)釕(bis(tetraethylpyrrolyl) ruthenium)、戊二焊
L 1331770 19 1331770 2,5-二甲基0比嘻釕(pentadienyl 2,5-dimethylpyrrolyl ruthenium)、戊二稀四 乙基0比哈釕(pentadienyl tetraethylpyrrolyl ruthenium)、戊二缔 2,5-二乙基0比洛釕 (p e n t a d i e n y 1 2,5 - d i e t h y 1 p y r r ο 1 y 丨 r u t h e n i u m )、1,3 -二甲基戍 二 烤 ° 比 洛 釕(l,3-dimethylpentadienyl pyrrolyl ruthenium) 、 1,3- 二 乙 基 戊 二 烤 °比 洛 釕
(l,3-diethylpentadienyl pyrrolyl ruthenium)、甲基環戊二 婦0比洛釕(methylcyclopentadienyl pyrrolyl ruthenium)、乙 基環戊二稀 哈釕(ethylcyclopentadienyl pyrrolyl ruthenium)、2 -甲基0比嘻0比®各釕(2-methylpyrrolyl pyrrolyl ruthenium)、2 -乙基0比洛 °比洛釘(2-ethyIpyrrolyl pyrrolyl ruthenium)或上述化合物的衍生物。
在另一個範例中,提供一種用以形成釕材料於基材上 的方法’該方法包含設置一基材於具有一喷頭、一電漿隔 板與一電漿篩之電漿增強式製程腔體内,以及於PE-ALD 製程時連續暴露該基材至一活性試劑與一 η比洛釕前驅物 中。雖然電漿可在PE-ALD製程的任一時段中被點燃,但 是較佳地情況為在該基材暴露於該試劑中時點燃電漿。電 漿活化該試劑以形成一活性試劑。活性試劑的範例包含氨 氣電漿、氮氣電漿與氫氣電漿。在一 PE-ALD製程的實施 例中,電漿係由製程腔體的外部來源產生,例如由一遠端 電漿產生器系統(prs)來產生電漿。然而,在一 pe_ald製 程的較佳實施例提供利用射頻(RF)產生器之電漿製程腔體 在原位(in-situ)產生電毀。 20 i 1331770
在另一個範例中,提供一種用以形成釕材料於基材上 的方法’該方法包含設置一基材於具有一喷頭、_電聚隔 板與—電聚篩之電漿增強式製程腔體内,以及於熱原子層 沉積製程時連續暴露該基材至一試劑與一 D比咯釕前驅物 中。在各種原子層沉積製程過程中,釕材料可沉積餘位在 基材上的阻障層(barrier layer)上(例如,銅阻障層)或介電 材料(例如,低k介電材料)上》阻障層材料可包含钽、氮 化鉅、氮化矽钽、鈦、氮化鈦、氮化矽鈦、鎢或氮化鎢。 在範例中’釕材料係沉積於先前由ALD製程或PVD製程 所形成的氮化钽材料上。介電材料可包含二氧化矽(siHc〇n dioxide)、氮化矽(s山c〇n nitride)、氮氧化矽(siik〇n oxynitride)、摻雜碳之氧化矽(carb〇n d〇ped snic〇n 〇xides) 或碳氧化梦(SiOXCy)材料。
可沉積導電金屬於釕材料上。導電材料可包含銅、鎢、 鋁、上述金屬的合金或組合物等。在一態樣中,可在單一 沉積製程中形成單層之導電金屬。在另一態樣+,亦可形 成夕層導電金屬’其中每層皆以不同的沉積製程沉積形 成。在一實施例中,利用初始沉積製程以沉積一種晶層於 該釕材料上,隨後利用另一 沉積製程於該種晶層上沉積一 主體層(bulk layer)。在範例中利用無電沉積(eUctr〇iess deposition)製程、電链製程(ECp)或物理氣相沉積製程 (PVD)以形成一銅種晶層,以及利用一無電沉積製程、電 鍍製程或化學氣相沉積製裡(CVD)以形成銅主體層。在另 一個範例中’利用ALD製程或ρν〇製程以形成鎢種晶層, 21 1331770 以及利用CVD製程或PVD製程以形成鎢主體層。 【實施方式】
本發明實施例提供一種可在原子層沉積製程(ALD), 或較佳在電漿增強式原子層沉積製程(PE-ALD)過程中沉 積材料的設備。本發明的其他實施例提供於製程腔體中形 成材料的製程。在實施例中,製程腔體係用以進行電漿增 強式原子層沉積製程並具有多個電性絕緣、接地或高頻熱 的零件。在範例中,腔體主體與氣體歧管組件係接地且以 例如絕緣套、電漿篩插件與隔離環等電性絕緣零件分隔開 來。一喷頭、一電漿隔板與一水箱係設置在該等絕緣零件 之間,且在受到電漿產生器啟動時,會具有高頻熱(RF hot) ° 硬體
第1A圖至第1G圖繪示蓋組件100的示意圖,其可用 以進行各種原子層沉積製程。在實施例中,製程腔體 50 可於熱原子層沉積製程或電漿增強式原子層沉積製程時形 成材料於基材8上。第1A圖繪示製程腔體50的剖面圖, 其可用以製作積體電路。製程腔體50包含連接至腔體主體 組件90的一蓋組件100。處理基材之製程區域60於是形 成並通常位於蓋組件1 〇〇與腔體主體組件90之間,更明確 來說,製程區域60係位於基材支持件40的支持表面41 與基材8的正上方以及位在上表面62的正下方。在一實施 22 1331770 例中,在上表面62與支持表面41之間的腔體間隔(spacing) 係介於約0.5毫米至約5 0毫米之間,較佳介於約1毫米至 1 2毫米之間,更佳係介於約4毫米至8毫米之間,例如5.8 4 毫米(0.23英吋)。視沉積製程中不同的輸送氣體與製程條 件,可改變該間隔。
基材支持件 40包含邊環(edge ring)44與加熱元件 45(第1A圖與第1G圖)。加熱元件45係嵌入於基材支持 件4 0内。邊環4 4係設置在基材支持件4 0的周圍並位於基 材支持件40的上部位上。内邊環48a、48b、48c係位於加 熱元件45上,且位於邊環44覆蓋住該基材支持件40之上 部位的該區段下方。邊環44可當作清洗環(purge ring), 以引導邊緣清洗氣體由基材支持件40處通過位在内邊環 4 8 a、4 8 b、4 8 c之間的間隙4 7、邊環4 4與加熱元件4 5, 最後通過基材8的邊緣上方(第1 G圖)。邊緣清洗氣體流可 避免反應性製程氣體擴散至加熱元件45處。
阻氣間隙(choke gap)61係形成在邊環44與上表面62 之間的環繞間隙或間隔,更明確而言,係形成在邊環 44 的上緣表面與隔離環200的下表面202d之間。靠著部分分 開製程區域60與内部腔體區域59的非均勻壓力分佈區, 阻氣間隙61亦有助於在製程區域60内提供更均勻壓力分 佈。阻氣間隙6 1可視製程條件與所需的抽氣效率而改變。 利用調整阻氣間隙 6 1,可控制沉積製程過程中的抽氣效 率。降低基材支持件40即可增加阻氣間隙6 1,而升高基 材支持件4 0可減少阻氣間隙6 1。藉由改變阻氣間隙6 1的 23 距離’可調整從位於製程腔體 50下部位的抽氣口 38(pumping port)至通道820申央間的抽氣傳導(pumping conductance) ’來控制在沉積製程中膜的厚度與均勻性。 在一實施例中’上方阻氣間隙6 1的間隔係介於約0 · 5毫米 至約5 0毫米之間’較佳地介於約1毫米至5毫米之間’更 佳地係介於約2.5毫米至4毫米之間,例如3 3毫米(0.13 英时)。 在一實施例中’抽氣傳導的壓差可加以控制,以減少 或消除二次電漿的生成。因為電漿的生成與維持係與離子 濃度相關’所以可減少在特定區域内的壓力以將離子濃度 最小化。因此’可避免二次電漿生成於製程腔體的特定區 域中。在一較佳實施例中’製程腔體5〇係用以進行pe-ALD 製程。因此’整個製程腔體50内的各個區域與零件係電性 絕緣 '接地或具有尚頻熱。在一範例中,腔體主體與氣 體歧管組件800係接地且以電性絕緣的隔離環2〇〇 '電漿 篩插件600以及絕緣套700加以分隔。在電性絕緣零件之 間的噴頭300、電漿隔板插件5〇〇以及水箱4〇〇在受電漿 產生器系統92(第1E圖)啟動^ 圖)啟動時會具有高頻熱。製程腔體
晶圓係與嘖頭300成為一 主體組件90之金屬表面的腐蝕 時’基材支持件40與位於其上的 接地通路(grounded path)。 24 1331770
參照第1A圖,因為製程區域60與内部腔體區域59 隔離開來,反應氣體或清洗氣體僅需要足以填充製程區域 60的量,以確保基材8能充分暴露於反應氣體或清洗氣體 中。在習知的化學氣相沉積製程中,需要製程腔體以同時 並均勻地提供由數種反應物所構成的組合氣流至整個基材 表面上,以確保該等反應物的反應在基材8表面上均勻發 生。在ALD製程中,製程腔體5 0係用以使基材8連續接 觸諸如氣體或電漿的化學反應物,該些化學反應物係如同 一薄層般地吸附或發生反應於基材8的表面上。於是,ALD 製程不需要反應物同時到達基材8的表面。反而所提供的 反應物量僅需要足以吸附在基材8表面而形成一薄層或足 以與基材8表面上的吸附薄層發生反應的量。
相較於習知CVD腔體的内部容積,製程區域60包含 一較小體積,所以在ALD程序的特定製程中需要用來填充 製程區域60的氣體量較少。因為内腔體區域具有大約20 升的體積,製程區域60係與内腔體區域59分開以形成較 小體積,例如大約3升或更小,較佳約2升,更佳約1.升 或更小。在一個適合處理直徑200毫米之基材的腔體中, 製程區域6 0約為1 0 0 0立方公分或更小,較佳約5 0 0立方 公分或更小,更佳約為200立方公分或更小。在一個適合 處理直徑3 00毫米之基材的腔體中,製程區域60大約為 3 0 0 0立方公分或更小,較佳約1,5 0 0立方公分或更小,更 佳約為1 0 0 0立方公分或更小,例如約8 0 0立方公分或更 小。在一個適合處理直徑為300毫米之基材的腔體實施例 25 中,製程區域60的體積大約為77〇办+ 方公分或更+ 一個實施例中,可升高或降低基材支拄 。在另
^ : 持件40以調整製转F 域60的體積《例如,升高基材支持 程區 叉待件40 U形成體積1右 770立方公分或更小的製程區域6〇。刼· 償具有 越小的製程體 程中需要注入製程腔體50中的氣體越少(例如,躓在製 趙、載氣或清洗氣體)。由於用來供應鱼 製程乳 、移除虱體的時 短,所以製程腔體50的產量可較大,且 两优用較少晉 氣體,減少化學前驅物與其他氣體的浪 成本。 ”,因而降低運作 第1B圖進一步顯示蓋組件100與其零件的八 _ , 刀解圖。 具有下表面102與上表面1〇4的蓋支持件ι〇3可由 料所形成,包括金屬材料。較佳地,蓋*姓土 種材 叉符仵103係由金 屬所形成,諸如鋁、鋼、不銹鋼(例如,可選擇性勹 匕含錄之 鐵鉻合金)、鐵、鎳、鉻、上述金屬的合金或組合物等。蓋 組件100可藉由鉸鍊(hinge,未顯示)而連結至 盖 組主體組 03 件90上。一旦蓋組件在閉合位置上時,於蓋支持件1 上的調準狭縫101係與連結至腔體主體組件9〇的一尸 (post,未顯示)對準。蓋支持件103亦包含位於上表面 的支持托架(support bracket)110 與把手組件(handle assembly)107。把手組件107可包含一熱絕緣體1〇8,其位 於把手106與上表面104之間。再者,蓋組件100具有突 出表面(ledge surface)122 與壁表面(wall surface)124。多 個孔與開口’例如端口 1 1 6 ' 1 1 7與11 8,亦可貫穿蓋支持 件103而可提供供導管、軟管、扣件(fast eners)、器具與 26 1331770 其他裝置的通路。蓋支 ^ 更L含未貫穿的孔。例如, 孔uy 了帶有螺紋狀, 甚έΒ # 用以接收諸如螺絲或螺栓等扣件。 盡卫件1〇〇更包含隔離環20〇、 Φ將賀碩300、水箱400、 電衆板插件5 〇 〇 '電漿 ....sn 電聚師插件6〇〇、絕緣套700以及氣體 歧f組件8〇〇。蓋組件 個零件(即,隔離環200、 噴頭300、水箱4〇〇、電 48 ^ ^ 70Π 隔板插件5〇〇、電漿篩插件600、 ’ ’ 或氣體歧管組件800)的尺斗+ .;的尺寸大小可加以改變以 處理各種尺寸的基材, Λ ^ , 马15〇毫米'200毫米' 300毫米或更大的晶圓。 ,,.,78Λ 再者可設置每個零件並以夾鉗 (clip)780固定在蓋支持 "左以 件1〇3上。夾鉗780在水箱400的 上表面404上方夾緊,且穿 119的扣件將其固定住 (第1A圖至第1G圖)。在範例中’ Αιτ, 灭鉗780包含金屬夹鉗 部(metal clip segment)784,装办 、位於絕緣部(insulator segment)782 上。絕緣部 782 可 士 ... 由電性絕緣材料、絕埶材 料或上述材料的組合所形成。去 ·"、 田爽鉗780固定蓋組件1〇〇 的各種零件時’絕緣部782在 仕上表面404與蓋支持件1〇3 之間提供電性絕緣與熱絕緣。#調準時,轴1()會通過蓋組 件100的中央,包含通過蓋支持件1〇3的開口 、隔離 環200的開口 220、噴頭30〇的開〇 32〇、水箱4〇〇的開口 420、電漿隔板插件500的鼻頭部520、電漿筛插件6〇〇的 中央部位601、絕緣套700的通道720以及氣體歧管組件 800的通道820。 第1C圖繪示在蓋組件1〇〇與轴10下方的视圖以顯示 蓋支持件103的上表面62與下表面102。製程區域6〇的 27 1331770
上表面62係由隔離環200的下表面202d與205d、喷S 的下表面302c以及電漿隔板插件500的下表面502所 形成。基材8係位於製程區域60内的下表面62下方 在沉積製程中暴露在製程氣體下。在一實施例中,於 製程時係相繼暴露基材於至少兩種製程氣體中(例如, 或電漿)。在ALD製程的範例中,基材8係暴露在來 漿隔板插件500之狹缝510的第一製程氣體中,以及 在來自噴頭3 00之孔310的第二製程氣體中。 沿著軸10的視圖進一步顯示,儘管在下表面502 看見狹縫510的開口 508,但看不見狭縫510的另一 例如在上表面503的開口 506(第5C圖)。此軸10下 阻礙視圖係起因於狹縫 510的角度(第 5B圖中的角 !),其顯示位在電漿隔板插件500上方介於製程區域 氣體區域640之間的通路非視距可及。在製程區域60 體區域6 4 0之間具有受阻路徑較可直視到底的未受阻 具有更多優點,包含減少或消除在電漿隔板插件5 0 0 上方的二次電漿。 在本文中所使用的「視距」(Line-o f-sight)係指在 之間的直通路徑或不受阻礙的路徑。該直通路徑或不 礙路徑為至少兩點之間的氣體或電漿提供未受阻礙或 的通路。—般來說,受阻礙或不直通 (obscured)的通 止或實質減少電漿通過,但同時卻允許氣體通過。因 視距通路通常允許氣體或電漿通過,而在兩點間非視 通路則會阻止或實質減少電漿通過,但卻允許氣體通 具300 共同 ,且 ALD 氣體 自電 暴露 處可 端, 方的 度α 60與 與氣 路徑 内或 兩點 受阻 暢通 路阻 此, 距性 過 ° 28 1331770 在一實施例中’可將上表面62的一部份,稱其 面(lower SUrfaCe)302c與下表面5〇2,予以粗糙化、(例=表 透過機械加工)以使上表面62具有更多的表面 ]如 。 H_表面 62增加表面積可增加沉積製程中累積材料 笮度,並因 為減少累積材料的剝落進而減少污染。在範例中, 3 02c與下表面502各自的平均粗糙度(Ra)可小 夕约 15微 英吋(大約0.38微米)’較佳地約1〇0微英吋 、z · 5 4 微米),
更佳約200微英吋(約5 〇8微米)或更高。蓋支 又符件103的 下表面102亦可粗糙化,以具有至少大約15 寸(約0.3 8 微米)的粗糙度,較佳為至少約5〇微英吋(約12?微, 例如大約5 4微英叶(約1 3 7微米)。 ) 第1B圖與第1D圖進一步繪示出氣體歧管 义S且件8〇〇, 其包含導管組件840、歧管套組件850與氣體導管組件 830。歧管套組件85〇具有可觀察點燃電漿的視^ 2件 8 26(第1Α圖)。或者,歧管套组件85〇可包含不具視窗的 表面825(第1D圖)。當氣體導管組件83〇可在凸緣處 與端口 117連接且流體連通,同時氣體導管組件延伸 至與歧管檔塊(manifold bl〇ck)800上的氣體入口 813連接 且流體連通(第1D圖與第圖)。 在一實施例中,電漿產生器系統92係藉著射頻帶 strap)88而連結至蓋組件1〇〇匕ί笛1 n ® 1 υυ上(弟1 D圖)。一部份的電漿 產生器系,统92 ’稱其為射頻尖端(RF “―以…與絕緣體 95a,突出並穿通位在蓋支持件1〇3上的端口 ιΐ6且連接至 嗔頭300與水箱400。當RF帶將RF尖端94電性連接至 29 1331770 區域950時,絕緣體95a使RF尖端94與蓋支持件1〇3電 性絕緣,其中該區域950包含位於噴頭300與水箱4〇〇上 的接觸點(contact)350與450。RF尖端94為諸如金屬桿或 電極等導電材料,其包含銅、黃銅(brass)、不銹鋼、鋼、 鉅、鐵、鎳、鉻' 上述金屬合金、其他導電材料或上述材 料之組合》 電漿產生器系統92更包含電漿產生器97,其安裝於 腔體主體80下方(第1E圖)。絕緣體95b位於電漿產生器 97與腔體主體80之間以電性隔離電漿產生器97。匹配件 96可突出貫穿絕緣體95b且與腔體主體8〇電性接觸。電 漿產生器97更包含連接器98»在一範例中,連接器98係 為RF共轴纜線連接器(rf coaxial cable connector),例如 N型連接器。電漿產生器系統92可藉由連接至訊號匯流排 系統(signal bus system)30的電漿產生控制器(plasma generator ct>nUGller)22來操作。在一個範例中’電漿產生 器系統92的製程條件可設定以在電壓約3〇〇瓦、電流約9 安培的條件下具有大約4歐姆(〇hms)的腔體阻抗》電漿系 ,统與製程腔體可與蓋組件1〇〇 一同使用或可當作電漿產生 器系統9 2使用’以及腔體主體組件9 0係為可在加州聖塔 克拉拉之應用材料公司(AppHed Materials, Inc)睛得的 TXZ® CVD腔體《有關電漿系統與製程腔體係進一步揭露 於共同受讓的美國專利號5846332 ' 6079356與6106625 中’該些案件揭露出電漿產生器、電漿腔體、氣相沉積腔 趙、基材底座以及腔體襯墊,在此係以參考方式納入該些 30 案件的内容< 製程腔音 其用以降低I 製程區域6 〇 蓋住基材支拍 59的桿42上 製程腔體50 ; 40係可轉動。 •组件1 0 0的下 件5〇〇與部分 視特定製 步禅或其他在 加熱基材8至 45以加熱基材 加熱元件45, 材8係因基材 官(未顯示)的奉 亦可將例. 入基材支持件 的溫度係用於: 流電源供應,: 定製程的需求; 嵌入至基材支; 真空抽氣: 〖二的::主趙組件9°包含絕緣環觀塾82, 中u二雜主體8〇且有助於將…限在 .件:,=者,主體組件9。通常罩 。使用,支持# 4〇連結至位於内腔趙區域 用支持控制器20η* 巧的垂Η吏基材支持件4〇在 直方向移動。在實施例中 製程區域6。位於基材支持二基材支持件 方,較佳… 支持件4〇的上方與蓋 殿佳係至少位於噴 的隔離環200下方。 、電聚隔板插 程而疋,於預處理步驟、 製作'儿積步驟、後處理 乍過程中使用的製程步驟之前或當 某特定溫度。例如,可利用嵌入式…可 支持件40。藉由施加來自交"疋件
个曰又流電源的雷法 以電阻加熱方式來加埶 ",L 支持件而受熱。另ΐίΓ 〇°基 | 6, ^ 方式為透過諸如燈 9射熱源以加熱基材支持件4〇。 Α 知熱偶計(thermocouple)箅、-„庙 4〇 ^ 度感測器46 & 40内以監測基材支持件4〇 篏 * 〇 ptL b 前餽迴路中以控制供應至加埶 蕙測 “ …、疋件45的交 此基材8的溫度便可加以維待或控制在二 Μ。基材升降插梢⑽—(未顯示)亦= …〇中以使基材由支持件表面41 …6係用以排空並維持在製程腔體5。: 31 1331770
的壓力(第IF圖)。真空抽氣系統36藉由和 門37而與製程腔體50連接。氣體歧管組 支持件40的上方,而製程氣體透過該氣 進入製程腔體50中。氣體歧管組件800可 (gas panel)上,其控制並供給各種製程氣 5 0中。 氣體源 70a、70b、70c、70d、與 70e 提 載氣或清洗氣體通過導管系統3 4進入製海 體源 70a、70b、70c、70d' 與 70e 可直接 一化學供給或一氣體供給。化學或氣楚 (tank)、安瓶(ampoule)、鼓泡器(bubbler)、喷 或其他可儲存、傳送或形成化學前驅物的 體供給亦可來自内部來源。連接至控制單天 72a、72b、72c、72d與72e可適當地控制 源 70a、70b、70c、70d、與 70e 的氣體流 件800。氣體歧管組件800能引導製程氣 50中,並且可選擇性加熱該歧管組件以避 在歧管組件800的導管或管線内。 每個閥門组件72a、72b、72c、72d與 (diaphragm)與閥座(valve seat)。可各自採 械啟動(actuated)的方式開啟或關閉隔板。 電子方式啟動。氣動閥門可於Fujikin與 得,而電子啟動閥門可於Fujikin公司購4 可耦接至閥Π組件72a ' 72b、72c、72d與 b氣端口 38與閥 件8 0 〇位於基材 體歧管組件 800 連接至氣體面板 體注入製程腔體 供前驅物氣體、 I腔體50中。氣 或間接地連接至 【供給包含儲槽 霧器(vaporizer) 容器。化學或氣 :< 51的閥門組件 與調節來自氣體 進入氣體歧管組 體進入製程腔體 免任何氣體凝結 72e可包含隔板 用施加偏壓或機 隔板可以氣動或 Veri flow公司講 导。控制單元51 72e以控制閥門 32 1331770
隔板的啟動。氣動閥門可提供時距大約 Ο. 〇 2秒的氣體脈 衝。電子啟動閥門可提供時距大約0.0 0 5秒的氣體脈衝。 一般而言,氣動閥門與電子啟動閥門可提供時距高達大約 3秒的氣體脈衝。雖然較高時距的氣體脈衝是可行的,但 是典型ALD製程使用用來產生氣體脈衝的ALD閥門在閥 門開啟的時距大約為5秒或更短,較佳大約為3秒,更佳 大約為2秒或更短。在一實施例中,ALD閥門脈衝開合的 時間間隔介於約0.005秒至3秒之間,較佳介於約0.02秒 至約2秒之間,更佳介於約0 · 0 5秒與1秒之間。電子啟動 閥門通常需要耦接在閥門與控制單元5 1之間的驅動器。在 另一個實施例中,每個閥門組件 72a、72b、72c、72d與 72e可包含質量流量控制器(MFC)以控制氣體散佈、氣體流 速與其他ALD脈衝程序相關因素。
在 ALD設備内的前驅物或氣體輸送系統係用以儲存 與輸配化學前驅物、載氣、清洗氣體或上述氣體的組合物 等。輸送系統可包含閥門(例如,ALD閥門或質量流量控 制器)、導管、儲存器(reservoirs)、安瓿與鼓泡器、加熱器 以及/或控制單元系統,其可與製腔體5 0或蓋組件1 0 0 — 起使用並與氣體歧管8 00或導管系統3 4流體連通。在範例 中,輸送系統可包含氣體源70a〜70e以及連接至控制單元 5 1的閥門組件。用於ALD製程的輪送系統係闡述在共同 受讓且審理中的美國專利申請案 11/127753中,該案在 2005年5月12曰申請,名稱為「用於含铪高k材料之原 子層沉積的設備與方法j (Apparatus and Methods for 33 1331770
Atomic Layer Deposition of Hafnium-Containing High-k Materials),公開號為 2005-027 1 8 1 2;於 2005 年 4 月 29 曰申請之美國專利申請案1 1/1 1 9388 ’名稱為「控制氣流 與輸送以抑制微粒在 MOCVD/ALD 系統中生成的方法」 (Control of Gas Flow and Delivery to Suppress the Formation of Particle in an MOCVD/ALD System),其公開 號為2005-0252449;於2002年10月25日申請之美國專 利申請案1 0/28 1 079,名稱為「用於原子層沉積的氣體輸 送設備」(Gas Delivery Apparatus for Atomic Layer
Deposition)’ 其公開號為 2003-0121608;以及 2003 年 11 月3曰申請之美國專利申請案10/700328,名稱為「具有 流速控制的前驅物輸送系統」(precursor Delivery System with Rate Control)’ 其公開號為 2005-009859,在此係以 參考方式納入該些參考文獻的内容。
諸如個人電腦或工作站電腦等控制單元51可耦接至 製程腔體5 0上以控制製程條件。例如,控制單元5 1可設 s十在基材製程程序的不同階段中控制各種製程氣體與清洗 氣體由氣體源70a-7〇e處通過閥門組件72a-72e。例如,控 制單元51包含中央處理單元(CPU)52、支援電路(support circuitry)54與具有相關控制軟體58的記憶體(mem〇ry)56。 軟體常式可儲存在記憶體56中或由一遠端來源(例 如,電腦或伺服器)所執行。執行軟體常式以啟動製程配方 或程序。當執行軟體常式時,普通電腦將轉換成在腔體製 程過程中控制腔體運作的特定製程電腦。例如,於執行根 34 1331770 據本文中所述實施例的製程程序時,軟體常式可透過操作 閥門組件72a-72e而精確控制氣體源70a-70e的啟動。或 者,軟體常式可在硬體中執行,例如特定用於積體電路的 硬體或其他型式的硬體或是軟體與硬體之組合等。 費
控制單元 51可以是任何一種可用於工業設定以控制 各種反應室與次處理器的普通電腦處理器。CPU 52可利用 任何合適的記憶體 5 6,例如隨機存取記憶體、唯讀記憶 體、軟碟機、光碟機、硬碟或其他内部或遠端的數位儲存 形式。各種支援電路可耦接至CPU 52上以支援製程腔體 50。控制單元 51可耦接至鄰近獨立腔體零件的其他控制 器,例如閥門組件72a-72e的程式邏輯控制器。控制單元 5 1與製程腔體5 0的其他零件之間的雙向溝通係由多個集 合的訊號纜線,也就是訊號匯流排3 0所處理,有些匯流排 係繪示於第1F圖中。除了控制來自氣體源70a-70e的製程 氣體與清洗氣體之外,閥門組件 72a-72e、任何程式邏輯 控制器與控制單元51可負責自動控制在製造製程中的其 他動作。控制單元51係連接至電漿產生器控制器22、真 空抽氣系統3 6以及包含溫度監控、控制與升降插梢(未顯 示)控制的支援控制器(support controller)。 隔離環200包含開口 220(第2A圖與第2B圖)且位於 喷頭300與蓋支持件103之間(第1A圖與第1B圖)。隔離 環200包含上表面204以支持喷頭300。開口 220可與開 口 120對準,使得轴10通過該些開口的中央。隔離環包含 朝轴10向内逐漸變細的内表面205a、205b、205c與205d。 35 隔離環200更包含下表面202a、202b、202c與2〇2d。 當去於 #住隔離環200時,下表面202a可用以接觸蓋支持件 1 〇 3的几山 出表面(1 e d g e s u r f a c e ) 1 2 2。當下表面 2 0 2 d 與 2 〇 5 d 為上表面62的一部份時,下表面2 02d與2 05d形成製程區 域6〇。卜主τ , 上表面62中由下表面202d所形成該部份係在製程 區 fin Cfea υ與内腔體區域59之間形成外環密封(outer ring SeaI) °隔離環200可由能抵抗電漿或抵抗製程反應物的電 j.» 命艺 I, 緣材料所形成。隔離環200亦可包含熱絕緣材料。可 作為隔離環2〇〇的材料包含陶瓷、石英、熔融石英塊、藍 寶石、熱解氮化蝴(pyrolytic boron nitrite,PBN)材料、玻 璃、塑膠、上述材料的衍生物或組合等。 喷頭300包含開口 32〇(第3A圖與第3B圖)且可位於 隔離環200與水箱400之間(第1A圖與第1B圖)》喷頭3〇〇 包含上表面303、3 04與306,其中上表面3 04與3〇6可用 以支撐水箱400。壁表面305a與305b位於上表面3〇3、304 與306之間。喷頭300更包含下表面302a、302b與302c。 當支撐住喷頭3 00時,下表面3 〇2a可當作隔離環2〇〇的上 表面204。當下表面3〇2c成為上表面62的一部份時,其 亦形成製程區域60(第1C圖)。喷頭300可由各種材料所 形成,包含金屬或其他導電材料。較佳地’噴頭3〇〇係由 金屬所形成,例如鋁、鋼、不銹鋼、鐵、鎳、鉻' 上述金 屬的合金或組合等。 開口 320貫穿喷頭300且與開〇 12〇與220對準,使 得轴10可通過每個開口的中央(第圖)。再者’開口 320 36 1331770 貫穿環組件3 3 0。環組件3 3 0位於喷頭3 Ο 0的中央且可用 以容納電漿隔板插件5 0 0。環組件3 3 0包含設置在上表面 303表面上方的環328。凸出部(ledge)332由環328處向内 朝軸10的方向突出,且用以支撐電漿隔板插件500於凸出 部332上。凸出部322由環328處以遠離軸10的方向向外 突出且用以配合上表面304與306 —同支撐水箱400。環 328的上表面324係用以支撐電漿篩插件600。
噴頭3 00的上表面3 03接收製程氣體,並透過孔310 而輸送至製程區域60中。孔310從上表面303貫穿噴頭 300至下表面302c處以提供兩處之間的流體連通。在喷頭 3 0 0中的孔3 1 0直徑介於約0.1毫米與約1毫米之間,較 佳地係介於約0.2毫米與0 · 8毫米之間,更佳地介於約0 · 4 毫米至0.6毫米之間。喷頭300可具有至少約100個孔, 較佳地具有約1 000個孔,更佳具有約1 500或更多個孔。 視孔3 1 0的大小、孔3 1 0的分佈狀況、基材大小與所需的 暴露速率而定,喷頭3 00可具有多達6000個孔或1 0000 個孔。多個孔3 1 0之間可具有不同或一致的幾何形狀。在 範例中,噴頭300由金屬所構成(例如,鋁或不銹鋼),且 具有1500個直徑為0.5毫米的孔。 噴頭300包含開口 320(第3圖)且位於隔離環200與 水箱400之間(第1A圖與第1B圖)。喷頭3 00包含上表面 303、3 04與3 06,其中上表面304與306可用以支撐水箱 400°壁表面305a與305b介於上表面303、304與306之 間。噴頭300更包含下表面302a、302b與302c。當支撐 37 1331770 喷頭300時,下表面302a可當作隔離環200的上表面204。 當下表面3 02c作為上表面62的一部份時,其亦形成製程 區域60(第1C圖)。喷頭300可由各種材料所形成,包含 金屬或其他導電材料。較佳地,噴頭300係由金屬所形成, 例如鋁、鋼、不銹鋼、鐵、鎳、鉻、上述金屬的合金或組 合物等。
複數個孔310係貫穿形成於喷頭300中,使得上表面 303與下表面302c間流體連通。孔310可具有各種大小且 以多種圖案散佈在上表面303與下表面302c上。每個孔 3 10的直徑介於約0 · 1毫米至約1毫米之間,較佳地係介 於約0.2毫米與0.8毫米之間,更佳地介於約0.4毫米至 0.6毫米之間,例如約0 · 5 1毫米(0 · 0 2英吋)。喷頭3 0 0可 具有至少約1 00個孔,較佳地具有約1 〇〇〇個孔,更佳地係 具有約1 5 00或更多個孔。視孔3 1 0的大小、孔3 1 0的分佈 狀況、基材大小與所需的暴露速率而定,例如,喷頭 300 可具有6000個孔或10000個孔。較佳地,喷頭300由金屬 所構成(例如,鋁或不銹鋼)且具有1500個直徑為0.5毫米 的孔。 包含開口 420的水箱400(第4A圖與第4B圖)可位於 噴頭3 00(第1A圖與第1B圖)的頂端且藉由移除蓋組件100 的熱而調節溫度。開口 420包含凸出表面414a與414b以 及内表面416a、416b與416c。複數個通路440由内表面 416b處放射狀地向内延伸貫穿水箱400直到下表面402c 處。開口 420係用以接收電漿隔板插件500、電漿篩插件 38 1331770
600、絕緣套700。絕緣套700可位於凸出表面414a上。 水箱400可由各種包含金屬的材料所形成。較佳地,水箱 400係由金屬所形成,例如铭、鋼、不錄鋼、鐵、鎮、鉻、 上述金屬的合金或組合物等。水箱400的下表面402a、402b 係置於噴頭300的上表面304與306上。水箱400亦包含 上表面403,其被具有上表面404的内表面405所包圍。 水箱400有助於移除蓋組件100的熱,尤其是喷頭300處 的熱。上表面403包含入口 410與出口 412,該入口 410 與出口 412與通路430間流體連通。在沉積製程中,具有 初期溫度的流體係通過入口 4 1 0而供應至水箱4 0 0處。當 流體行經通路4 3 0時,流體可吸收熱。具較高溫度的流體 可經由出口 412而自水箱400處移除。
流體可為液態、氣態或超臨界狀態,其可適時吸收並 驅散熱量。可用於水箱400中的液體包含水、油、酒精、 乙二醇、乙二醇醚類、其他有機溶劑、超臨界流體(例如二 氧化碳)、上述液體的衍生物或混合物等。氣體可包含氮 氣、氬氣、空氣、氫氟碳化合物(HFCs)或上述氣體的組合 物。較佳地,提供給水箱4 0 0的流體係水或水/酒精混合物。 入口 410可用以接收連接至線路425(例如,軟管(hose)) 的喷嘴41 1,該線路4 2 5與流體源流體連通。同樣的,出 口 412可用以接收連接至線路 427(例如,軟管)的喷嘴 413,該線路427與流趙回收處(fluid return)流體連通。流 體源與流體回收處可以是内部冷卻系統或獨立的冷卻系 統。線路425與427連接至來源噴嘴421以及返回噴嘴 39 1331770 423’該些喷嘴藉由支持件托架110而固定在蓋支持件ι〇3 上。線路42 5與42 7可為圓管(tube)、軟管、導管或線路。 在實施例中’供给至水箱400的流體具有介於約_2〇。〇 至約40°C的溫度’較佳地介於約〇〇c至約20°C。可調整 溫度、流速與流體組成以適度移除包含噴頭3〇〇之蓋組件 100的熱’同時將水箱400保持在預定溫度。水箱4〇〇可 維持在預設溫度内,此預定溫度介於約〇〇(:至約1〇〇£)(:之 間’較佳介於約1 8 ° C至約6 5。C之間,更佳介於約2 0。C 至約50°C之間。 在另一實施例中,第4C圖至第4F圖繪示可用以取代 通路430之不同幾何形狀的通路43〇c、43〇d'43〇e與43〇f。 通路430c-430f可包含圍繞開口 420的部分迴圈 (loop)432c(第4C圖)、單一迴圈432d(第4D圖)、多迴圈 432e(第4E圖)或包含分支或支線432f(第4F圖)。 氣體區域540係位於喷頭3〇〇的上表面303上方以及 水箱400的下表面402c下方。通路44〇由内表面416b延 伸貫穿水相400並進入氣體區域54〇。内表面416b可向内 f曲凹陷以形成氣體區域441 ’該氣體區域441位於内表 面416b、電漿篩插件600以及絕緣套7〇〇之間(第7C圖)。 氣體區域4 4 1包圍電漿篩插件6 0 〇以維持與狹縫6 1 4間的 流趙連通。通路440在氣體區域441與540之間提供流體 連通。水相400包含數個通路44〇。例如,水箱400可包 含至少10個通路’較佳包含至少24個通路,更佳包含至 少36個通路或更多。 40 1331770 第5A圖至第5F圖繪示電漿隔板插件500的示意圖, 如一些實施例中所述般,其可作為蓋組件1 0 0的一部份。 電漿隔板插件500係用以接收來自氣體區域640的製程氣 體,並輸配或送出製程氣體至製程區域60中。較佳地,電 漿隔板插件500係以一預設角度來輸配製程氣體。下表面 503包含多個狭縫510,該等狭缝510延伸貫穿電漿隔板插 件500直到下表面502,以輸配製程氣體至製程區域60中。
電漿隔板插件500係如圖所示般包含鼻頭部520,其 由上表面5 03處延伸至錐表面522(第5A圖)。錐表面522
可具有各種幾何形狀,例如平面(第 5B圖),或者鼻頭部 520可延伸至一點(未顯示)。較佳地,錐表面522係實質 水平以接觸電漿篩插件600。鼻頭部520可延伸至氣體區 域640中,該氣體區域640形成在電漿隔板插件5 00上方、 電漿篩插件600下方以及環組件330内。鼻頭部520在氣 體區域640内佔有一預定體積。若鼻頭部520佔據較大體 積,則在沉積製程中需要用來填充氣體區域640的製程氣 體量較少。於是,因為在每次ALD製程的半週期中可較快 地供給或移除在氣體區域640中的製程氣體,因此可具有 較短的ALD週期。 電漿隔板插件 500 包含具有下表面 502的下邊緣 (lowerrim)512,以及具有上表面505與下表面504的上邊 緣5 1 4。下邊緣5 1 2與上邊緣5 1 4係以間隙5 1 3分隔開來。 可設置一襯墊(gasket)在間隙 513内以提供較佳導電性或 較佳密封。襯墊可包含0型環(Ο-ring)或密封劑(sealant)。 41 丄33l77〇 較佳地,觀塾係A n p P J, 竹' 4 RF *墊且包含導電材料,例如金屬纜 或摻雜導電材料的聚合物。在 ^ . λ* 甘較佳實施例中,諸如纏 繞的不錄鋼境線等RF翻執在,,L 4 寻Kh襯垫係沿著間隙513設置’以提供 與嘴頭300間的導電接觸。電漿& > 电眾Rw板插件500可位於喷頭 3〇〇的開口 320内,以使上邊缝 • 1史上透緣514的下表面504位於喷
碩3〇〇的凸出部332上(第1A圖與第a圖)。電漿隔板插 件500亦被環組件33 0所圍繞而位於開口 32〇内。電聚隔 板拖件500係由例如銘、鋼、不鱗鋼、鐵、錄、絡、其他 金屬、上述金屬的合金或組合物所構成。 電漿隔板插件500包含複數個狹縫51〇,使得上表面 5〇3的開口 508與下表面502的開口 506間流體連通(第5 圖與第5C圖)。該等狹縫510提供使製程氣體以一預定角 夜由氣體區域640流入製程區域60的通道。理想狀況為, 狹缝510引導製程氣體以喷射角…的角度接觸基材8或支 持件表面41,該角度係從軸1 〇至線段5 3 2之間所測得的 角度。當線段5 3 2沿著狹缝510的平面延伸,同時軸1 〇
垂直通過下表面502。因此,位於電漿隔板插件500内的 狹縫510係設置成喷射角αι,並以引導具有製程氣體具有 喷射角(^角度的流動型態,如第5C圖與第9C至第9D圖 所示。 在一些實施例中,電漿隔板插件 500可包含溝槽 (trough)5 01或複數個孔530,以助於移動上表面503的製 程氣體。在實施例中,如第5A圖至第5C圖所示般,電聚 隔板插件500可包含圍繞在狹缝510外徑的溝槽501。或 42 1331770 者’狹縫51G可延伸至溝槽5G1中(未顯示)。 在另一個實施例中,如第5 罘圖至第5F圖所示般,電 毁隔板插件500可包含多個 卿圍繞在鼻頭部5 2 〇外徑的孔 5 3 0。每個孔53〇沿著軸線 宙上表面5〇3延伸至下表面 5〇2。在範例中’每個孔53〇 〜考軸線538具有固定直徑。 較佳地’每個孔53〇包含以 乳門528刀隔開來的上通路 526a與下通路526b。上通 526a的通常直徑大於下通路
5 2 6b的直徑。 在一些實施例中,具有與支捭杜矣 穴叉得件表面41水平或垂直的 流動型態(即,喷射角α丨大約n。+ i & μ 喟耵月1尺力〇或大約90。)之製程氣體係 不均勻地累積化學前驅物於基絲上产1 于^ 、丞材8表面上。在氣相沉積製 程中,基# 8可以小於約90。但大於約〇。的角度來接觸製 程氣體,以確保均勾接觸製程氣體。在實施例中,狭縫51〇 的喷射角αι可介於約20。至約7〇。之間,較佳介於約3〇。 至60°之間’更佳介於約40°至50。之間,例如約45〇。製 程氣體可具有因狹縫5 10的噴射角αι所造成的環形路徑。
環形路徑通常具有漩渦狀(vortex)、螺紋狀(helix)、螺旋狀 (spira卜 corkscrew)、旋轉狀(twir卜 swiri)' 扭轉狀(twist)、 盤繞狀(coil)、圈狀(whirlpool)或上述形狀的衍生幾何形 狀。 位於電漿隔板插件500内的孔530係具有喷射角α5, 以引導製程氣體使其具有喷射角as的流動型態9丨2,如第 5F圖與第9C至第9D圖所示。在另一個實施例中,孔530 的噴射角(I5可介於約〇。至約60°之間,較佳係介於約15〇 43 1331770 至50°之間,更佳介於約30°至40°之間,例如約35°。製 程氣體的流動型態912可具有因孔530的噴射角α5所造成 之錐形路徑。
藉由限制狹縫510與孔530的寬度與長度,可避免二 次電漿或氣體往回擴散發生在電漿隔板插件500之内或上 方。再者,藉由以預設喷射角度αι設置狹縫510,使得由 支持件表面41穿過電漿隔板插件5 00沿著軸1 0至氣體區 域640之間非視距可及(第1C圖),如此可避免在電漿隔板 插件500内或上方產生二次電漿。藉由以一預設喷射角度 α5來設置孔530,使得由支持件表面41穿過電漿隔板插件 5 0 0沿著軸線5 3 8至氣體區域6 4 0之間非視距可及,如此 可避免在電漿隔板插件500内或上方產生二次電漿(第1F 圖)。
因此,非視距可及性可在每個狹縫510或孔530下方 形成受阻路徑(obscured pathway)。例如,狹縫510的寬度 可介於約0.5毫米至約1毫米之間,較佳係介於約0.6毫 米至約0.9毫米之間,更佳介於約0.7毫米至0.8毫米之 間,例如約0.76毫米(0.03英吋)。再者,狹缝510的長度 可介於約3毫米至約6 0毫米之間,較佳係介於約10毫米 至約5 0毫米之間,更佳介於約2 0毫米至3 0毫米之間,例 如約21.6毫米(0.85英吋)。電漿隔板插件500可具有至少 約1 0個狹縫,較佳具有約1 5個狹縫,更佳具有約2 0或更 多個狹縫。在一範例中,電漿隔板插件500係由金屬所構 成(例如,鋁或不銹鋼)並具有20個寬度大約0.76毫米與 44 長度約2 1 · 6毫米的狹縫。 在實施例中,每個?丨_ (0.005英叶)至约的直徑介於約〇.13毫米 毫乎f · 米(0.1英吋)之間,較佳介於約0.26 毫未(〇.〇1英吋)至約2 ^ ^ 宅木(〇.〇9英吋)之間,更佳介於 "_毫米(0.0 2英时)至約1 9衣 卜 ° U9宅米(0.075英吋)之間。在 範例中,每個孔53 0可包含 1?7客上 上通路526a’其直徑介於約 I·27毫米(0.05英吋)至約2 介於约]〇立 毫米(0.09英吋)之間,較佳 介於为1.52毫米(0·06英叶 pe 此丨, j至、-勺2 · 〇 3毫米(〇 . 0 8英吋)之 間,例如約1.78毫米(0.07英 含下通路526b,其直徑今於的再者’每個孔53〇可包 1 27 ^ 、 1 ;、.々〇. 3 8毫米(〇 . 0 1 5英吋)至約 i.27毫米(0.05英吋)之間,,土人 } 吋)至约 佳"於約0.64毫米(0.025英 寸)至力1.02毫米(0.04英时) 兹备 了)之間,例如約0.81毫米(0.032 央付)。在範例中,每個孔s 奎乎夕孔530包含直徑約1.5毫来至約2 毫米之間的上通路526a以及 間的下通路渴。電裝隔板插^ 至约1毫米之 數個孔5… 可不具有孔或具有複 數個孔530,例如大約4個孔 較住,力8個孔,更佳约16 個孔或更多個孔。在範例中, 所Μ ά、1 冤漿隔板插件500係由金屬 所構成(例如,紹或不錄鋼)並具有s個孔。 鼻頭例中’電浆隔板插件500的上表面-由 由上邊緣514傾斜。在-較佳實施例Η 朝向鼻頭·52。以及由上表面一 有由鼻頭Jr。在一實施例中,電聚隔板插件5〇°具 有由鼻頭部520向下傾斜的上 ^ r, n y- ,以提供較大的機 及在I程中控制不同的傳導性與流速。上表面 45 1331770
5 03可具有一傾斜度,該傾斜度係從線5 3 5至線 所測得之夾角α 2。線5 3 5沿著上表面5 0 3的平面 線537與軸10垂直或實質垂直(第5Β圖)。上表 用以接收沿著相對角度α2的各種開口 5 0 6之製寿 此,為了使來自狹缝510之開口 508的製程氣體 口 506長度上有一致流速,角度α2可為一預設的 實施例中,上表面503可呈現角度α2的傾斜, 介於約0 °與約4 5 °之間,較佳介於約5 °與3 0 °之 介於約1 0 °與2 0 °之間,例如約1 5 °。在另一個實 上表面503可呈現角度α2的傾斜,該角度介於 4 5 °之間,較佳介於約2 °與2 0 °之間,更佳介於約 之間,例如約5 °。 設置在鼻頭部520周圍的狹縫510在貫穿介 503上的開口 506(第5C圖)與下表面504上的開 1C圖)之間的電漿隔板插件500。開口 506與508 α3設置在鼻頭部520周圍,該角度α3係為線531 5 3 3所夾的角度。.線5 3 1沿著開口 5 0 6的長度延 向線5 3 3與軸1 0呈垂直地延伸。線5 3 1亦可沿著 的長度延伸(未顯示)。在實施例中,開口 5 0 6與 置在鼻頭部520周圍,且以角度α3正切或實質正 線5 3 9。因此,沿著開口 5 0 6長度延伸的線5 3 1 虛線539上的一點相交,且以角度cc3正切或實質 虛線539。圓形虛線539的半徑介於約0.5毫米 米之間,較佳介於約1毫米至約3毫米之間,更 5 3 7之間 延伸,而 面5 03係 I氣體。因 在沿著開 角度。在 該角度α2 間,更佳 施例中, 約 0°與約 3°至 10。 於上表面 口 508(第 可以角度 與徑向線 伸,而徑 -開口 508 5 0 8可設 切圓形虛 可與圓形 正切圓形 至約5毫 佳介於約 46 1331770
1 .5毫米至約2 · 5毫米之間,例如,約2毫米(約Ο. 吋)。在其他實施例中,開口 506與508係徑向配置 部520周圍或切線方式圍繞在鼻頭部520周圍。再 口 506與508可具有角度α3,該角度α3介約0°至 之間,較佳係介於約20°至45°之間,更佳介於约30' 之間,例如約35°。 在實施例中,電漿篩插件600與絕緣套700可 氣體歧管組件800與電漿隔板插件500之間,以防 制電漿在其間產生(第1Α·圖與第1Β圖)。電漿篩插 與絕緣套700亦可防止或限制電漿隔板插件5 00上 遞至氣體歧管組件800。電漿篩插件600與絕緣套 自包含電性絕緣材料,例如陶瓷、石英 '玻璃、藍 上述材料的衍生物。 電漿篩插件600包含以環組件63 1分隔開來的 域630與外部區域632(第6Α圖與第6Β圖)。環組 包含壁表面626、内壁表面605a、605b以及上表面 606。内部區域630係界定在内壁表面605a與605b 部區域630包含中央部分601,其由複數個貫穿電 件600的孔612所圍繞。在内部區域630中的製程 接觸上表面602,且該氣體通過孔612而與下表面 及氣體區域640間流體連通。中央部分601通常不 在上表面602與下表面603之間的孔。 外部區域6 3 2由環組件6 3 1處開始延伸且包含 沿著上表面6 0 8徑向延伸的狭縫6 1 4。狹縫6 1 4引 .081 英 於鼻頭 者,開 約90° 3 至 40° 設置在 止或限 件6 00 的熱傳 700各 寶石或 内部區 件63 1 604與 内。内 衆篩插 氣體係 6 03以 具有介 複數個 導第二 47 1331770 製程氣體由外部區域632進入氣體區域540。軸10延伸貫 穿電漿篩插件600的中央,使得複數個孔6 12平行或實質 平行轴 10而延伸,以及複數個狹縫垂直或實質垂直於軸 10°
第1A圖繪示位於喷頭300之環組件330與電漿隔板 插件500之鼻頭部520上的電漿篩插件600。錐表面522 與下表面603的中央部分601接觸。在沉積製程中,藉由 限制狹縫6 1 4的寬度與長度以及限制孔6 1 2的直徑,可避 免在氣體區域640的電漿篩插件600上方產生二次電漿。 例如,狹縫614的寬度可介於約0.1毫米至約1毫米之間, 較佳係介於約0.2毫米至約0.8毫米之間,更佳介於約0.4 毫米至0.6毫米之間,例如約0.5毫米。電漿篩插件6 0 0 可至少具有約1 〇個狹缝,較佳具有約2 0個狹缝,更佳具 有約 3 6個或更多的狹縫。在實施例中,電漿篩插件 6 0 0 具有的狹縫614數量如同水箱400具有的通路440數量。
電漿篩插件6 0 0包含多個孔6 1 2,每個孔的直徑介於 約0.5毫米至約5毫米之間,較佳介於約1毫米至3毫米 之間,更佳介於約 1.2毫米至 1 .8毫米之間,例如約1.5 毫米(0.06英吋)。電漿篩插件600包含複數個孔612,例 如大約5 0個孔或更多,較佳至少約1 0 0個孔,更佳約1 5 0 個或更多個孔。在範例中,電漿篩插件600係由陶瓷所構 成,且具有36個寬度大約0.51毫米(0.02英吋)的狹縫, 以及具有1 5 6個直徑大約1 · 5 2毫米的孔。較佳地,電漿篩 插件600為圓形,但在其他實施例中可具有不同的幾何形 48 狀’例如橢圓形。联统Μ 2.54八八、s 電 牛600的直徑介於約1英吋(約 △刀)至12英时(約3〇5:>八 英时(5.08公八、 ·52公分)之間’較佳介於約2 3英忖(約8英〇+(20.36公分)之間,更佳介於約 筛插件6〇〇的2公分)至約4英对(約1〇.16公分)之間。電蒙 佳約〇.5英 度可約為1英約2.54公分)或更薄,較 〇 6 、-勺^27公分)或更薄,更佳係約0.25英吋(約 電㈣插件60:仃的千面穿通電衆筛插件_的厚度。在 .., 的範例中,内部區域630的厚度大約0.125 夬吋(約0.32公八、々s a ^ ^ 刀)或更薄’以及環組件6 3 1的厚度約0.2 5 央0寸(約〇·64公分)或更薄。 絕緣套7 〇 〇 1亡ν主工 丹有上表面704與下表面703 a、703b、 703c、7〇3d 與 , 、 、3e(第7A圖至第7C圖)。絕緣套70 0包含 至少一個由卜主 τ 表面704延伸至下表面703 a-703e的通道。 在範例中,絕緣套700值包含一通道,且絕緣套7〇〇外側 的一導管可用以引 W導第—製程氣體。在另一個範例中,絕 缘套7〇〇包含多數他、 取個通道’例如三個通道、四個通道或更 夕(未顯不)在較佳範例中,絕緣套7 〇 〇包含至少兩個通 道例如通道710與720。通道72〇由上表面7〇4處延伸 穿過絕緣套700而形成擴展通道722(expanding channel)。 擴展通道722由上部分721的通道72〇處朝下部分723逐 漸變寬(〖3?6^£1)’且包含下表面7〇34第7]3圖)。軸1〇可 貫穿通道720與擴展通道722的中央(第7C圖)。通道71〇 由上表面704延伸穿過絕緣套7〇〇直到凹槽(gr〇〇ve)725。 49 1331770 在實施例尹,通道7丨〇的半徑小於通道72 〇的半徑。凹槽 725包含下表面703c且環繞絕緣套7〇〇的底部(第π圖)。 上表面7 0 4亦包含多個孔7 0 7,該些孔可接收扣件(例如螺 检或螺絲)以固定在其上的氣體歧管組件800。
絕緣套700可位於水箱40〇上,使得不表面703a接觸 水箱並由水箱400支撐。下表面703b、703c、703d與703e 可接觸電漿篩插件600或在表面之間形成區域(第7C圖)。 下表面703d係與電漿筛插件600的上表面602接觸以形成 氣體區域744。氣體區域742與744以及間隙726各自形 成於絕緣套700與電漿筛插件6〇〇之間。 在具有下表面703c的凹槽725以及電漿筛插件600 的—部份外部區域632之間形成之氣體區域742,包含溝 槽622以及壁表面624與626(第7C圖)。氣體區域742圍 繞外區域632延伸且位於外區域632上方以包圍氣體區域 744。通道710通過下表面703c而與氣體區域742間流體 連通。再者,因為狹縫614由壁表面624處延伸至通路 440,而通路更貫穿水箱400而延伸至氣體區域540處,因 此氣體區域5 40與氣體區域742流體連通。狹缝614與絕 緣套700的下表面703b共同形成這些通路。在沉積製程 中,製程氣體向下流經通道710,進入氣體區域742,沿著 溝槽622流動並經由狹缝6 14離開。蓋組件1 〇〇中的間隙 726通常包含0型環。 部分的氣體區域744由絕緣套700的下表面7〇3e與電 漿篩插件600的一部份内部區域630所形成,該部份的内 50 1331770 部區域包含上表面602與中央部分601。通道720通過下 表面703e而與氣體區域744流體連通。通道720垂直的與 中央部分601同軸(沿著軸10),該中央部分不具有孔612。 在較佳範例中,通道720的直徑小於中央部分601的直徑 以幫助製程氣體的轉向。擴展通道722由上部分721延伸 至下部分72 3,且覆蓋氣體區域744内的大部分内部區域 630與上表面602。再者,因為孔612於電漿篩插件600 處貫穿延伸,所以氣體區域640係與氣體區域7 44流體連
在沉積製程中,製程氣體向下流經通道 720,進入氣 體區域7 4 4,並經由孔6 1 2離開。中央部分6 0 1使任何來 自通道720且其流動路徑垂直於上表面602的製程氣體轉 向。因而,此受阻路徑減少或消除在電漿隔板插件5 00與 氣體歧管組件800之間形成的二次電漿。 擴展通道722具有從上部分721往下部分723擴大的 内徑(第7B圖)。在一個用以處理直徑300毫米基材的腔體 實施例中,擴展通道722在上部分72 1處的内徑介於約0.5 公分至約7公分之間,較佳介於約0 · 8公分至約4公分之 間,更佳介於約1公分至約2.5公分之間;而擴展通道7 2 2 在下部分7 2 3處的内徑介於約2公分至約1 5公分之間’較 佳介於約3.5公分至約1 0公分之間,更佳介於約4公分至 與約7公分之間。通常,上述擴展通道的尺寸可提供介於 約1 0 0 s c c m與約1 0 0 0 0 s c c m之間的總氣想流速。 在特定的實施例中,擴展通道722的大小可加以改變 51 1331770 以符合某些氣體流速。一般來說,欲達到較快的氣體流速 則需要較大直徑的擴展通道 7 2 2。在實施例中,擴展通道 72 2可為截頭圓錐狀(包含類似截頭圓錐的形狀)。不論製 程氣體朝向擴展通道722的壁或直接向下朝基材8流動, 氣體流速都會因為製程氣體流經擴展通道722而降低,因 為製程氣體會膨脹。製程氣體流速降低有助於降低氣體流 將基材8表面已吸附的反應物吹離的機會。
擴展通道722的直徑由上部分721往下部分723遞 增。遞增的直徑可使製程氣體流經擴展通道722時些微的 絕熱膨膜(adiabatic expnsion),此有助於控制製程氣體的 溫度。例如,流經氣體導管8 8 2與8 8 4而進入通道8 2 0與 720的氣體突然絕熱膨脹,會導致氣體溫度突降,進而使 氣相前驅物凝結並形成微粒。另一方面,根據本發明某些 實施例的遞增擴展通道7 2 2,可使製程氣體有較少的絕熱 膨脹。因此,更多的熱可傳至或傳出製程氣體,如此一來 藉由控制周圍溫度(即,由水箱400控制溫度)即可較輕易 控制氣體溫度。擴展通道722可包含一或多個一端較窄的 内表面,例如一端較窄的平直表面、凹面、ώ面、上述組 合或可包含一或多段一端較窄的内表面(即,一部份一端較 窄表面與一部份不變窄的表面)。 間隙726亦形成在絕緣套700與電漿筛插件600之 間。因為在凹槽725内的下表面703c有一部份未接觸在電 漿篩插件600上之環組件631的上表面604、606以及内壁 表面605a,所以形成間隙726。當設置絕緣套700於電漿 52 叫 1770 歸插件6 Ο 0上時,〇型環可位於間隙7 2 6内。
氣體歧管組件800包含導管組件840與具有氣體導管 組件830之歧管套組件850(第8Α圖與第8Β圖)。導管組 件840包含氣體導管836與8 3 8,其位於上歧管844與下 歧管842内。藉由貫穿孔843的扣件(例如,螺栓或螺絲) 而將氣體歧管組件800連接至蓋組件1 00上。在實施例中, 導管8 3 6與8 3 8係分別與導管系統3 4流體連通以提供前驅 氣體、清洗氣體、載氣以及其他製程氣體(第1F圖)。在其 他實施例中,導管8 3 6與8 3 8分別與不同製程氣體供給流 體連通,該氣體供給包含前驅氣體供給、清洗氣體供給、 或載氣供給。氣體導管組件830包含位於導管831相對兩 側上的凸緣8 3 2與凸緣8 3 4。凸緣8 3 4係耦接至蓋支持件 103的端口 117上,以提供端口 117與導管831間流體連 通。再者,凸緣832係耦接至歧管檔塊(manifold bl〇ck)806 上的氣體入口 815,以提供導管831與導管884間的流體 連通。隔離體808係設置在歧管檔塊806上,且為接地歧 管提供熱絕緣與電性絕緣。隔離體808由絕緣材料所形 成,例如陶瓷材料、石英材料或上述材料的衍生物。較隹 地’隔離體 808 係由絕緣聚合物、聚四氟乙 (polytetrafluoroethylene,PTFE),例如 TEFLON®,所形成 第8B圖至第8D圖繪示在歧管套組件850内,由氣體 入口 811延伸至通道導管82 3的氣體導管880。通道導管 82 3的内部可支撐通道810。製程氣體可順著流動型態9i4 流經氣體導管 880並進入位於通道導營 823内的 V連道 53
1331770 810。通道導管 821係流體連通地耦接至從 延伸的氣體導管882以及從氣體入口 815延 8 8 4。順著流動型態9 1 6通過氣體導管8 82的 及以流動型態918通過氣體導管884的其他 • 位於通道導管821中的通道820内結合,以 型態922的製程氣體(第8C圖與第8D圖)。 鐸 821與823可由連結於氣體歧管組件800内 8 5 2與8 5 4所支撐。 ® 在其他實施例中,氣體導管880與通道 氣體歧管組件800外部。氣體導管880與通 接流體連通至絕緣套700、電漿篩插件600、 頭3 00。在另外的實施例中,氣體歧管組件 個電控閥門(未顯示)。此處的電控閥門係為 速且準確氣體流速至製程腔體50内的控制β 有開關週期在大約〇 · 〇 1秒至約1 〇秒之間,車 秒至約5秒之間,例如較長的週期可持續大 . 週期可持續大約0.5秒。 在範例中,歧管套組件8 5 0具有視窗組 電漿的輻射(第8Α圖)。視窗組件826包含 edge ring)824包圍著鏡片822,且視窗組件 部814上且在歧管檔塊806内被壁表面816 一個範例中,歧管套組件 8 5 0可包含不. 825(第1D圖)。當氣體導管組件830與歧管 氣體入口 813連接且具流體連通時,其在凸 氣體入口 813 伸的氣體導管 一製程氣體以 製程氣體可在 形成具有流動 氣體通道導管 的氣體支持件 導管8 2 3位於 道導管823直 水箱400或噴 800包含複數 任何可提供快 3門,該閥門具 i佳介於約0.1 約3秒而較短 件8 2 6以觀察 鏡片邊環(lens 8 2 6位於凸出 所圍繞。在另 視窗的表面 檔塊806上的 緣8 3 4處與端 54 1331770
口 117連接且流體連通。 在實施例中,氣體導管882與884係鄰赶 與通道820的上部位(第8C-8D圖 '第9Α圖 在其他實施例中,一或多個氣體導管882與 於通道8 2 0上部位與絕緣套 7 0 0之間的通: 置。不希望受限於理論,由氣體導管8 82與 過通道820的製程氣體可形成環形流動型態 態992a與992b(第10Α圖)。雖然通過通道 動型態922形狀未知,但是可確定的是製程 漩渦形、螺旋形、捲曲形、盤旋形或上述形 動型態922。 可提供這些具有流動型態 9 2 2的製程 720與820結合而成的氣體區域920以及界 722中的氣體區域744内(第9B圖)。在一個 環形氣流在整個氣體區域920之内表面的清 型態9 2 2的環形流動型態有助於在氣體區域 有效的清洗。流動型態9 2 2的環形流動型態 共形的製程氣體輸送於電漿篩插件600的整 在另一個實施例中,以流動型態9 2 2通ii 的製程氣體亦被導入至電漿篩插件600的中 (第9A圖與第9C圖)。因為中央部位601不 製程氣體被向外且朝該上表面602中的孔6 由形成流動型態922,可有效獲得位在氣體 體區域640間供製程氣體經過的受阻路徑。 ί通道導管821 與第10Α圖)。 8 84可沿著介 道8 2 0長度設 884流出而經 ,例如流動型 820的實際流 氣體可以具有 狀所衍生的流 氣體至由通道 定在擴展通道 態樣中,由於 洗動作,流動 920中建立更 亦提供一致且 個表面602上。 k氣體區域920 央部位6 0 1中 :具有孔6 1 2, 12處引導。藉 區域920與氣 受阻路徑較在 55 1331770 製程區域920與氣體區域640之間具有直視性的未受阻路 徑具有更多優點,包含減少或消除在電漿隔板插件5 00與 位於氣體區域920内氣體歧管組件800之間的二次電漿。
因為製程氣體的方向符合孔612的角度,所以流動型 態922形成垂直流動型態(即,與軸10平行)。進入氣體區 域 640的製程氣體係由鼻頭部 520向外行進並進入狹縫 510或孔530。製程氣體以具有與軸10夾α!角之流動型態 922由狹縫510進入製程區域60,以及以具有與軸10夾α5 角之流動型態 912由孔 530進入製程區域 60(第 9B-9D 圖)。位於電漿隔板插件500中的狹縫510具有喷射角度α】 以引導具有喷射角αι的流動型態之製程氣體。製程氣體的 喷射角可介於約20°至約70°之間,較佳介於約30°至60° 之間,更佳介於約4 0 °至5 0 °之間,例如約4 5 °。位於電漿 隔板插件500内的孔530具有喷射角度α5以引導具有喷射 角α5的流動型態之製程氣體。製程氣體的噴射角α5可介 於約0 °至約6 0°之間,較佳介於約1 5度至5 0度之間,更 佳介於約3 0度至4 0度之間,例如約3 5度。因此,製程氣 體的流動型態922可具有因狹缝510的喷射角αι•而造成的 環形路徑。環形路徑通常具有漩渦形、螺旋形或上述形狀 衍生的幾何形狀。再者,製程氣體的流動型態9 1 2可具有 因孔530的喷射角α5所造成之圓錐形路徑。具有流動型態 912的製程氣體可導入基材8的中央。在製程區域60内的 基材可暴露在具有流動型態912與92 2的製程氣體下。 再者,狹縫510的喷射角a!對製程氣體形成在氣體區 56 1331770 域640與製程區域60之間的第二受阻路徑。第二受阻路徑 更有助於減少或避免二次電漿,該二次電漿可能形成於氣 體區域920内的電漿隔板插件500與氣體歧管組件800之 間或在電漿隔板插件500之上表面503的開口 506内。
在另一個實施例中,當製程氣體通過氣體區域 910、 通道710與810的結合區域以及侷限在凹槽725内的氣體 區域742時,製程氣體可能具有流動型態9 14(第9B圖)。 一旦製程氣體進入氣體區域742,因為製程氣體沿著環形 路徑923被導入電漿篩插件600周圍,流動型態9 1 4於是 改變(第9 A圖)。製程氣體係向外通過電漿篩插件6 0 0上的 狹縫614並進入氣體區域441中。製程氣體流動型態914 之受阻路徑係形成於氣體區域910與氣體區域441之間。 受阻路徑較位在製程區域910與氣體區域441之間具有直 視性的未受阻路徑具有更多優點,包含減少或消除在電漿 隔板插件300與位於氣體區域910内的氣體歧管組件800 之間的二次電漿。 因為製程氣體方向性地符合水箱400内的通路440角 度,所以流動型態9 14以向下流動方式*氣體區域441前 進。製程氣體進入氣體區域540,且向外行進通過喷頭300 的上表面303。具有平行或實質平行於轴10之流動型態914 的製程氣體係由孔310進入製程區域6 0(第9B圖)。在製 程區域60内的基材可暴露至具有流動型態914的製程氣體 下。製程氣體的第二受阻路徑係由氣體區域441通過氣體 區域540而到達製程區域60。第二受阻路徑更有助於減少 57 1331770 或避免在喷頭300與氣體區域910内之氣體歧管組件800 間所形成的二次電漿。
可藉由導入單一製程氣體或多種製程氣體至氣體區域 8 20中而形成具有環形流動型態922的製程氣體(第10A圖 與第10C圖)。在實施例中,第10A圖顯示通道導管821 的通道820内之俯視剖面圖,該通道導管係用以接收來自 氣體導管882的製程氣體以及來自氣體導管884的製程氣 體。每個氣體導管882與氣體導管884係耦接至不同的製 程氣體源。氣體導管882與884可分別具有角度α4,該角 度為氣體導管884的中心線915a或氣體導管882的中心線 915b與從通道導管 821中央伸出之徑向線917(例如,軸 10)所夾的角度。設置氣體導管882與884,使其具有角度 α4(即,當α4 > 0°)以使製程氣體具有環形方向,例如流動 型態9 2 2 a與9 2 2 b。流動型態9 2 2 a與9 2 2 b形成以漩渦模 式通過通道8 2 0之製程氣體的流動型態9 2 2。在一個態樣 中,由於環形氣流在内表面的清洗能力,流動型態9 2 2的 環形流動型態有助於更有效清洗製程區域6 0。再者,流動 型態922的環形流動型態能一致且共形地輸送製程氣體至 狹縫510處。 在另一個實施例中,第10B圖係通道820與通道導管 1021的俯視剖面圖,該通道導管用以接收流經連接至製程 氣體源之氣體導管1 084的單一氣體流。氣體導管1 084係 配置成在氣體導管1084的中心線915a與通道導管1021 中央的徑向線917(例如,轴10)之間具有一央_角cu。氣體 58 1331770 導管1 084可具有角度α4(即,當α4 > 0°)以使製程氣體以 環形方向流動,例如流動型態922a,以及使製程氣體以漩 渦模式連續流經通道8 2 0。
在另一替代實施例中,第10C圖為通道導管1021的 通道820之俯視剖面圖,該通道導管係用以接收三種氣體 流,使該些氣體一起、部分一起(即,三種氣體中的兩種) 或分別通過三個氣體入口,例如各自耦接至不同製程氣體 源上的氣體導管1082、1084與1086。每個氣體導管1 082、 1084與1086可個別在氣體導管1082、1084與1086之中 心線915a、915b、915c以及自通道導管1021中央伸出之 徑向線917之間夾有α4的角度。每個氣體導管1082、1084、 1 086具有角度α4以使製程氣體以環形方向流動,例如流 動型態9 2 2 a,以及使製程氣體以漩渦模式連續流經通道 8 2 0。有關利用三種或更多製程氣體流的製程腔體係闡述於 共同受讓的美國專利6916398中,在此係以參考方式納入 該案的内容。 在一形成高k材料的範例中,三種氣體流可包含铪前 驅物、矽前驅物與氧化氣體,其中第一流體包含四(二乙基 胺)铪(TDEAH)、四(二甲基胺)铪(TDMAH)或氣化姶 (HfCl4),第二流體包含三(二甲基胺)曱烷(TDMAS)、三-二甲基胺曱烷(Tris-DMAS)或矽甲烷,以及第三流體包含具 有來自水蒸氣產生系統(WVG)之水蒸氣的氧化氣體。使用 製程腔體5〇並形成高介電材料的製程係闡述於共同受讓 且在審理申的美國專利申請號11/127767中,其於2005年 59 1331770 5月12日申請,名稱為「含铪高k材料之原子層沉積的設 備與方法」(Apparatus and Methods for Atomic Layer Deposition of Hafnium-Containing High-k Materials),公 開號為 2005-0271813,在此係以參考方式納入該案的内 容。
在另一個實施例中,導管系統34更包含多個前驅物儲 存槽以及在末端形成喷嘴的逐步擴大的氣體導管,該等導 管與氣體入口 811、813與815間流體連通。在一些實施例 中可使用的噴嘴或末端係進一步闡述於共同受讓之美國專 利申請號11/119388中,其於2005年4月29曰申請,名 稱為「控制氣體流與輸送以抑制微粒形成於MOCVD/ALD 系統」(Control of Gas Flow and Delivery to Suppress the Formation of Particles in an MOCVD/ALD System),公開 號為2005-0252449’在此係以參考方式納入該案内容以支 持前驅物儲存器與逐步擴大氣體導管的揭露。氣體導管的 幾何形狀可使通過氣體經過一漸漸擴增的流動通道 (increasing tapered flow channel)而逐漸膨脹,此避免溫度 的急遽下降。在實施例中,流動通道的轉變意指在3 0毫米 至約1 0 0毫米的距離内,從截面内徑約3毫米至約1 5毫米 的輸送氣體線路到具有約1 0毫米至約2 0毫米的較大直徑 氣體入口 811、813與815。直徑漸增的流動通道可使膨脹 氣體接近平衡態且可避免熱的快速流失,以維持實質不變 的溫度。擴展氣體導管可包含一或多個一端較窄(tapered) 的内表面,例如一端較窄的平直表面、凹面、凸面、上述 60 丄 W1770 。或可包含一或多段一端較窄的内表面,即一部份窄 面與一部份不漸窄的表面。 赶A子層沉箝匍鋥 本發明實施例提供利用氣相沉積製程,例如原子層 積(ALD)或電漿增強式原子層沉積(pEALD),以沉積各 材料(例如’釕材料)於基材上的方法。在一個態樣中, 程僅有些許或沒有初始延遲(initiati〇I1 delay),且在形成 材料的過程中維持高沉積速率。所沉積的釕材料具有良 的階梯覆蓋率、強附著力且包含低的碳濃度以具有高導 性。 在實施例中’釕材料可在pe_ald製程中形成,該 程包含固定的反應氣體流並同時提供釕前驅物與電漿的 續脈衝。在另一個實施例中,釕材料可在另一種提供釕 驅物與反應物電漿之連續脈衝的ΡΕ-ALD製程中形成。 這兩種實施例中’反應物在製程中通常會離子化。再者 ΡΕ-ALD製程中的電漿可在製程腔體外部產生,例如藉 一遠端電聚產生器(PRS)系統,或較佳地,電漿可在能夠 生電聚的ALD製程腔體中原位產生。在pe_alD製程中 電聚可由微波(MW)頻率產生器或射頻(RF)產生器所 成。在一較佳範例中’原位電漿可由RF產生器所生成 例如在製程腔體50内或在具有蓋組件1〇〇的製程腔體 中。在另一個實施例中,釕材料可在另一種提供釕前驅 與試劑之連續脈衝的熱原子層沉積製程中形成。 表 沉 種 製 釕 好 電 製 連 前 在 > 由 產 生 9 50 物 61 1331770
用於本文所述實施例中的A LD製程腔體可為上述的 製程腔體50或其他可容納蓋組件1〇〇、蓋組件1〇〇的任何 部分或零件的腔體主體或上述腔體的變化型。其他ALD製 程腔體亦可用於某些本文所述的實施例中,且這些腔體都 可從位於加州聖塔克拉拉的應用材料公司所購得(Applied Material, Inc.)。有關ALD製程腔體的詳細敘述係揭露於 共同受讓的美國專利6916398與6878206中,以及共同受 讓且審理中的美國專利申請案10/2 81079 t,該案於2 002 年10月25日申請,名稱為「原子層沉積的氣體輸送設備」 (Gas Deli very Apparatus for Atomic Layer Deposition),公 開號為2003-0121608,在此係以參考方式納入該些案件的 内容。在另一個實施例中,能用以執行ALD以及習知CVD 模式的腔體亦可用以沉積釕材料,該腔體係闡述於共同受 讓且審理中的美國專利申請案10/712690中,該案於2003 年11月13日申請’名稱為「用於混合化學製程的設備與 方法 j (Apparatus and Method for Hybrid Chemical
Processing)’公開號為2004-0144311,在此係以參考方式 納入該案的内容。 ALD製裎中的製程腔體可調整至具有介於約〇.1托 (Torr)至約80托的壓力,較佳介於約〇.5托至約1〇托之 間,更佳介於約1托至5托之間。再者,腔體或基材可加 熱至低於約500°C的溫度’較佳介於約i〇〇〇c至約450°C 之間,更佳介於約1 5 0。C至約4 0 0 ° C之間,例如3 0 0。C。 在ΡΕ-ALD製程中’若為原位電漿製程則電漿在製程腔體 62 1331770
内點燃,或者也可由外部來源所產生,例如使用遠端電漿 產生器系統(PRS)來產生電漿。電漿可由微波產生器所生 成,較佳可由射頻(RF)產生器所生成。例如,電漿可在製 程腔體50内或具有蓋組件100的製程腔體50中點燃。射 頻產生器可設定在介於約100千赫至約1.6百萬赫之間的 頻率。在範例中,具有13.56百萬赫頻率的RF產生器可加 以設定以具有介於約1 00瓦至約1 〇〇〇瓦之間的功率輸出, 較佳介於約250瓦至600瓦之間,更佳介於約300瓦與至 約5 00瓦之間。在範例中,具有400千赫頻率的RF產生 器可加以設定以具有介於約2 0 0瓦至約2 0 0 0瓦之間的功率 輸出,較佳介於約500瓦至1 500瓦之間。基材表面可暴露 在具有每表面積功率介於約 0.01瓦/平方公分(watts/cm2) 至約1 0瓦/平方公分之間的電漿下,較佳介於約〇 · 05瓦/ 平方公分至約6瓦/平方公分之間。
該基材可為基材上的一或多層介電材料層中定義有内 連線圖案的矽基材。範例中,基材包含阻障層形成其上; 而另一個範例則為基材包含介電表面。諸如溫度與壓力等 製程腔體條件可加以調整以加強製程氣體在基材上的吸 附,以幫助吡咯釕前驅物與反應氣體的反應。
在實施例中,基材可在整個ALD循環中暴露在反應氣 體下。將基材暴露在藉由使載氣(例如氮氣或氬氣)通過一 安瓿的釕前驅物而形成的釕前驅氣體下。視製程所使用的 釕前驅物而決定是否加熱安敬。在範例中,包含曱基環戊 二烯吡咯釕((MeCp)(Py)Ru)的安瓿可被加熱至介於約60°C 63 1331770
與約100°C之間的溫度,例如約80°c »釕前驅物氣體 具有介於約lOOsccm至約2000sccm之間的流速,較 於約2 0 0 s c c m至約1 0 0 0 s c c m之間,更佳介於約3 0 0 至約700 seem之間,例如約500 seem。釕前驅物氣體 應氣體可結合以形成沉積氣體。反應氣體通常具有介 1 0 0 s c c m至約 3 0 0 0 s c c m之間的流速,較佳介於約 s c c m至約2 0 0 0 s c c m之間,更佳介於約5 0 0 s c c m至約 seem之間。在範例中,氨氣係當作反應氣體使用並具 1 5 00 seem的流速。基材可暴露至釕前驅物氣體或包 前驅物與反應氣體的沉積氣體中一段介於約0.1秒至 秒之間的時間,較佳介於約1秒至約5秒之間,更佳 約2秒至約4秒之間。一旦釕前驅物被吸附至基材上 可停止輸送釕前驅物。釕前驅物可為一非連續層、一 層或多層" 在停止注入釕前驅物氣體後,基材與腔體可進行 步驟。在清洗步驟中,反應氣體的流速可加以維持如 步驟般或加以調整。較佳地,反應氣體流可維持與先 驟相同。或者’清洗氣體可以介於約100 seem至約 seem之間的流速注入製程腔體中,較佳介於約200 至約1000 seem之間,更佳介於約300 seem至約700 之間,例如約5 0 0 s c c m。清洗步雜移除任何多餘的釕 物與其他在製程腔體内的污染物。清洗步驟可進行一 間’時間係介於約〇. 1秒至8秒之間’較佳介於約1 5秒之間’更佳地介於約2秒至4秒之間。載氣、清 通常 佳介 seem 與反 於約 200 1500 有約 含釕 約 8 介於 時, 連續 清洗 先前 前步 2000 seem seem 前驅 段時 秒至 洗氣 64 1331770 體與製程氣體可包含氮氣、氫氣、氨氣、氬氣、氖氣、氦 氣或上述氣體的組合等。在一個較佳實施例中,載氣包含 氮氣。
之後,在點燃電漿之前,可調整或維持反應氣體流。 基材可暴露在電漿下一段時間,時間係介於約〇. 1秒至2 0 秒之間,較佳介於約1秒至10秒之間,更佳介於約2秒至 8秒之間。隨後,關閉電漿功率。在範例中,反應物可為 氨氣、氮氣、氫氣或上述氣體的組合物,以形成氨氣電漿、 氮氣電漿、氫氣電漿或組合電漿。反應電漿與被吸附至基 材上的釕前驅物反應以形成釕材料於基材上。在範例中, 反應電漿係當作還原劑以形成金屬釕。當然,可使用各種 反應物以形成具有多種組成的釕材料。在範例中,含硼反 應物化合物(例如,乙硼烷)係用以形成含硼化物的釕材 料。在另一個範例中,含矽反應物化合物(例如,矽曱烷) 係用以形成含石夕化物的釕材料。
製程腔體可進行第二清洗步驟以移除過多的前驅物或 先前步驟的污染物。於清洗步驟中,反應氣體的流速可維 持如先前步驟般或加以調整。一種選用性的清洗氣體可以 介於約100 seem至約2000 seem之間的流速注入製程腔體 中,較佳介於約200 seem至約1000 seem之間,更佳介於 約300 seem至約700 seem之間,例如約500 seem。第二 清洗步驟可進行一段時間,時間係介於約〇 · 1秒至8秒之 間,較佳介於約1秒至5秒之間,更佳介於約2秒至4秒 之間。 65 1331770 可重複原子層沉積循環直到一定厚度的釕材料沉積在 基材上。可沉積釕材料至具有小於約1 000埃的厚度,較佳 小於約500埃,更佳介於約1 0埃與約1 00埃之間,例如約 30埃。在此闡述的製程可以至少0.15埃/循環的速率沉積 釕材料,較佳至少為0.25埃/循環,更佳至少為0.35埃/ 循環或更快。在另一個範例中,本文中所述的製程克服了 先前技術有關成核延遲的缺點。本發明在多數沉積釕材料 的實施例中,並無偵測到成核延遲的現象。
在另一個實施例中,釕材料可在另一種將基材連續暴 露至釕前驅物與諸如反應電漿等活性反應物中的 PE-ALD 製程裡形成。基材可暴露至藉由使載氣通過含釕前驅物的 安瓿而形成的釕前驅物氣體中,如同本文中所述。釕前驅 物氣體通常具有介於約1〇〇 seem至約2000 seem之間的流 速,較佳介於約200 seem至約1000 seem之間,更佳介於 約3 0 0 s c c m至約7 0 0 s c c m之間,例如約5 0 0 s c c m。基材 可暴露在含釕前驅物與反應氣體的沉積氣體中一段大約介 於約0.1秒至約8秒之間的時間,較佳介於約1秒至約5 秒之間,更佳介於約2秒至約4秒之間。一旦釕前驅物被 吸附至基材上時,可停止輸送釕前驅物。釕前驅物可為一 非連續層、一連續層或多層。 接著,對基材與腔體進行清洗步驟。清洗氣體可在清 洗步驟時施加至製程腔體中。在一個態樣中,清洗氣體係 為反應性氣體,例如氨氣、氮氣或氫氣。在另一個態樣中, 清洗氣體可為與反應氣體不同的氣體。例如,反應氣體可 66 1331770
為氨氣而清洗氣體可為氛氣、氫氣、或氬氣。清洗氣體通 常具有介於約lOOsccm至約2000sccm之間的流速,較佳 介於約2 0 0 s c c m至約1 0 0 0 s c c m之間,更佳介於約3 〇 〇 seem至約700 seem之間,例如約500 seem。清洗步驟移 除任何多餘的釕前驅物與在製程腔體内的其他污染物。清 洗步驟可進行一段時間,時間係介於約0 · 1秒至8秒之間, 較佳介於約1秒至5秒之間,更佳介於約2秒至4秒之間。 載氣、清洗氣體與製程氣體可包含氮氣、氫氣、氨氣、氬 氣、氖氣、氦氣或上述氣體的組合等。 在A LD製程的後續步驟中,基材與已被吸附於基材上 的釕前驅物可暴露在反應氣體中。或者,載氣可與反應氣 體同時注入製程腔體中。反應氣體可被點燃以形成電襞。 反應氣趙通常具有介於約100 seem至約3000 seem之間的 流速,較佳介於約200 seem至約2000 seem之間,更佳介 於約500 seem至約1500 seem之間。在範例中,氨氣係當 作反應氣髖使用並具有約1500 seem的流速。基材可暴露 在電漿下一段時間,時間係介於約0 · 1秒至2 0秒之間,較 佳介於約1秒至10秒之間,更佳介於約2秒至8秒之間。 隨後,關閉電漿功率。在範例中,反應物可為氨氣、氮氣、 氫氣或上述氣體的組合,而電漿可為氨氣電漿、氮氣電漿、 氫氣電漿或上述電漿的組合。反應電漿與被吸附至基材上 的釕前驅物反應以形成釕材料於基材上。較佳地,反應物 電漿係當作還原劑以形成金屬釕》當然,可如文中所述般, 使用各種反應物以形成具有各種組成的釕材料》 67
1331770 製程腔體可進行第二清洗步驟以移除過多的前驅 前述步驟的污染物。反應氣體流可在前一個步驟結束 止,若反應氣體當作清洗氣體時,則可在清洗步驟時馬 或者,與反應氣體不同的清洗氣體可注入至製程腔體 反應氣體或清洗氣體通常具有介於約100 seem至約 s c c m之間的流速,較佳介於約2 0 0 s c c m至約1 0 0 0 之間,更佳介於約3 0 0 s c c m至約7 0 0 s c c m之間,例 5 0 0 s c c m。第二清洗步驟可進行一段時間,時間係介 0.1秒至8秒之間,較佳介於約1秒至5秒之間,更 於約2秒至4秒之間。 可重複原子層沉積循環直到一定厚度的釕材料沉 基材上。可沉積釕材料至具有小於約1 〇〇〇埃的厚度, 小於約5 0 0埃,更佳介於約1 0埃與約1 0 0埃之間,例 30埃。在此闡述的製程可以至少0.15埃/循環的速率 釕材料,較佳至少為0.25埃/循環,更佳至少為0.3: 循環或更快。在另一個範例中,本文中所述的製程克 先前技術有關成核延遲的缺點。本發明在許多沉積釕 過程中,並無偵測到成核延遲的現象。 一般而言,除非表面以經基(例如’-OH)終止或是 個富含電子的表面(例如,金屬層),否則為了在ALD 中使用環戊二稀釕(ruthenocene)化合物,需要進行表 理步驟。在諸如氮化钽等阻障層上,需經過預處理 (pre-treatment)才可透過ALD製程使環戊二稀釕前驅 積成釕材料。即使有預處理步驟,例如將阻障層表面 物或 時停 丨始。 中。 2000 seem 如約 於約 佳介 積在 較佳 如約 沉積 ;埃/ 服了 材料 為一 製程 面處 步驟 物沉 氫氧 68 1331770 化(羥化),隨機散置的成核位置使環戊二烯釘在沉積製程 中形成的釘呈衛星散佈或島狀。因此,使用j衷戊二烯釕前 驅物的ALD製程而常常沉積出具有較高電阻的釕材料,可 铱绅甚因為不平坦的釕材料所致。再者,W 能就殳四 丹有 /儿積製程因為環 戊二烯釘前驅物而有成核延遲的現象。再者,環戊二烯釕 前驅物通常需要400°C以上的高吸附溫度。如此高溫可能 在易受影響的低k介電環境中,例如在鋼的後段製程 (BEOL)中,損害裝置。因此,比較好的情況係在溫度小於 400。(:時進行ALD製程,較佳溫度則小於35〇°C。再者, 在ALD製程中使用環戊一缔釕前驅物來沉積釕材料於介 電表面上,會因為該釕材料與下方膜層之間的黏附力低, 所以不容易通過膠帶測試。因此’在許多實施例中,環戊 二烯釕化合物,例如雙(乙基環戊二烯)釕 (bis(ethylcyclopentadienyl) ruthenium)、雙(環戊二稀)釕 (bis(cyclopentadienyl) ruthenium)與雙(戊甲基環戊二烯) 釕(bis(pentamethylcyclopentadienyl) ruthenium)是較不樂 意使用的釕前驅物。 本發明實施例包含克服先前技術缺點的方法論以及提 供其他優於先前技術的較佳前驅物與化合物。在本文中闡 述之沉積製程中對於形成釕材料有幫助的釕前驅物家族包 含吡咯釕前驅物。用於沉積釕材料的ALD製程係闞述於共 同受讓且審理中的美國專利申請案11/470466中,該案於 2 006年9月6曰申請,名稱為「釕材料的原子層沉積製程」 (Atomic Layer Deposition Process for Ruthenium 69 1331770
Materials) ’在此係以參考方式納入該案的内容。吡咯配體 提供在ALD製程中優於習知釕前獎物(例如,環戊二歸 與其衍生物)的°比哈釕前驅物。吡咯配體比許多配體更具 力學穩定特性,以及其可形成非常容易揮發的化學前獎 物。吡咯釕前驅物包含釕與至少一種吡咯配體或至少一種 吡咯衍生物配體。吡咯釕前驅物可具有吡咯配體,例如.
r2 其中R!、R2、R3、R4與R5分別為氫、烷基(例如,甲 基、乙基、丙基、丁基、戊基或更高碳數的烷基)、胺基、 烷氧基、醇基、芳香基(aryl group)、其他。比咯基(例如2 2·- 雙°比咯基)、°比吐基(pyrazole group)、上述基團衍生物或結 合物等。吡咯配體可具有兩個或更多以化學基連接在一起 的R,、R2、R3、R4與R5 »例如’ R2與R3可為一個環結構 的一部份,例如吲哚基(indolyl group)或其衍生物。在此所 使用的吡咯釕前驅物係指任何含釕以及至少_種〇比洛配體 或至少一種吡哈配體衍生物的化合物。在較佳實施例中, 吡咯釕前驅物可包含雙(四曱基。比洛)釕 (bis(tetramethylpyrrolyl) ruthenium) ' 雙(2,5-二曱基口比洛) 釕(1)18(2,5-(11111611171卩>^1'〇1乂1)1:11111611111111)、雙(2,5-二乙基0比 哈)釕(bis(2,5-diethylpyrrolyl) ruthenium)、雙(四乙基0比哈) 70 1331770 釕(bis(tetraethylpyrrolyl) ruthenium)、戊二烤四甲基0比哈 釘(pentadienyl tetramethylpyrrolyl ruthenium)、戊二稀 2,5-二曱基0比洛釕(pentadienyl 2,5-dimethylpyrrolyl ruthenium) ' 戊二烤四 乙基。比哈釕(pentadienyl tetraethylpyrrolyl ruthenium)、戍二稀 2,5-二乙基。比洛釕 (pentadienyl 2,5-diethylpyrrolyl ruthenium)、1,3-二甲基戊 二燦 °比嘻釕 (1,3-dimethylpentadienyl pyrrolyl ruthenium) 、 1,3- 二 乙 燦 戊 二 稀。比 洛 釕
(l,3-diethylpentadienyl pyrrolyl ruthenium)、曱基環戊二 稀0比哈釕(methylcyclopentadienyl pyrrolyl ruthenium)、乙 基環戊二稀。比洛釕(ethylcyclopentadienyl pyrrolyl ruthenium)、2-曱基0比洛 '•比哈釕(2-methylpyrrolyl pyrrolyl ruthenium)、2-乙基吡咯吡咯釕(2-ethylpyrrolyl pyrrolyl ruthenium)或上述化合物的衍生物。 前驅物的重要特性為具有良好的蒸汽壓。沉積前驅物 可在大氣溫度與壓力下為氣態、液態或固態。然而,在ALD 腔體中,前驅物通常揮發成氣體或電漿。前驅物通常在輸 送至製程腔體前會先加熱。雖然許多變數會在A LD製程中 影響形成釕材料的沉積速率,但是為了達到預定的沉積速 率,在吡咯釕前驅物上的配體大小仍是重要因素之一。配 體大小會影響對用來汽化吡洛釕前驅物所需的特定溫度與 壓力的決定。再者’吡咯釕前驅物具有與配體大小成正比 的特殊配體立想阻礙(steric hindrance)。一般來說,較大 配體會有較大的立體阻礙。因此,當基材暴露前驅物中, 71 1331770 在達半反應的過轻拉 、程時’具有較大配體之前驅物吸附在基材 表面上的分早叙县么 数置會比具有較小配體之前驅物吸附在基材 表面上的刀子數量要來得少。立體阻礙效應限制表面吸附 刖驅物的s。因此,藉由減少配體的立體阻礙,可形成具 有更密集分子的單居
早禮比洛釕前驅物。因為吸附在表面上的 前驅物較多時可達到較高的沉積速率,因此整體沉積速率 曰與表面上吸附的前驅物量成比例關係。具有較小官能基 的配體(例如’氮或甲基)通常比具有較大官能基的配體(例 如’方香基)會有較小的立體阻礙。再者,配體的位置可影 響前驅物的立體阻礙β $常,較内部的位置D比起 外部的位置(R3與R4)會造成較小影響。例如,R2與R5為 氮基而R3與R4為曱基的"比咯釕前驅物,比起R2與Rs為 甲基而R3與I為氫基的吡咯釕前驅物具有更大的立體阻 礙。
。比洛配體可縮寫為「P y」而吡咯衍生物配體可縮寫為 「R-py」。可在本文所述沉積製程中用來形成釕材料的吡咯 前驅物包含烧基吡咯釕前驅物(例如,(Rx_py)Ru)、雙吡咯 釕前驅物(例如,(py)2Ru)以及二烯吡咯釕前驅物(例如, (Cp)(py)R)。烷基吡咯釕前驅物的範例包含曱基吡咯釕 (methylpyrrolyl ruthenium)、乙基0比略釕(ethylpyrrolyl ruthenium)、丙基0比哈釕(propylpyrrolyl ruthenium)、二甲 基 °比洛釘(dimethylpyrrolyl ruthenium)、二乙基 °比嘻釕 (diethylpyrrolyl ruthenium)、 二 丙 基 〇 比 洛 釕 (dipropylpyrrolyl ruthenium)、 三 曱 基 〇 比 洛 釕 72 1331770 (trimethylpyrrolyl ruthenium) 、 三乙 基 0比 咯 釕 (triethylpyrroly 1 ruthenium) 、 四 甲 基 0比 咯 釕 (tetramethylpyrrolyl ruthenium)、 四乙 基 0比 咯 釕 (tetraethylpyrrolyl ruthenium)或上述化合物 的衍生 物。 雙
°比洛釕前驅物的範例包含雙°比嘻釕(bis(pyrrolyl) ruthenium) ' 雙(甲基0比洛)釕(bis(methylpyrrolyl) ruthenium)、雙(乙基。比嘻)釕(bis(ethylpyrrolyl) ruthenium)、雙(丙基·»比哈)釕(bis(propylpyrrolyl) ruthenium)、雙(二甲基0比洛)釕(bis(dimethylpyrrolyl) ruthenium)、雙(二乙基0比嘻)釕(bis(diethylpyrrolyl) ruthenium)、雙(二丙基0比哈)釕(bis(dipropylpyrrolyl) ruthenium)、雙(三曱基0比1ϊ§·)釕(bis(trimethylpyrrolyl) ruthenium)、雙(三乙基"比洛)釕(bis(triethylpyrrolyl) ruthenium)、雙(四曱基0比洛)釕(bis(tetramethylpyrrolyl) ruthenium)、雙(四乙基0比哈)旬1 (bis(tetraethylpyrrolyl) ruthenium)、曱基0比1ig·基0比嘻釕(methylpyrrolyl pyrrolyl ruthenium)、乙基0比洛基 比洛釕(ethylpyrrolyl pyrrolyl ruthenium)、丙基》比各基0比洛釕(propylpyrrolyl pyrrolyl ruthenium)、二曱基0比洛基0比哈釕(dimethylpyrrolyl pyrrolyl ruthenium)、二乙基0比洛基0比嘻釕(diethylpyrrolyl pyrrolyl ruthenium)、 二 丙 基0比洛基 °比哈釕 (dipropylpyrrolyl pyrrolyl ruthenium)、三曱基0比略基0比洛 釕(trimethylpyrrolyl pyrrolyl ruthenium)、三乙基0比洛基0比 哈釕(triethylpyrrolyl pyrrolyl ruthenium)、四甲基。比洛基 73 1331770 0 比嗜·釕(tetramethylpyrrolyl pyrrolyl ruthenium)、四乙基口比 嘻基 D比略釕(tetraethylpyrrolyl pyrrolyl ruthenium)或上述 化合物的衍生物。
二稀°比哈釕(dienyl pyrrolyl rutheniu)前驅物包含至 少一個二烯配體與至少一個吡咯配體。二烯配趑可包含具 有少至四個碳原子或多至十個碳原子的碳主鍊,較佳大約 為五個或六個《二烯配體可具有環狀結構(例如,環戊二烯 基)或可為開鏈結構(例如,戊二烯基)。再者,二烯配體 可不具烷基或具有一烷基或更多烷基。
在實施例中,二烯°比咯釕前驅物包含戊二烯配體或烷 基戊二烯配體。戊二烯吡咯釕前驅物的範例包含戊二烯"比 洛釕前驅物(pentadienyl pyrrolyl ruthenium)、戊二稀甲基 0比嘻釕(pentadienyl methylpyrrolyl ruthenium)、戊二稀乙 基。比0各釕(pentadienyl ethylpyrrolyl ruthenium)、戊二稀丙 基0比哈釕(pentadienyl propylpyrrolyl ruthenium)、戊二稀 二甲基0比洛釕(pentadienyl dimethylpyrrolyl ruthenium)、 戊二稀二乙基 》比嘻釕(pentadienyl diethylpyrrolyl ruthenium)、戊二烤二丙基 °比略釕(pentadienyl dipropylpyrrolyl ruthenium)、戊二稀三甲基0比嘻釕 (pentadienyl trimethylpyrrolyl ruthenium)、戍二稀三乙基 0比洛釕(pentadienyl triethylpyrrolyl ruthenium)、戊二烤四 曱基0比洛釕(pentadienyl tetramethylpyrrolyl ruthenium)、 戊二稀四乙基0tt 洛釕(pentadienyl tetraethylpyrrolyl ruthenium)或上述化合物的衍生物。烧基戊二稀°比洛前驅 74 1331770
物包含烧基戊二稀°比略釕(alkylpentadienyl pyrrolyl ruthenium)、烧基戊二烤甲基0比洛釕(alkylpentadienyl methylpyrrolyl ruthenium)、烧基戍二烯乙基0比洛釕 (alkylpentadienyl ethylpyrrolyl ruthenium)、烧基戊二稀丙 基。比洛釕(alkylpentadienyl propylpyrrolyl ruthenium)、烧 基戊二稀二曱基 °比洛釕(alkylpentadienyl dimethylpyrrolyl ruthenium) ' 烧基戊二烤二乙基。比洛釕(alkylpentadienyl diethylpyrrolyl ruthenium)、烧基戊二稀二丙基0比洛釕 (alkylpentadienyl dipropylpyrrolyl ruthenium)、烧基戊二 稀三甲基0比洛釕(alkylpentadienyl trimethylpyrrolyl ruthenium)、烧基戊二稀三乙基°比洛釕(alkylpentadienyl triethylpyrrolyl ruthenium)、烧基戊二稀四甲基0比略釕 (alkylpentadienyl tetramethylpyrrolyl ruthenium)、炫基戊 二稀四乙基0比洛釕(alkylpentadienyl tetraethylpyrrolyl ruthenium)或上述化合物的衍生物。 在另一個實施例中,二烯吡咯釕前驅物包含環戊二烯 基配體(cyclopentadienyl ligand)或院基環戍二稀基配體 (alkylcyclopentadienyl ligand)。環戊二稀。比哈釘前驅物的 範例包含環戊二稀°比略釕(cyclopentadienyl pyrrolyl ruthenium)、環戊二稀曱基。比嘻釕(cyclopentadienyl methylpyrrolyl ruthenium)、環戊二稀乙基》比洛訂 (cyclopentadienyl ethylpyrrolyl ruthenium )、環戊二烯丙基 0比洛釕(cyclopentadienyl propylpyrrolyl ruthenium)、環戊 二稀二曱基。比哈釕(cyclopentadienyl dimethylpyrrolyl 75 1331770
ruthenium)、環戊二烤二乙基。比洛釘(cyclopentadienyl diethylpyrrolyl ruthenium)、環戊二稀二丙基0比洛釕 (cyclopentadienyl dipropylpyrrolyl ruthenium)、環戊二稀 三曱 基 0 比哈釕(cyclopentadienyl trimethylpyrrolyl ruthenium)、環戊二烤三乙基0比洛釕(cyclopentadienyl triethylpyrrolyl ruthenium) ' 環戊二稀四曱基。比洛釕 (cyclopentadienyl tetramethylpyrrolyl ruthenium)、環戊二 稀四乙基0比洛釕(cyclopentadienyl tetraethylpyrrolyl ruthenium)或上述化合物的衍生物。烧基環戊二稀°比嘻釕 前驅物的範例包含烷基環戊二烯吡咯釕 (alkylcyclopentadienyl pyrrolyl ruthenium)、坑基環戊二稀 甲 基 0 比 洛釕(alkylcyclopentadienyl methylpyrrolyl ruthenium) ' 院 基環戊 二 稀 乙 基 °比 洛釕 (alkylcyclopentadienyl ethylpyrro 1 y 1 ruthenium) ' :¾ 基環 戊二稀丙基。比洛釕(alkylcyclopentadienyl propylpyrrolyl ruthenium) 炫基環戊二稀二曱 基0比哈釕
(alkylcyclopentadienyl dimethylpyrrolyl ruthenium)、坑基 環戊二稀二乙基基°比洛釕(alkylcyclopentadienyl diethylpyrrolyl ruthenium)、烧基環戊二稀二丙基β比洛釕 (alkylcyclopentadienyl dipropylpyrrolyl ruthenium)、烧基 環戊二稀三曱基0比洛釕 (alkylcyclopentadienyl trimethylpyrrolyl ruthenium)、烧基環戊二稀三乙基°比洛釕 (alkylcyclopentadienyl triethylpyrroly 1 ruthenium)、坑基 環戍二稀四 曱基0比嘻釕 (alkylcyclopentadienyl 76 1331770 tetramethylpyrrolyl ruthenium)、烧基環戊二稀四乙基 〇tb 哈 釕(alkylcyclopentadienyl tetraethylpyrrolyl ruthenium)或 上述化合物的衍生物。
在另一個實施例中,釕前驅物未包含吡咯配體或吡咯 衍生物配體,而是包含至少一開鍵二烤配體,例如 CH2CRCHCRCH2,其中R可獨立為烧基或氫。訂前驅物可 具有兩個開鏈二烯配體,例如戊二烯基或庚二烯基 (heptadienyl)。雙(戊二稀)釕化合物具有化學通式 (CH2CRCHCRCH2)2Ru,其中R可獨立為炫基或氫。通常, R可獨立為氫、曱基、乙基、丙基或丁基。因此,釕前驅 物可包含雙(二烷基戊二烯)釕化合物 (bis(dialkylpentadienyl) ruthenium compounds)、雙(貌基 戊二稀)釕化合物(bis(alkylpentadienyl) ruthenium compounds) ' 雙(戊二烤)釕化合物(bis(pentadienyl) ruthenium compounds)或上述化合物的組合。釕前驅物的 範例 包含雙 (2,4 二甲基 戊二烯)釕 (bis(2,4-dimethylpentadienyl) ruthenium)、雙(2,4-二乙基 戊二稀)釕(bis(2,4-diethylpentadienyl) ruthenium)、雙(2,4-二異丙基戊二稀)釕(bis(2,4-diisopropylpentadienyl) ruthenium)、 雙 (2,4 -二第三丁基戊二稀)釕 (bis(2,4-ditertbutylpentadienyl)ruthenium)、雙(甲基戊二 稀)釕(bis(methylpentadienyl)ruthenium)、雙(乙基戊二嫜) 釕(bis(ethylpentadienyl) ruthenium)、雙(異丙基戊二稀)釕 (bis(isopropylpentadienyl) ruthenium)、雙(第三丁 基戊二 77 1331770
稀)釕(bis(tertbutylpentadienyl) ruthenium)、上述化合物之 衍生物或組合物等。在一些實施例中,其他釕前驅物包含 三 (2,2,6,6- 四甲基 -3,5- 庚二酮)釕 tris(2,2,6,6-tetramethyl-3,5-heptanedionato) ruthenium、二 幾基戊二稀対(dicarbonyl pentadienyl ruthenium) ' 釕乙酿 丙酮鹽(ruthenium acetyl acetonate)、2,4-二甲基戊二烤環 戊二稀釕(2,4-dimethylpentadienyl cyclopentadienyl ruthenium)、雙(2,2,6,6-四甲基-3,5-庚二酮)(1,5-環八二烯) 釕 (bis(2,2,6,6-tetramethyl-3,5-heptanedionato) (l,5-cyclooctadiene) ruthenium)、2,4-二甲基戊二稀甲基環 戊 二稀釕 (2,4-dimethylpentadienyl methylcyclopentadienyl ruthenium)、1,5-環八二烤環戊二 稀釕(1,5-cyclooctadiene cyclopentadienyl ruthenium)、 1,5-環八二稀曱基環戊二唏釕(l,5-cyclooctadiene methylcyclopentadienyl ruthenium)、 1,5-環八二烯乙基環 戊 二稀釕(1,5-cyclooctadiene ethylcyclopentadienyl ruthenium) 、 2,4-二曱基戊二稀乙基環戊二稀釕 (2,4-dimethylpentadienyl ethylcyclopentadienyl ruthenium)、2,4-二曱基戊二稀異丙基環戊二稀釕 (2,4-dimethylpentadienyl isopropylcyclopentadienyl ruthenium)、雙(N,N-二甲基 1,3-四甲基二亞胺)1,5·環八二 稀 釘(bis(N,N-dimethyl 1,3-tetramethy 1 diiminato) 1,5 -cyclooctadiene ruthenium)、雙(N,N-二曱基 1,3-二曱 基二亞胺)1,5-環八二稀訂(bis(N,N-dimethyl 1,3-dimethyl 78 1331770 diiminato) l,5-cyclooctadiene ruthenium)、雙(烧基)1,5 -環 八二稀釕(bis(allyl) l,5-cyclooctadiene ruthenium)、η6 苯 1,3-環六二稀釕(r)6-C6H6 l,3-cyclohexadiene ruthenium)、 雙(1,1-二甲基-2-胺基乙氧基)1,5-環八二烯釕 ^ (bis( 1,1-dimethyl-2-aminoethoxylato) 1,5-cyclooctadiene ruthenium)、雙(1,1-二曱基,2 -胺基乙基胺基)1,5 -環八二烯 釕)(bis(l,1-dimethyl-2-aminoethylaminato) 1,5-cyclooctadiene ruthenium)或上述化合物之衍生物或組合物等。 Φ 各種包含吡咯配體、開鏈二烯配體或上述配體之組合 的釕前驅物可與至少一種反應物一起使用以形成釕材料。 釕前驅物與反應物可在熱原子層沉積製程或電漿增強式原 子層沉積製程中相繼導入至製程腔體中。適合用於形成釕 材料的反應試劑可為還原氣體與包含氫(例如,氫氣或原子 氫)、原子氮(atomic-N)、氨氣(ammonia,NH3)、聯胺 (hydrazine,N2H4)、甲矽烷(silane,SiH4),乙矽烷(disilane, Si2H6) ' 三矽烧(trisilane,Si3H8)、四碎烧(tetrasilane, ^ . Si4H10)、二甲基石夕烧(dimethylsilane,SiC2H8)、甲基石夕烧 (methyl silane,SiCH6)、乙基矽烷(ethylsilane,SiC2H8)、 氣梦炫(chlorosilane ’ CISiH〗)、二氣梦院(dichlorosilane, 0;1251112)、六氣二妙坑(116\&(:111〇1'0(113113116,312〇;16)、棚院 (borane,BH3)、乙硼烷(diborane,B2H6)、三硼烷 (triborane) 四棚烧(tetraborane)、五蝴炫(pentaborane) ' 三乙基硼炫> (triethylborane , Et3B)與上述化合物之衍生 物、電漿或组合等。 79 另 個實施例中,反應氣體可包含多種含氧氣體, (KF 、 2) '氧化亞氮(N20)、一氧化氣(NO)、二氧化氮 2 )、卜-、尤 λτ Π|1 ^ 氣體的衍生物或組合物等。再者,傳統的還原 j可與含窗I Μ 、 乳乳體組合成為反應氣體。可在沉積製程中用來 $成句材料Μ 1 竹的含氧氣體可以是通常在化學領域中可作為於 氣化舞j老β °,',、、而’在包含惰性金屬(例如,釕)之金屬有機 化合物 t ίΛ S3 JWfc u. 工的配趙對含氧還原劑的接收度通常高於惰性金屬 子氧化劑的接收度°因此,配體通常被氧化掉而從金屬中 ’心脫離出來’使得金屬離子被還原成元素金屬。在實施例 中’反應氣體包含空氣中的氧氣,空氣可過篩乾糙以移除 大氣中的水氣。對於利用含氧氣體以沉積釕材料的製程係 進一步闡述於共同受讓且審理中的美國專利申請案 10/8 1 1 23 0中’該案於2004年3月26曰申請,名稱為「用 於銅薄膜沉積的釕層形成」(Ruthenium Layer Formation for Copper Film Deposition) ’ 公開號為 2004-0241321,在 此係以參考方式納入該案的内容。 釕前驅物的脈衝時距(time interval)可由諸多因素決 定,例如在ALD製程中使用的製程腔體的體積容量、麵接 至腔體上的真空系統以及反應物的揮發度/反應性等因 素。例如,(1)大容積的製程腔體可能需要較長的時間以穩 定諸如載氣/清洗氣體流與溫度的製程條件,因此需要較長 的脈衝時間;(2)製程氣體的流速較低亦需要較長的時間以 穩定製程條件,因此需要較長的脈衝時間;以及(3)較低的 腔體壓力意味著可快速排空製程腔體中的製程氣難,因此 80 1331770
需要較長的脈衝時間。一般來說,可選擇有益& 使得釕前驅物的脈衝可提供足量的前驅物,以 的釕前驅物被吸附在基材上。之後,藉由固定 氣流與真空系統,可將腔體内過多的釕前驅物 中移除。 釕前驅物與反應氣體的脈衝時距可以相同 釕前驅物的脈衝時間可與反應氣體的脈衝時間 樣的實施例中,釘前驅物的脈衝時距(T !)等於 脈衝時距(τ2)。 或者,釕前驅物與反應氣體的每個脈衝 同。也就是,釕前驅物的脈衝時.間可長於或短 的脈衝時間。在這樣的實施例中,釕前驅物的航 不同於反應氣體的脈衝時距(τ2)。 此外,介在每次釕前驅物與反應氣體之脈 衝時間也可以相同。也就是,在每次釕前驅物 反應氣體脈衝之間的非脈衝時距相同。在這 中,釕前驅物脈衝與反應氣體脈衝之間的非邮 等於反應氣體脈衝與釕前驅物脈衝之間的: (τ4)。在非脈衝時距當中,僅提供載氣進入製; 或者,在每次釕前驅物與反應氣體脈衝之 時間也可以不同。也就是,在每次釕前驅物脈 體脈衝之間的非脈衝時距短於或長於每次反應 釕前驅物脈衝之間的非脈衝時距。在這樣的實 於釕前驅物脈衝與反應氣體脈衝之間的非脈衝 i製程條件, 使至少單層 流速的載氣 由製程腔體 。也就是, 相同。在這 反應氣體的 時距可以不 於反應氣體 L衝時距(T,) 衝間的非脈 脈衝與每次 樣的實施例 .衝時距(τ3) 中脈衝時距 !腔體中。 間的非脈衝 衝與反應氣 氣體脈衝與 施例中,介 時距(Τ3)不 81 1331770 同於介在反應氣體脈衝與釕前驅物脈 (τ4)。在非脈衝時距當中,僅提 β的非脈衝時距 瑕4進入製轻炉:嫌士 此外,在每個沉積循環中的釕前驅 中。 次脈衝時距與介在每次脈衝之間的非 反應氣體的每 時間。在這樣的實施例中,每.欠 時距可具有相同 τ 母-人/儿積循環+由 . 的時距(Τ丨)、反應氣體的時距(Τ2)、 田,釘别驅物 氣體脈衝之間的非脈衝時距(丁3)以及』驅物脈衝與反應 前驅物脈衝之間的非脈衝時距(Τ4)可為==衝與釘 第-沉積循環(C,)中,釕前驅物的脈衝時距 ’如’在 循環(C2...Cn)中的釕前驅物時距 门1與後續沉積 相同。间拢认 ._ 沉積循環(C1)中,反應氣體的每次脈衝時距,,在第一 驅物與反應氣體脈衝之間的非脈八/及介在釕前 循環一)中反應氣體的每次脈續沉積 物與反應氣體脈衝之間的非脈衝時距。 "在釕前驅 或者,釕前驅物、反應氣體之至 介於脈衝之間的非脈衝時距在釘好U 個脈衝的時距與 衝吟距在釕材料沉積製 沉積循環中具有不相同的値。在這樣的實施例巾,釕Π 物的脈衝中一或多個時距㈤、反應氣體脈 在釘前驅物脈衝與反應氣體脈衝之間的非’ (2)以 及反應氣體與釕前驅物脈衝之間的非脈衝時距(T4)I循淨 沉積製程的-或多個沉積循環中具有不同數值。例如,在 第-沉積循极(C1)中,釕前驅物的脈衝時距⑺ 於後續沉積循環(c2...cn)中的釕前驅物時距 樣1 在第-沉積循環(C1)中,反應氣 问樣的’ 母人脈衝的時距以及介 82 1331770 在旬前驅物與反應氣體脈衝之間的# 積循環(C2...Cn)中反應氣體每次脈衝 物與反應氣體脈衝之間的非脈衝時距 在一些實施例中,可將固定漭# 又成速的栽氣或 提供至製程腔趙中,並交錯穿播脈衝與非脈衝的週期= 整該製程腔體,其中脈衝週期係隨著載氣 ^ 有戰氣/ m洗氡體流來交 替輸入該金屬前驅物與該反應氣體,同時 «Τ π胍衝期間僅包 含載氣/清洗氣體流。
衝時距等於後續 的時距以及在釘前 〇 PE-ALD製程腔體(例如,製程腔體5〇)可用以形成許 多諸如组、氣化组、欽、氮化鈇、釕、鎢、氮化鎢與其他 等材料。在實施例中,釕材料可在ALD製程中被沉積在含 钽以及/或氮化钽的阻障層上,此部分係闌述於共同受讓之 美國專利號695 1 804中,在此係以參考方式納入該案的内 容。用於沉積鎢材料於釕材料上的製程係闡述於共同受讓 且審理中的美國專利申請案11/009331中,該案於2004年 12月10日申請,名稱為「釕作為鎢薄膜沉積的下墊層」 (Ruthenium as an Underlayer for Tungsten Film Deposition),公開號為2006-0128150,在此係以參考方式 納入該案的内容。 在範例中,可利用 CVD製程形成銅種晶層於釕材料 上,之後,利用ECP製程沉積主體銅以填充内連線。在另 一個範例中,可利用PVD製程形成銅種晶層於釕材料上, 之後,利用ECP製程沉積主體銅以填充内連線。在另一個 範例中,可利用無電鍍層製程形成銅種晶層於釕材料上’ 83 丄33丄//υ 之後,利用吻製程沉積主趙麵以填充内連線/ 例中,釕材料可〜 填充内運線。在其他範 沉積製程以直杻按 C製程或無電鍍層 且接填充鋼主體。
在另—個iS 7 I 材料上,之後 可利用則製程形成轉種晶層於針 …填充内連^用CVD製程或脈衝式CV〇製程沉積主 成鶴種晶層於在另一個範例中,可利用州製程形 CVD製程沉積主體鶴以填充内連線…4=衝式 利用彻製程形成鶴種晶層_材料上,之後=中£可 :程沉積—真充内連線。,其他範例:,:= 2種日日層’且利用CVD或脈衝式CVD製程直接填充鶴 可進行數種整合程序以形成釕材料於内連線中。在 例中,後❹驟如下:⑷料洗基材;(b)沉積阻障層(例 如’氛化组的原子層沉積);(c)以原子層沉積製程沉積釘; 以及⑷利用無電鍍層、ECP 3戈PVD沉積種晶鋼並接著以 ㈣沉積主體銅。在另一個範例巾,後續步驟如下:⑷ 沉積阻障層(例如,氮化钽的原子層沉積);0)穿洞步驟 (punch through step)*· (c)以原子層沉積製程沉積釕:以及 ⑷利用無電锻層、ECP或PVD沉積種晶銅並接著以Ecp 沉積主趙銅。在另一個範例中,後續步驟如下:(a)以原子 層沉積製程沉積釕;0>)穿洞步驟(punch thr〇ugh step) ; (C) 以原子層沉積製程沉積針;以及(d)利用無電鍍層、ECp或 PVD沉積種晶銅並接著以無電鍍層、Ecp或pVD沉積主體 84 1331770
銅。在另一個範例中’後續步驟如下:(a)以原子層沉積製 程沉積釕;(b)穿洞步轉;(c)以原子層沉積製程沉積釕;以 及(d)利用無電鍍層或ECP沉積銅。在另一個範例中,後續 步驟如下:(a)預清洗基材;(b)以原子層沉積製程沉積釕; 以及(c)利用無電鍍層、ECP或PVD沉積種晶鋼並接著以 ECP沉積主艘銅。在另一個範例中,後續步驟如下:(a) 沉積阻障層(例如,氮化钽的原子層沉積);(b)以原子層沉 積製程沉積釕;(c)穿洞步驟;(d)以原子層沉積製程沉積 釕;(e)利用無電鍍層、ECP或PVD沉積種晶銅並接著以 ECP沉積主趙銅。在另一個範例中,後續步驟如下:(a) 沉積阻障層(例如,氮化组的原子層沉積);(b)穿洞步驟; (c)沉積阻障層(例如,氮化钽的原子層沉積);(d)利用無電 鍵層、ECP或PVD沉積種晶銅;以及(e)並接著以ECP沉 積主體銅。在範例中,後續步驟如下:(a)預清洗基材;(b) '儿積阻障層(例如,氮化鈕的原子層沉積);(c)以原子層沉 積製程'儿積釕;以及⑷以無電鍍層4 ECP沉積主體銅。
預’耷洗步错包含清洗或淨化介電窗孔的方法,例如移 窗 1底部的殘餘物(例如,碳)或還原氧化銅為銅 穿洞步驟包含由介電窗孔底部移除材料(例如陣障層) 以暴露導電屉, 嚐(例如,銅)。有關穿洞步驟的揭露係闡述在 八同又讓的美國專利案6498091號中,在此係以參考方式 納入該案的内办 ^ η令。穿洞步驟可在製程腔體中進行,例如在 阻障層腔體或清洗腔體中。本發明的實施例中,清洗步驟 與穿洞步驟係施加在釕阻障層上。有關整體整合方法的進 85 步揭露内容係闡述在 中,在此件以參者* 美國專利7,049,226 此係以參考方式納入該案的内容。 在各種實施例中使用的啡洛 ;供更顯著的優點。由本發明所提供之釘方與二化學品可 較-以先前技術並:::/具有高成核密度與均勻性。相 而言,相信本發=環戍二稀釕化合物所沉積的層 島狀等表面缺陷。力免除諸如在釕材科—的衛星狀或 用以形成釕材料的 提供較少或没有成核延遲前驅物在原子層沉積製程中 低的碳濃度且因此且右* e者,所沉積的釕材料具有較 八另鬲導電性。 再者,咣咯釕前驅物鱼 程的實施例中,以沉積 應軋體係應用於各種ALD製 氮化钽阻障層i 不像 _於阻障層上’特別是沉積在 的ALD製程,本發明的^使用環戊二稀釘(ruthen〇Cene) 材料沉積之前先預處〜:與前驅物不受限於需要在釕 咯釕前驅物,可避免因心。藉由在ALD製程中施加吡 而降低生產線的整體產量。^步驟(例如,預處理步驟) 再者,以本發明所沉 釘前驅物所沉積釕材料,^对材料,特別是當使用°比略 越黏附特性。相信優越的黏:對阻障層以及…料的優 性與高成核密度所造成,^性至少部分是由於高度均勻 缺陷》再者,為了能在心產生平整的表面與較少表面 環戊二稀釕化合物通常需要:程中被吸附至基材表面上’ 而於4〇〇。(:的溫度。然而,因 1331770 為許多低介電質裝置的溫度植値(threshold)約在400°C , 所以環戊二烯釕化合物並非ALD製程令適當的釕前驅物。 在如本文所述之ALD製程甲由〇比哈釕前驅物所形成 的釕材料一般具有小於200〇n/sq的薄膜電阻,較佳小於 ΙΟΟΟΩ/sq,更佳小於約500Q/sq。例如釕材料可具有介於 約ΙΟΩ/sq與約250Q/sq之間的薄膜電阻。 在此所便用的 _
行薄膜製程的基材表面或材料表面。例如,製程可.在JL上 進行的基材表面包含諸如矽、氧化矽、應變矽(strained silicon)、絕緣層上覆矽(SOI)、摻雜碳的矽氧化物、氮化 矽、摻雜矽、鍺(germanium)、砷化鎵(galHum arsenide)、 玻璃、藍寶石(sapphire)等材料,以及任何其他諸如金屬、 金屬氮化物、金屬合金與其他導電材料等。基材表面上的 阻障層、金屬或金屬氮化物包含鈦、氮化鈦、氮化鎢、钽 與氮化鈕。基材可具有各種尺寸,例如,2〇〇毫米或3〇〇 毫米直徑的晶圓’以及矩形或方形板。除非特別指明,本 文中所述的實施例與範例係在直徑為2〇〇毫米或3〇〇毫米 的基材上進行,較佳地為直# 3〇〇毫米的基材。本文所述 實施例的製程可沉積釕材料於許多基材與纟自上。可應用 本發明實施例之基材包含,但不限於,半導體晶圓,例如 晶Μ如’Si<1()〇>、Si<111>)、氧切、應變石夕石夕錯、 非摻雜多晶發、摻雜或非播雜梦晶圓或具圖案或不 :”之晶圓。可對基材進行預處理製程以拋光、蝕刻、 、’、、氧化、經基化、退火以及/或供烤基材表面。 87 1331770
本文中所使用的「原子層沉積(ALD)」或「循環沉積 (cyclical deposition)」係指相繼導入兩種或多種反應性化 合物以沉積材料層於基材表面上。兩種、三種或更多種之 反應性化合物可交替地導入製程腔體的反應區中。反應性 化合物可為氣體、電漿、蒸汽、流體等狀態或其他可用於 氣相沉積製程的狀態。通常,使用一時間延遲來隔開每種 反應性化合物,以使每種化合物可在基材表面上黏著以及/ 或反應。在一個態樣中,第一前驅物或化合物A係以脈衝 方式進入反應區,接著有第一次時間延遲。接著,第二前 驅物或化合物B係以脈衝方式進入反應區,接著有第二次 時間延遲。化合物A與化合物B反應以形成沉積材料。在 每次時間延遲當中,係將例如氮氣等清洗氣體導入製程腔 體中,以清洗反應區或從反應區中移除任何殘留的反應性 化合物或副產物。或者,可在整個沉積製程中持續導入清 洗氣體,使得介在反應化合物的脈衝之間的時間延遲中僅 有清洗氣體流入。反應化合物係以脈衝方式交替地導入, 直到所需的膜層或膜層厚度形成在基材表面上。在另一個 情況中,脈衝輸入化合物A、清洗氣體、脈衝輸入化合物 B與清洗氣體之ALD製程作為一個循環。循環可由輸入化 合物A或化合物B開始,且持續循環的各個步驟順序直到 達到所需膜層之厚度。在另一個實施例中,包含化合物A 的第一前驅物、包含化合物B的第二前驅物以及包含化合 物C的第三前驅物係分別獨立地脈衝輸入至製程腔體中。 或者,第一前驅物的脈衝可與第二前驅物的脈衝重疊,而 88 1331770 第三前驅物的脈衝未與第—* •^第二前驅物的脈衝重疊。本 文中所使用的「製程氣體 」 Θ係指單一氣體、多種氣體、 含電漿的氣體、氣體以及/或货批 漿的組合等。製程氣體可包 含至少一種用於氣相沉積製 # m的反應性化合物。反應性化 α物可為氣體、電漿、蒸汽、a λ ^ 流體等狀態或其他可用於氣 相沉積製程的狀態。再者,劁 产 &程氣體可包含清洗氣體或載 氣’但不包含反應化合物。
在本節中的實驗係在具右 #丹有熱長成3000埃厚度之二氧 化矽層的基材上進行。接著, 1 乂 ALD製程沉積厚度為10 埃的氮化组層。沉積技術的μ 刃碎細說明係闡述於已共同受讓 的美國專利案695 1804號中,在此係以參考方式納入該案 的内容。氣化鈕膜係為具有薄膜電阻大於約2〇〇〇〇 fi/sq 的介電質》
ALD實驗係在上述ALD腔體中完成,該腔體可從位 於加州聖塔克拉拉的應用材料公司(AppHed MaterUls,Ιη〇 購得。腔體間隔(介於晶圓與腔體主體頂端之間的距離)係 為230密爾(5.84毫米)。 宜驗1 .具乞.固定流迷氣(NH3)輿中間電漿的(Dmpd),Ru 在此實驗中所使用的釕前驅物為雙(2,4 -二甲基戊二稀) 舒(bis(2,4-dimethylpentadienyl) ruthenium,(DMPD)2Ru)) » 在實 驗中’製程腔體内的壓力維持在約2托(Torr)且基材被加 89 1331770
熱至約3〇〇〇C。一個原子層沉積(ALD)循環包含下列步騾。 错由使流速約500sccm的氮氣載氣通過一安瓶(ampoule) 且已加熱至約80。(:的(DMPD)2Ru而形成釕前驅物氣體。 基材暴露在流速約為5 0 0 s c c m的釕前驅物氣體以及流速 約為15〇〇 sccm的氨氣中大約3秒》在清洗杀驟中,停止 釕前驅物氣體的流速同時維持氨氣氣體的流速。清洗步驟 大約進行2秒。接著,點燃電漿以由氨氣氣體形成一氨氣 電漿並維持該流速。使用功率輸出設定在約125瓦與13.56 百萬赫(MHz)的RF產生器在電漿步驟中產生大約4秒的電 漿。之後,關閉電漿功单並使腔體進行固定流速之氨氣的 第二清洗步驟大約2秒。在重複大約140次ALD循環之 後’停止沉積製程》釕材料層係沉積在基材上且具有大約 5埃的厚度。分析實驗數據之後確定無成核延遲且平均沉 積速率係大約為0.22埃/循環。
實驗2:具有固定流速的氰氣輿中間電漿的CMeCpHEtCplRu 在此實驗中所使用的釕前驅物為曱基環戊二烯乙基環 戊一稀釘(methy1cyc1〇pentadieny1 ethylcyclopentadienyl ruthenium,(MbCp)(EtCp)Ru)。在實驗中,製程腔體内的 壓力維持在约2托且基材加熱至約3 0 0。C。一個原子層沉 積(ALD)循環包含下列步驟。使流速約5〇〇 seem的氮氣載 氣通過一安瓶且已加熱至約80〇C的(MeCp)(EtCp)Ru而形 成釕前驅物氣趙。基材暴露在流速约為500 seem的釕前駆 物氣體以及流速約為1 5 0 〇 s c c m的氨氣中大約3秒。在清 90 1331770 洗步驟中’停止釕前驅物氣體的流速同時維持氨氣氣體的 抓速》凊洗步驟大约進行2秒。接著,點燃一電漿以由氨 氣氣體形成氨氣電漿並維持該流速。ί吏用功率輸出設定在 約125瓦與1356百萬赫的rf產生器在電漿步驟中產生大 約4秒的電毁。之後,關閉電漿功率並使腔體進行具有固 疋流速的氨氣的第二清洗步驟大約2秒。在重複大約1 4 〇 次ALD循環之,後’停止沉積製程。釕材料層係沉積在基材 上且具有大約6埃的厚度。分析實驗數據之後判斷有成核 延遲β 實竣_J」..具t固定疯.連^氨氣邀中間電漿的(MeCpUPWRu 在此實驗中所使用的釕前驅物為甲基環戊二烯吡咯釕 (methylcyclopentadienyl pyrr〇iyi ruthenium ((MeCp)(Py)Ru))。在
實驗中’製程腔體内的壓力維持在約2托且基材被加熱至 約300°C。一個原子層沉積(ALD)循環包含下列步驟》藉由 使流速有約500 seem的氮氣載氣通過一安瓶且已加熱至 約80°C的(MeCp)(Py)Ru而形成釕前驅物氣體。基材暴露 在流速約為500 seem的釕前媒物氣體以及流速約為15〇〇 seem的氨氣中大約3秒。在清洗步驟中,停止釕前驅物氣 體的流速同時維持氨氣氣體的流速。清洗步驟大約進行2 秒。接著,點燃電漿以由氨氣氣體形成氨氣電漿並維持該 流速。使用功率輸出設定在約300瓦與13.56百萬赫的Rp 產生器在電漿步驟中產生大約4秒的電漿。之後,關閉電 漿功率並使腔體進行具有固定流速的氨氣的第二清洗步称 91 ^ 2秒。在重複大約140次ALD循環之後,停止沉積製 程 〇 Λ-y 析 材料層係沉積在基材上且具有大約49埃的厚度。分 實驗數據之後確定無成核延遲且平均沉積速率係大約為 〇·35埃/循環。 具有固定流速的裔氪與中間電漿的(MeCpWPv)Ru 在實驗中,製程腔體内的壓力維持在大約4托且基材係 加熱至大約35 0°C。一個原子層沉積(ALD)循環包含下列步 驟。藉由使流速約500 sccm的氮氣載氣通過一安瓿且已加 熱至約80°C的(MeCp)(Py)Ru而形成釕前驅物氣體。基材 暴露在流速約為 500 seem的釕前驅物氣體以及流速約為 15〇〇 seem的II氣中大約3秒。在清洗步驟中,停止釕前 驅物氣體的流速同時維持氮氣氣體的流速。清洗步驟大約 進行2秒。接著,點燃電漿以由氮氣氣體形成氮氣電漿並 維持該流速。使用功率輸出設定在約500瓦與13.56百萬 赫的RF產生器在電漿步驟中產生大約4秒的電漿》之後, 關閉電漿功率並使腔體進行具有固定流速的氮氣的第二清 洗步驟大約2秒。在重複大約140次ALD循環之後’停止 沉積製程。釕材料層係沉積在基材上且具有大約46埃的厚 度。分析實驗數據之後確定無成核延遲且平均沉積速率係 大約為0.33埃/循環。 實驗5 :屬·右固定流速的氤氪輿中間電费·的(MeCp)(Py)Ru 在實驗中,製程腔體内的壓力維将在大約4托且基材係 92 1331770
加熱至大約350°C。一個原子層沉積(ALD)循環包含下列步 驟。藉由使流速約500 seem.的氮氣載氣通過一安親且已加 熱至約80°C的(MeCp)(Py)Ru而形成釕前驅物氣體。基材 暴露在流速約為500 seem的対前驅物氣體以及流速約為 1500 seem的氫氣中大約3秒。在清洗步戰中,停止旬_前 驅物氣體的流速同時維持氮氣乳體的流速。清洗步驟大約 進行2秒。接著,點燃電漿以由氫氣氣體中形成氫氣電聚 並維持該流速。使用功率輸出設定在約5〇〇瓦與13 56百 萬赫的RF產生器可在電漿步驟中產生大約4秒的電裝。 之後,關閉電漿功率並使腔體進行具有固定流速的氣氣的 第二清洗步驟大約2秒。在重複大約140次Ald循環之 後,停止沉積製程。釕材料層係沉積在基材上且具有大約 45埃的厚度。分析實驗數據之後確定無成核延遲且平均沉 積速率係大約為0.32埃/循環。 實驗_6 :具有中間氨氣電漿的(MeCpUPWRn
在實驗中,製程腔體内的壓力維持在約2托且基材加熱 至約300°C。一個原子層沉積(ALD)循環包含下列步驟。藉 由使流速約500 seem的氮氣載氣通過一安說且已加熱至 約80°C的(MeCp)(Py)Ru而形成釕前驅物氣體。基材係暴 露在具有約500 seem流速的釕前驅物氣體中大約3秒。在 清洗步驟中,停止釕前驅物氣體流且注入具有大約5 00 seem流速的氮氣清洗氣體。清洗步驟大概進行約2秒。之 後,在停止氮氣流之後,注入具有大約1500 SCCm流速的 93 1331770 氨氣至腔體中。接著,點燃電漿以由氨氣氣體形成氨氣電 漿並維持該流速。使用功率輸出設定在約300瓦與13.56 百萬赫的RF產生器在電漿步驟中產生大約4秒的電漿。 隨後,關閉氨氣流與電漿功率。使腔體係暴露在流速約5 00 seem之氮氣的第二清洗步驟中大約2秒。在重複大約1 50 次ALD循環之後,停止沉積製程。釕材料層係沉積在基材 上且具有大約51埃的厚度。分析實驗數據之後確定無成核 延遲且平均的沉積速率係大約為0.34埃/循環。
實驗7:具有中間氮氣電漿的(MeCp)(Py)Ru
在實驗中,製程腔體内的壓力維持在約4托且基材加熱 至約3 50°C。一個原子層沉積(ALD)循環包含下列步驟。藉 由使流速約 500 seem的氮氣載氣通過一安親且已加熱至 約8 0°C的(MeCp)(Py)Ru而形成釕前驅物氣體。基材係暴 露在具有約5 0 0 s c c m流速的釕前驅物氣體中大約3秒。在 清洗步驟中,停止釕前驅物氣體流並且注入具有大約 5 00 seem流速的氣氣清洗氣體。清洗步驟大概進行約2秒。接 著,點燃電漿以由氮氣氣體形成氮氣電漿並維持該流速。 使用功率輸出設定在約500瓦與13.56百萬赫的RF產生器 在電漿步驟中產生大約4秒的電漿。隨後,關閉氮氣流體 與電漿功率。腔體係暴露在具有約500 seem流速的氮氣的 第二清洗步驟中大約2秒。在重複大約1 5 0次ALD循環之 後,停止沉積製程。釕材料層係沉積在基材上且具有大約 50埃的厚度。分析實驗數據之後確定無成核延遲且平均的 94 1331770
沉積速率係大約為0.33埃/循環。 實驗8:具有中間氫氣電鹱的(MeCp)(Pv)Ru 在實驗中,製程腔體内的壓力維持在大約4 加熱至大約350°C。一個原子層沉積(ALD)循環 驟。藉由使流速約500 seem的氮氣載氣通過一 熱至約80°C的(MeCp)(Py)Ru而形成釕前驅物 係暴露在具有約 500 seem流速的釕前驅物氣 秒。在清洗步驟中,停止釕前驅物氣體流且注 500 seem流速的氣氣清洗氣體。清洗步驟大 秒。之後,在停止氮氣流之後,注.入具有大約 流速的氫氣至腔體中。接著,點燃電漿以由氫 氫氣電漿並維持該流速。使用功率輸出設定在 13.56百萬赫的RF產生器在電漿步驟中產生大 漿。隨後,關閉氫氣流體與電漿功率。使腔體 約5 0 0 s c c m流速的氮氣的第二清洗步驟中大# 複大約1 50次ALD循環之後,停止沉積製程。 沉積在基材上且具有大約48埃的厚度。分析實 確定無成核延遲且平均的沉積速率係大約為〇. 其他原子層沉積製程 本發明實施例提供藉由熱原子層沉積製程 式原子層沉積製程並使用製程腔體5 0或蓋組利 各種含金屬材料(例如含钽或鎢材料)於基材上 托且基材係 包含下列步 安瓿且已加 氣體。基材 體中大約3 入具有大約 概進行約 2 1 5 0 0 seem 氣氣體形成 約500瓦與 約4秒的電 暴露在具有 I 2秒。在重 釕材料層係 驗數據之後 32埃/循環。 或電漿增強 1 0 0以沉積 的方法。在 95 1331770 ΡΕ-ALD製程範例中,藉由連續暴露基材至钽前驅物與電 漿中以沉積氮化钽。在另一個PE-ALD製程範例中,藉由 連續暴露基材至鎢前驅物與電漿中以沉積氮化鎢。在另一 個ΡΕ-ALD製程範例中,藉由連續暴露基材至鈕前驅物或 鎢前驅物與電漿中以沉積金屬鈕或金屬鎢。
可用於本文中所述之氣相沉積製程中的钽前驅物包令 五(二曱基胺)组(pentakis(dimethylamido) tantalum ? PDMAT 或 Ta(NMe2)5)、 五(乙基曱基胺)钽 (pentakis(ethylmethylamido) tantalum , PEMAT 或
Ta[N(Et)Me]5)、五(二乙基胺)钮(pentakis(diethylamido) tantalum,PDEAT 或 Ta(NEt2)5)、6 基亞胺-三(二甲基胺) 组(ethylimido-tris(dimethylamido) tantalum,(EtN)Ta(NMe2)3)、乙 基亞胺-三(二乙基胺)组(ethylimido-tris(diethylamido) tantalum,(EtN)Ta(NEt2)3)、乙基亞胺-三(乙基甲基胺)钽 (ethylimido-tris(ethylmethylamido) tantalum ,
(EtN)Ta[N(Et)Me]3)、三級丁基亞胺-三(二甲基胺)钽 (tertiarybutylimino-tris(dimethylamino) tantalum , TBTDMT或(ιΒνιΝ)Τ&(ΝΜε2)3)、三級丁基亞胺-三(二乙基胺) 组 (tertiarybutylimino-tris(diethylamino) tantalum , TBTDET或(tBuN)Ta(NEt2)3)、三級丁基亞胺-三(乙基甲基 胺 .) 叙 (tertiarybutylimino-tris(ethylmethylamino) tantalum,TBTEAT 或(tBuN)Ta[N(Et)Me]3)、三級戊基亞胺 -三(二甲基胺)组(tertiaryamylimido-tris(dimethylamido) tantalum,TAIMATA 或(tAmylN)Ta(NMe2)3),其中 tAmyl 96 1331770 為三級戊基(C5H"_或CH3CH2C(CH3)2-)、三級4基亞胺-三(二乙基胺)组(tertiaryamylimido-tris(diethylamido) tantalum,ΤΑΙΕ ΑΤΑ 或(tAmylN)Ta(NEt2)3)、三級戊基亞胺-三(乙基甲基胺)组(tertiaryamylimido-tris(ethylmethylamido) tantalum,TAIMATA 或(ιΑπιγ1Ν)Τα([Ν(Ε1;)Μ6]3)、卤化组 (tantalum halides),例如氟化坦(TaF5)或氯化组(TaCls)、 上述化合物之衍生物或組合物。
可用於本文中所述之氣相沉積製程中的鎢前驅物包含 雙(三級丁基亞胺)雙(三級丁基胺)鎢(bis(tertiarybutylimido) bis(tertiarybutylamido) tungsten,(tBuNhW^I^HYBuh)、雙(三級 丁基亞胺)雙(二曱基胺)鎢(bis(tertiarybutylimido) bis(dimethylamido) tungsten,(tBuN)2W(NMe2)2)、雙(三級
丁基亞胺)雙(二乙基胺)鶴(bis(tertiarybutylimido) bis(diethylamido) tungsten,(tBuN)2W(NEt2)2)、雙(三級丁 亞胺)雙(乙基甲基胺)鶴(bis(tertiarybutylimido) bis(ethylmethylamido) tungsten,(tBuN)2W(NEtMe)2)、六 氟化鶴(tungsten hexafluoride)、上述化合物之衍生物或組 合物等。 可於本文所述之氣相沉積製程中用於形成含金屬材料 的氮氣前驅物包含氨氣(NH3)、聯胺(hydrazine,N2H4,或 稱肼)、甲基聯胺(methylhydrazine,Me(H)NNH2)、二甲基 聯胺(dimethyl hydrazine,Me2NNH2 或 Me(H)NN(H)Me)、 三級丁 基聯胺(tertiarybutylhydrazine,lBu(H)NNH2)、苯基 聯胺(phenylhydrazine,C6H5(H)NNH2,或稱苯肼)、氮氣 97 1331770 電裝源(例如,氮原子、氮氣、氮氣/氫氣、氨氣或聯胺電 漿)、2,2-偶氮二級丁燒(2,2,-&乙〇161^1)1^&1^,41^>11311)、 疊氛化物源(azide source)(例如,叠氮已炫(ethyl azide, EtN3)、疊氣二甲基梦坑(trimethylsilyl azide,Me3SiN3)、 上述化合物之衍生物、電漿或組合物等。
適合用於形成含金屬材枓的反應物可為還原氣體,包 含氫(例如,氫氣或氫原子)、氮原子(atonlic-N)、氨氣 (ammonia ’ NH3)、聯胺(hydrazine,N2H4)、曱矽烷(silane, SiH4)、乙妙炫(disilane,Si2H6)、三碎烧(trisilane,Si^Hg)、 四梦烧(tetrasilane.,Si4Hip)、二甲基梦烧(dimethylsilane ’ SiC2H8)、甲基砍炫(methyl silane,SiCHe)、已基發烧 (ethylsilane ,SiC2H8)、氣梦炫(chlorosilane,ClSiH3)、 二氣碎烧(dichlorosilane , Cl2SiH2),六氣二梦烧 (hexachlorodisilane,Si2CU)、彌烧(borane,ΒίΪ3)、乙蝴 烧(diborane , B2H6)、三棚烧(triborane)、四棚院
(tetraborane)、五棚烧(pentaborane)、三乙基棚院 (triethylborane,Et3B)、上述化合物之衍生物、電漿或組 合物等。 載氣、清洗氣體與製程氣體可包含氮氣、氫氣 '氨氣、 氬氣、氖氣、氦氣或上述氣體的组合物等。可點燃含有任 何氣體的電漿。較佳地,在本文中所述的氣相沉積製程中 可用於形成含金屬材料的電漿前驅物氣體包含氮氣、氫 氣、氨氣、氬氣或上述氣逋的组合物。在範例中,電漿包 含氛氣與氫氣"在另一個範例中,電漿包含氮氣與氨氣。 98 1331770 在另一個範例中,電漿包含氨氣與氫氣。
可在本文所述之ALD或ΡΕ-ALD製程中形成的含金屬 材料包含鈕、氮化钽、鎢、氮化鎢、鈦、氮化鈦、上述材 料之合金 '衍生物或組物等。在實施例中,含金屬材料了 在包含一固定流速之反應物氣體並同時提供金屬前驅物與 電漿之連續脈衝輸入的PE-ALD製程中形成。在另_個實 施例中,含金屬材料可在另一種提供金屬前驅物與反應物 電漿之連續脈衝的PE-ALD製程中形成。在這兩種實施例 中,反應物在製程中通常會離子化。再者,PE-ALD製程 中的電漿可在製程腔體外產生,例如藉由一遠端電漿產生 器(PRS)系統來產生電漿,或較佳地,電漿可在能夠產生電 漿的ALD製程腔體中原位產生。在pe-ALD製程中,電漿 可由微波(MW)頻率產生器或射頻(RF)產生器所生成。例 如’電漿可在製程腔體5〇内或具有蓋组件1〇〇之製程腔艎 5〇中點燃。在一個較佳實施例中,可由RF產生器生成原 位(in situ)電漿。在另—個實施例中,含金屬材料可在另 一種提供連續脈衝輸入金屬前驅物與反應物的熱原子層沉 積製程中形成。 ALD製程中的製程腔體的壓力可控制至介於約〇·ι托 至約8 0托之間,較佳地介於約〇 5托至約1 〇托之間,更 佳地介於約1托至5托之間。再者,腔體或基材可加熱至 小於約500〇C的溫度,較佳介於約100〇c至約450°C之間, 更佳介於約150°C至約4〇〇°C之間,例如300。0在PE-ALD 製程中’若為原位生成的電漿製程則電漿可在製程腔體内 99 1331770
被點燃’或者電漿也可由外部生成源所產生,例如由遠端 電漿產生器系統(PRS)產生電漿。電漿可由微波產生器所生 成’較佳地可由RF產生器所生成。例如,電漿可在製程 腔艘50内或具有蓋组件100的製程腔體内被點燃。rF
產生器可設定在介於約1〇〇千赫至約16百萬赫之間的頻 率之間。在一範例中,具有1356百萬赫頻率的RF產生器 邛設定成具有介於約1〇〇瓦至約1〇〇〇瓦之間的功率輸出, 較佳介於約250瓦至600瓦之間’更佳地介於約3〇〇瓦至 約500瓦之間。在一範例中,具有4〇〇千赫頻率的rf產 生窃可设疋成具有介於約2〇〇瓦至約2〇〇〇瓦之間的功率輸 出,較佳介於約500瓦至15〇〇瓦之間。基材表面可暴露在 單位表面積功率介於約〇〇1瓦/平方公分(watts/cm2)至約 瓦/平方a刀之間的電聚下,較佳介於約〇〇5瓦/平方公 分至約6瓦/平方公分之間。
該土 可為基材上的一或多層介電材料層中定義有 連線圖案的矽基材。範例中,基材具有阻障層形成於其上 而另-個範例則為基材具有介電表面。諸如溫度與壓力 製程腔體條件彳加以調整以增強製減體纟基材上的 附,以便幫助吡咯金屬前驅物與反應氣體間的反應。 ^在實施例中,基材可在整個,ALD 4¾¾中都暴 孔體下。基材可暴露在藉由使載氣(例如氮氣或氬氣)通 -安瓿的金屬前驅物而形成的金屬前驅物氣體下。視製 所使用的金屬則驅物而決定是否加熱安瓶。在範例中, 含甲基環戊二烯吡咯釕((MeCP)(Py)Ru)的安瓿可被加熱 100 1331770 介於約60°C至約100°C之間的溫度,例如約80°C。金屬 前驅物氣體通常具有介於約100 seem至约2000 seem之間 的流速,較佳介於約200 seem至約1〇〇〇 sccm之間,更佳 介於約300 seem至約700 seem之間,例如約500 seem。 金屬前驅物氣體與反應物氣體可結合以形成沉積氣體。反 應物氣體通常具有介於約1〇〇 seem至約3000 seem之間的
流速,較佳介於約200 seem至約2000 seem之間,更佳地 介於約500 seem至約1500 seem之間。在範例中,氨氣係 當作反應物氣體使用並具有約1500 seem的流速》基材可 暴露在金屬前驅物氣體中或暴露在包含金屬前驅物與反應 氣體的沉積氣體中一段介於约〇. 1秒至約8秒之間的時 間’較佳介於約1秒至約5秒之間,更佳介於約2秒至約 4秒之間。一旦金屬前驅物被吸附至基材上時,可停止輪 送金屬前驅物。金屬前驅物可為非連續層、連續層或多層。 在停止注入金屬别驅物氣體後,基材與腔體可進行清 洗步驟。在清洗步驟過程中,反應氣體的流速可維持與先
前步驟相同或加以調整。較佳地,反應氣體流速可維持與 先前步驟相同。或者,清洗氣體可以介於約1〇〇 sccm與約 2000 seem之間的流速注入製程腔體中,較佳介於約 seem至约1000 sccm之間,更佳介於約3〇〇 sccm至約 seem之間,例如约500 seem > 屬前驅物與其他在製程腔體内 一段時間’時間係介於約〇 . 1 1秒至5秒之間 200 700 清洗步驟移除任何多餘的金 的污染物。清洗步驟可進行 秒至8秒之間,較佳介於約 更佳介於約2秒至4秒之間。載氣清 101 1331770 洗氣體與製程氣體可包含氮氣、氫氣、氨氣、氬氣、氖氣、 氧氣或上述氣體的组合物等。在一個較佳實施例中,載氣 包含氮氣。
隨後,在點燃電漿之前,可調整或維持反應氣體流。 基材可暴露在電漿下一段時間,時間係介於約〇 · 1秒至2 0 秒之間,較佳介於約I秒至10秒之間,更佳介於約2秒至 8秒之間。隨後,關閉電漿功率。在範例中,反應物可為 氨氣、氮氣、氫氣或上述氣體的組合物,以形成氨氣電漿、 氮氣電漿、氫氣電漿或組合電漿。反應物電漿與被吸附至 基材上的金屬前驅物反應以形成含金屬材料於基材上。在 範例中,反應電漿係當作還原劑以形成金屬釕、鈕、鎢、 鈦或上述金屬的合金。當然,可使用各種反應物以形成具 有很多成分的含金屬材料。在範例中,含硼反應物化合物 (例如,乙硼烷)係用以形成含硼化物的含金屬材料。在另 一個範例中,含矽反應物化合物(例如,矽曱烷)係用以形 成含>6夕化物的含金屬材料。
製程腔體可進行第二個清洗步驟以移除過多的前驅物 或先前步驟的污染物。在清洗步驟中,反應氣體的流速可 維持與先前步驟一樣或加以調整。選用性的清洗氣體可注 入製程腔體中且具有介於約lOOsccm至約2000sccm之間 的流速,較佳介於約2 0 0 s c c m至約1 0 0 0 s c c m之間,更佳 介於約300sccm至約700sccm之間,例如約500sccm。第 二清洗步驟可進行一段時間,時間係介於約〇 · 1秒至8秒 之間,較佳介於約1秒至5秒之間,更佳介於約2秒至4 102 1331770 秒之間。
可重複原子層沉積循環直到預設厚度的含金屬材料沉 積在基材上。可沉積含金屬材料至具有小於約10 00埃的厚 度,較佳小於約5 00埃,更佳介於約1 0埃與約1 00埃之間, 例如約30埃。在此闡述的製程可以至少0.15埃/循環的速 率沉積含金屬材料,較佳至少為〇 · 2 5埃/循環,更佳至少 為0.3 5埃/循環或更快。在另一個範例中,本文中所述的 製程克服了先前技術有關成核延遲的缺點。本發明在多數 沉積含金屬材料過程中,並無偵測到成核延遲的現象。
在另一個實施例中,含金屬材料可在另一種將基材連 續暴露至金屬前驅物與諸如反應物電漿等活性反應物中的 PE-ALD製程裡形成。如文中所述,基材可暴露至藉由使 載氣通過含金屬前驅物的安瓿而形成的金屬前驅物氣體 中。金屬前驅物氣體通常具有介於約100 seem至約2000 seem之間的流速,較佳介於約200 seem至約1000 seem 之間,更佳介於約3 0 0 s c c m至約7 0 0 s c c m之間,例如約 500 seem。基材可暴露在含金屬前驅物與反應氣體的沉積 氣體中一段介於約0.1秒至約8秒之間的時間,較佳介於 約1秒至約5秒之間,更佳地介於約2秒至約4秒之間。 一旦金屬前驅物被吸附至基材上時,可停止輸送金屬前驅 物。金屬前驅物可為一非連續層 '一連續層或多層。 接著,對基材與腔體進行清洗步驟。清洗氣體可在清 洗步驟中施加至製程腔體中。在一個態樣中,清洗氣體係 為反應氣體,例如氨氣、氮氣或氫氣。在另一個態樣中, 103 1331770
清洗氣體可為與反應氣體不同的氣體。例如,反應氣體可 為氨氣而清洗氣體可為氮氣、氫氣或氬氣。清洗氣體通常 具有介於約100 seem至約2000 seem之間的流速,較佳介 於約200 seem至约1000 seem之間,更佳介於約300 seem 至約7 0 0 s c c m之間,例如約5 0 0 s c c m。清洗步驟移除任何 多餘的金屬前驅物與其他在製程腔體内的污染物。清洗步 驟可進行一段時間,時間係介於約0 _ 1秒至8秒之間,較 佳介於約1秒至5秒之間,更佳介於約2秒至4秒之間。 載氣、清洗氣體與製程氣體可包含氮氣、氫氣、氨氣、氬 氣、氖氣、氦氣或上述氣體的組合物等。
在ALD製程的下個步驟中,將基材與已被吸附基材上 的金屬前驅物暴露於反應氣體中。或者,載氣可與反應氣 體同時注入製程腔體中。反應氣體可被點燃以形成電漿。 反應氣體通常具有介於約100 seem至約3000 seem之間的 流速,較佳介於約200 seem至約2000 seem之間,更佳介 於約5 0 0 s c c m至約1 5 0 0 s c c m之間。在範例中,氨氣係當 作反應氣體使用並具有約1 500 seem的流速。基材可暴露 在電漿下一段時間,時間係介於約〇 · 1秒至2 0秒之間’較 佳介於約1秒至1 〇秒之間,更佳介於約2秒至8秒之間。 隨後,關閉電漿功率。在範例中,反應物可為氨氣、氮氣、 氫氣或上述氣體的組合物,同時電漿可以是氨氣電漿、氮 氣電漿、氫氣電漿或組合電漿。反應物電漿與被吸附至基 材上的金屬前驅物反應以於基材上形成含金屬材料。在範 例中,反應物電漿係當作還原劑以形成金屬釕、鈕、鎢、 104 1331770 鈦或上述金屬的合金。當然,可如本文中所述般,使用各 種反應物以形成具有很多組成的含金屬材料。
製程腔體可進行第二清洗步驟以移除過多的前驅物或 前述步驟的污染物。反應氣體流可在前一個步驟結束時停 止,若反應氣體當作清洗氣體時,其可在清洗步驟時再度 開始。或者,可注入與反應氣體不同的清洗氣體至製程腔 體中。反應氣體或清洗氣體通常具有介於約1 00 seem至約 2000 seem之間的流速,較佳介於約200 seem至約1000 s c c m之間,更佳介於約3 0 0 s c c m至約7 0 0 s c c m之間,例 如約500 seem。第二清洗步驟可進行一段時間,時間係介 於約0.1秒至8秒之間,較佳介於約1秒至5秒之間,更 佳地於約2秒至4秒之間。 可重複原子層沉積循環直到預設厚度的含金屬材料沉 積在基材上。可沉積含金屬材料至具有小於約1000埃的厚 度,較佳小於約5 00埃,更佳介於約1 0埃至約1 00埃之間, 例如約3 0埃。在此闡述的製程可以至少0.1 5埃/循環的速 率沉積含金屬材料,較佳至少為0.25埃/循環,更佳至少 為0.35埃/循環或更快。在另一個範例中,本文中所述的 製程克服了先前技術有關成核延遲的缺點。本發明在大多 數沉積含金屬材料過程中,並無偵測到成核延遲的現象。 金屬前驅物的脈衝時間間隔(時距)可視諸多因素而 定,例如視ALD製程中使用的製程腔體的體積容量、耦接 至腔體上的真空系統以及反應物的揮發度/反應性等因 素。例如,(1)大容積的製程腔體可能需要較長的時間以穩 105 :諸如.載氣/清洗氣體流速與溫度的製程條件,因此 的脈衝時間;(2)較低流速的製程 要輕 以穩定製程條件,因此需要刼且μ 需要較長的時間 較長的脈衝時間;以及(3)較低 的腔體壓力意味菩可故技排Λ制 .φ 著了决速排二製程腔體中的製程氣體,因 需要較長的脈衝時間。_和也 株 旰 奴來說,可選擇有益的製程條 ’使得金屬前驅物的脈衝輪入可提供足量的前驅物,以 ★至少單層的金屬前驅物被吸附在基材上。之後,藉由固
:的載氣氣流配合真空系統,可將腔體内過多的金屬前驅 物由製程腔體中移除。 丄β金屬月』驅物與反應氣體各自的脈衝時距可以相同。也 ^是’金屬前驅物的脈衝時間可與反應氣體的脈衝時間相 冋。在這樣的實施例中,金屬前驅物的脈衝時距(Tl)等於 反應氟體的脈衝時距(τ2)。
1或者,金屬别驅物與反應氣體各自的脈衝輪入時距可 、不同也就疋,金屬前驅物的脈衝時間可長於或短於反 應氣體的脈衝時間。在這樣的實施例巾,金屬前驅物的脈 衝時距(Tl)不同於反應氣體的脈衝時距(τ2)。 此外在每個金屬前驅物與反應氣體的脈衝之間的非 脈衝間隔也可以相也就是,在每個金屬前驅物脈衝與 每個反應氣體脈衝之間的非脈衝時距是相同的。在這樣的 實施例中在金屬則驅物脈衝與反應氣體脈衝之間的非脈 衝時距(Τ3)等於反應氣體脈衝與金屬前驅物脈衝之間的非 脈衝時距(Τ4) ^在非脈衝期間,僅提供固定的載氣氣流進 入製程腔體中。 106 或者,在每次合 衝期間也可以不同。也就曰肖反應氣體脈衝之間的非脈 次反應氣趙脈衝之間的非:衝==驅:脈衝與每 想脈衝與金屬前雜物脈衝之間的非脈衝:長於每次反應氣 施例中,在金屬前驅物脈衝 / h樣的實 脈衝時二衝與金屬前'物脈衝之間的非 進=衝期間中,僅提供固定的載氣氣流 進入製程腔體中。 :外’在沉積循環中,金屬前驅物與反應氣體的每次 脈:時距以及在每次脈衝之間的非脈衝時距可以相同。在 这樣的實施例中,每戈 , 人'儿積循環的金屬前驅物的時躍 I反應氣體的時距(τ2)、在金屬前驅物脈衝與反應氣體 駆之間的非脈衝時距(Τ3)以及在反應氣體脈衝與金屬前 Ζ脈衝之間的非脈衝時距㈤各自具有…。例如, t沉積循環(C1)中,金屬前驅物的脈衝時距⑹與後續 ::楯環(C2_..cn)中的金屬前驅物時距(τ。相同。同樣的, 在第-沉積循環(C1)中,反應氣趙每次脈衝間的時距以及 在金屬前驅物與反靡€ Μβ β y 乳體脈衝之間的非脈衝時距各自等於 後續沉積循環fC, ρ、ι •••Cn)中反應氣體每次脈衝的時距以及在 金屬前驅物與反應氣體脈衝之間的非脈衝時距。 -者在金屬材料沉積製程的-或多個沉積循環中, 金屬前驅物、及廄备ω 趙之至少一個脈衝的時距與每次脈衝 之間的非脈衝期間可能不相Θ。在這樣的實施例中,-或 多個金屬前驅物的脈衝時距(Τι)、反應氣趙的脈衝時距 107 1331770 (T2)在金屬則驅物脈衝與反應氣體脈衝之間的非脈衝 距(Τ3)以及反應氣體與金屬前驅物脈衝之間的非 . (Τ4)可能在該循環V Αβ k 1 Λ 可時距 哀,几積製程的一或多個沉積循環中 同數值.。例如,*谊 、有不 衝時距(τ〗)可長於弋 物的脈 於或紅於後續沉積循環(C2 c 前驅物時距(丁,)。^^ 的金屬 )同樣的,在第一沉積循環(C,)中,e 體每次脈衝的時距 反應氣 及在金屬則驅物與反應氣體 的非脈衝時距可能笪执+ ^ 脈衡之間 能等於或不等於後續沉積循環 反應氣體每次脈蘅的吐^ 〜2...Cn)t 衡的時距以及在金屬前驅物與反雁一 衝之間的非脈衝時距。 久應氧體脈 在一些實施例中,可將固定流速的載 應至製程腔.體中,廿六 、""、月洗氣體供 腔通中,並交錯穿插脈衝與非脈衝 瓶供 該製程腔體,其中兮邮 週期來調整 '中該脈衝周期係隨著載氣/清洗 ® :輪入該金屬前驅物與該反應氣體,MrC來交 載氣/清洗氣體流。 周期僅含有 雖前文已闡述本發明之具體實施例, 明之基本精神與範圍下,當可設計出本發明:脫離本發 施例’且本發明之範圍係由後述之申請專 其他具雜實 阁所界定之。 【圖式簡單說明】 本發明之各項特徵已於上述内容中閣述 更特定之說明可來ΚΪ ^ 有關本發明 兄月7參照後附圖式。然而需先聲 赞月 附加之圖式僅為代表 的是本發明 衣改實施例,並非用以限定士 圍,其他等效的眘浐衣發明之笳 欢的實知例仍應包含在本發明之範固中 <範 108 1331770
第1A圖至第1G圖繪示一本發明實施 腔體之示意圖; 第2A圖與第2B圖繪示一本發明實施 環'之不意圖, 第3A圖與第3B圖繪示一本發明實施 之示意圖; 第4A圖至第4F圖繪示一本發明實施 之示意圖; 第5A圖至第5F圖繪示一本發明實施 隔板插件之不意圖, 第6A圖與第6B圖繪示一本發明實施 篩插件之示意圖; 第7A圖至第7C圖繪示一本發明實施 套插件之示意圖; 第8A圖至第8D圖繪示一本發明實施 歧管組件之不意圖, 第9A圖至第9D圖繪示一本發明實施 流之不意圖,以及 第10A圖至第10C圖繪示一本發明實 流之各種示意圖。 例中所述的製程 例中所述的隔離 例中所述的喷頭 例中所述的水箱 例中所述的電漿 例中所述的電漿 例中所述的絕緣 例中所述的氣體 例中所述的氣體 施例中所述氣體 _控制器 【主要元件符號說明】 8 基材 10 轴 20 支持控制器 22 電漿產 109 1331770
30 訊號匯流排系統 34 導管系統 36 真空抽氣系統 37 閥門 38 抽氣口 40 基材支持件 41 支持表面 42 端口 44 邊環 45 加熱元件 46 溫度感測器 47 間隙 48a 、48b 、 48c 内邊環 50 製程腔體 5 1 控制單元 52 中央處理單元(CPU) 54 支援電路 56 記憶體 58 相關控制軟體 59 内腔體區域 60 製程區域 61 阻氣間隙 62 上表面 70a- e 氣體源 72a- 72e 閥門組件 80 腔體主體 82 隔離環襯墊 84 腔體襯墊 88 射頻帶 90 腔體主體組件 92 電漿產生器系統 94 射頻尖端 95a-b 絕緣體 96 匹配件 97 電漿產生器 98 連接器 100 蓋組件 101 調準狹縫 102 下表面 103 蓋支持件 104 上表面 106 把手 107 把手組件 108 熱隔離體 110 支持托架 116- 118 端口 119 孔 120 開口 110 1331770
122 凸緣表面 124 壁表面 200 隔離環 202a-d 下表面 204 上表面 205a- d 内表面 220 開 口 300 喷頭 302a- C 下表面 303-304 上表面 305a- b 壁表面 306 上表面 310 孔 320 開口 322 凸 緣 324 上表面 328 環 330 環組件 332 凸 緣 350 接觸 400、 400c-f 水箱 402 a-c 下表面 403、 404 上表面 405 内表面 410 入 口 411 喷嘴 412 出 口 413 喷嘴 414a- b 凸緣表面 416a- C 内表面 420 開 α 421 源噴嘴 423 返回喷嘴 425、 427 線路 430 通路 432c 訊號線圈 432d 多線圈 432e 分支或支線線 440 通路 441 氣體區域 450 接觸 500 電漿隔板插件 501 溝槽 502 下表面 503 上 表面 504 下表面 505 上 表面 506 開口 111 1331770
508 開口 510 狹缝 5 12 下邊緣 5 13 間隙 5 14 上邊緣 5 15 徑向線 520 鼻頭部 522 雜表面 526a 上通路 526b 下通路 528 阻氣門 530 孔 531 > 532、 535、 537 線 533 徑向線 538 袖向線 539 虛線圓形 540 氣體區域 600 電漿篩插件 601 中央部分 602 上表面 603 下表面 604 上表面 605a-b 内壁表面 606 上表面 608 上表面 612 孔 614 狹縫 622 溝槽 624 ' 626壁表面 630 内部區域 63 1 環組件 632 外部區域 640 氣體區域 700 絕緣套 703 a-e 下表面 704 上表面 707 孔 710 ' 720 通道 721 上部分 722 擴展通道 723 下部分 725 凹槽 726 孔 742 氣體 744 氣體區域 780 失鉗 782 絕緣部 784 金屬夾鉗部 112 1331770
800 氣體歧管組件 806 808 隔離體 810 8 11 氣體入口 813 814 凸緣 815 816 壁表面 820 821 通道導管 822 823 通道導管 824 825 表面 826 830 氣體導管組件. 83 1 832、 834 凸緣 836 838 導管 840 842 下歧管 843 844 上歧管 850 852、 854 氣體通道支持件 880、 910 氣體區域 912、 915a- c 中央線 916 917 徑向線 918 920 氣體區域 922、 923 環形路徑 950 1021 通道導管 1082 歧管檔塊 通道 氣體入口 氣體入口 通道 包圍鏡片 鏡片邊環 視窗組件 導管 導管 導管組件 孔 歧管套組件 882、884 氣體導管 914 流動型態 流動型態 流動型態 922a-c 流動型態 區域 、1084 、 1086 導管 113

Claims (1)

1331770 第4Π4/叫號專利案^年f月修正 十、申請專利範圍: 打年7月丨
W无 1. 一種於處理腔體内用以處理基材的蓋組件,包含:
一喷頭組件,其具有一内部區域以及一外部區域; 一電漿篩,其定位於該喷頭組件的上方且包含一内 面積,該内面積具有複數個孔貫穿其間延伸,該等複數 個孔用以引導一第一製程氣體至該内部區域,以及一外 面積,其具有複數個狹縫,該等複數個狭縫用以引導一 第二氣體至該外部區域,該外面積圍繞該内面積; 一絕緣套,其設置於該電漿篩上方並含有一擴展通 道(expanding channel),該擴展通道具有自一上部分至 一下部分逐漸增大的一内直徑,該擴展通道經配置以自 該絕緣套的一上表面將該第一製程氣體流動至該電漿 篩的該内面積; 一第一氣體區域,其位於該噴頭組件的該内部區域 上方,並介於該喷頭組件與該電漿篩之間;以及
一第二氣體區域,其位於該喷頭組件的該外部區域 上方。 2. 如申請專利範圍第1項所述之蓋組件,其中該蓋組件的 該内部區域包含一電漿隔板。 3. 如申請專利範圍第2項所述之蓋組件,其中該電漿隔板 包含複數個狹縫,其用以分配該第一製程氣體通過該蓋 114 1331770 組件。 4.如申請專利範圍第3項所述之蓋組件,其中該等狹縫的 每一者係配置成與一通過該内部區域中心的垂直軸間 有一預定喷射角,並且該預定喷射角介於約 20°至約 70°之間。
5.如申請專利範圍第4項所述之蓋組件,其中該預定喷射 角介於約4 0 °至約 5 0 °之間。 6 ·如申請專利範圍第1項所述之蓋組件,更包含一冷卻組 件,其位於該喷頭組件上,其中該冷卻組件包含複數個 通路,該等複數個通路用於使該第二製程氣體自該電漿 篩通過至該第二氣體區域。
7.如申請專利範圍第6項所述之蓋組件,其中該第二氣體 區域位於該冷卻組件與該喷頭組件之間。 8.如申請專利範圍第1項所述之蓋組件,其中該内面積更 包含一無孔區帶。 9.如申請專利範圍第1項所述之蓋組件,其中該複數個狹 缝係垂直或實質垂直於位在該電漿篩之該内面積中的該 115 1331770 等複數個孔。 10.如申請專利範圍第1項所述之蓋組件,其中該外部區域 包含複數個孔,其用以分配一第二製程氣體通過該喷頭 組件。
11.如申請專利範圍第10項所述之蓋組件,其中該等複數 個孔中具有至少約1 0 0 0個孔或更多。 12.如申請專利範圍第2項所述之蓋組件,其中該電漿隔板 可從該噴頭組件的該内部區域拆卸下。 13.如申請專利範圍第12項所述之蓋組件,其中該喷頭組 件與該電漿隔板各自獨立包含一平均粗糙度至少約0.38 微米的下表面。
1 4.如申請專利範圍第1項所述之蓋組件,其中該絕緣套進 一步包含一第二通道,其用以引導該第二製程氣體,該 第二通道延伸通過該絕緣套並與在該電漿篩之該外面積 中的該等複數個狹缝流體連通。 15. —種於處理腔體内用以處理基材的蓋組件,包含: 一接地氣體歧管,其含有至少一個氣體線路; 116 1331770 一絕緣套,該絕緣套含有一擴展通道(expanding channel),該擴展通道具有自一上部分至一下部分逐漸 增大的一内直徑,該擴展通道經配置以接收來自至少該 一個氣體線路的氣體,其中該接地氣體歧管定位於該絕 緣套上方;
一喷頭組件,具有一外部區域與一内部區域,該外 部區域含有複數個孔,並且該内部區域含有一電漿隔 板,該電漿隔板具有複數個狹縫;以及 一電漿篩,其定位於該絕緣套與該電漿隔板之間, 其中該電漿篩包含用以在該電漿隔板與該通道之間形 成一受阻路徑的複數個孔,該等複數個孔用以實質減少 一電漿通過而同時允許該氣體通過。 16.—種用於處理基材的腔體,包含: 一基板支撐件,其具有一基材接收表面;
一腔體蓋組件,其包含: 一電漿篩,其包含一上表面以及一外部溝槽,該 外部溝槽位於該上表面中並圍繞一内部區域; 一絕緣套,其定位於該電漿篩之該上表面的上 方且具有一第一通道,該第一通道經配置以使一第一製 程氣體流通通過其中,以及一第二通道,該第二通道經 配置以使一第二製程氣體流通通過其中,該電漿筛及該 絕緣套經耦合在一起以在該電漿薛與該絕緣套之間形成 117 1331770
一環狀氣體通道,該環狀氣體通道在該外部溝槽與在 絕緣套之下表面中的一凹槽之間延伸並圍繞該内部 域,且該第二通道在該絕緣套的下表面内延伸通過該 緣套至該凹槽; 一第一複數個開口 ,位於該電漿篩的該内部 域中並延伸穿過其間,且該等第一複數個開口經配置 引導該第一製程氣體自該電漿篩之該上表面的上方至 電漿篩之一下表面的下方; 一第二複數個開口,其自該環狀氣體通道徑 向外延伸,該等第二複數個開口經配置以使一第二製 氣體自該環狀氣體通道流動,且該等第二複數個開口 由該絕緣套的該下表面以及在該電漿篩之上表面中之 數個徑向延伸的狹縫界定;及 一製程區域,其設於該基材接收表面以及該 體蓋組件之間。 17.如申請專利範圍第16項所述之腔體,其中該等複數 徑向延伸狹缝係平行或實質平行於該下表面。 18.如申請專利範圍第17項所述之腔體,其中該等複數 徑向延伸狹縫係垂直或實質垂直於在該電漿篩的該 部區域中的複數個孔。 該 區 絕 區 以 該 向 程 藉 複 腔 個 個 内 118 1331770 19_如申請專利範圍第16項所述之腔體,其中該等複數個 徑向延伸狹缝的每一者具有在0.20mm至0.80mm範圍 内的一寬度。 20.—種用於處理基材的腔體,包含: 一基材支撐件,其具有一基材接收表面.; 一腔體蓋,其包含:
一通道,其位於該腔體蓋的一中央部份; 一錐形底表面,其自該通道延伸至一電漿篩,其 置於一電漿擋板及一喷頭上方,其中該喷頭具有之形狀 及尺寸係實質覆蓋該基材接收表面; 一第一導管,其耦接至該通道内的一第一氣體入 口;及
一第二導管,其耦接至該通道内的一第二氣體入 口,其中該第一導管以及該第二導管經定位以對一製程 氣體提供一環形氣流圖案。 21.—種在一製程腔體内處理基材的蓋組件,包含: 一接地氣體歧管,其含有至少一個氣體線路; 一絕緣套,其含有一第一通道,該第一通道具有自 一上部分至一下部分逐漸增大的一内直徑,該第一通道 經配置以接收來自該至少一個氣體線路的一氣體,其中 該接地氣體歧管位於該絕緣套上方。 119 1331770
一噴頭組件,其具有一内部區域及外部區域 該外部區域包含複數個孔。 一冷卻組件,其定位於該喷頭組件上; 一電漿擋板,其定位於該喷頭組件的該内部 且具有複數個狹縫以相對於通過該内部區域中心 直軸的一預定角度延伸通過該電漿擋板;及 一電漿篩,其定位於該絕緣套與該喷頭組件 其中該電漿篩包含複數個孔,該等複數個孔用以 第一製程氣體至該電漿擋板以及一第二製程氣體 部區域。 2 2.如申請專利範圍第21項所述之蓋組件,其中該 件包含複數個通路,該等複數個通路導入位於該 件與該喷頭組件之間的一第二氣體區域,其中該 個通路提供該電漿篩與該第二氣體區域之間的 23.如申請專利範圍第21項所述之蓋組件,其中該 進一步包含一第二通道,其經配置以將該第二製 自一第二氣體線路流動。 2 4.如申請專利範圍第21項所述之蓋組件,其中該 度在自約20°至約70°的範圍内。 ,其中 區域中 之一垂 之間, 引導一 至該外
冷卻組 冷卻組 等複數 流體連 絕緣套 程氣體 預定角 120 1331770 25.如申請專利範圍第21項所述之蓋組件,其中該第一通 道具有一内直徑,其自該第一通道的一上部分至一下部 分逐漸增加。
121 1331770 八 第.狗4/號專利案%年3月修正
36 SVI狨
TW095141036A 2005-11-04 2006-11-06 Apparatus for plasma-enhanced atomic layer deposition TWI331770B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US73365505P 2005-11-04 2005-11-04
US73387005P 2005-11-04 2005-11-04
US73357405P 2005-11-04 2005-11-04
US73365405P 2005-11-04 2005-11-04
US73386905P 2005-11-04 2005-11-04

Publications (2)

Publication Number Publication Date
TW200737306A TW200737306A (en) 2007-10-01
TWI331770B true TWI331770B (en) 2010-10-11

Family

ID=38801936

Family Applications (5)

Application Number Title Priority Date Filing Date
TW095141038A TW200737307A (en) 2005-11-04 2006-11-06 Apparatus and process for plasma-enhanced atomic layer deposition
TW095141045A TWI332532B (en) 2005-11-04 2006-11-06 Apparatus and process for plasma-enhanced atomic layer deposition
TW095141027A TWI329136B (en) 2005-11-04 2006-11-06 Apparatus and process for plasma-enhanced atomic layer deposition
TW095141036A TWI331770B (en) 2005-11-04 2006-11-06 Apparatus for plasma-enhanced atomic layer deposition
TW095141025A TWI329135B (en) 2005-11-04 2006-11-06 Apparatus and process for plasma-enhanced atomic layer deposition

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW095141038A TW200737307A (en) 2005-11-04 2006-11-06 Apparatus and process for plasma-enhanced atomic layer deposition
TW095141045A TWI332532B (en) 2005-11-04 2006-11-06 Apparatus and process for plasma-enhanced atomic layer deposition
TW095141027A TWI329136B (en) 2005-11-04 2006-11-06 Apparatus and process for plasma-enhanced atomic layer deposition

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW095141025A TWI329135B (en) 2005-11-04 2006-11-06 Apparatus and process for plasma-enhanced atomic layer deposition

Country Status (5)

Country Link
US (6) US7850779B2 (zh)
KR (1) KR101019293B1 (zh)
CN (1) CN101448977B (zh)
TW (5) TW200737307A (zh)
WO (1) WO2007142690A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成

Families Citing this family (653)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
KR100476556B1 (ko) * 2002-04-11 2005-03-18 삼성전기주식회사 압전트랜스 장치, 압전트랜스 하우징 및 그 제조방법
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR101522725B1 (ko) * 2006-01-19 2015-05-26 에이에스엠 아메리카, 인코포레이티드 고온 원자층 증착용 인렛 매니폴드
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
JP4418027B2 (ja) * 2007-03-28 2010-02-17 キヤノンアネルバ株式会社 真空処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8778079B2 (en) * 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR20100072316A (ko) * 2007-10-19 2010-06-30 엠케이에스 인스트루먼츠, 인코포레이티드 높은 가스 유량 공정을 위한 환형 플라즈마 챔버
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
US8075728B2 (en) * 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
FI123539B (fi) * 2009-02-09 2013-06-28 Beneq Oy ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
AU2010213360B2 (en) * 2009-02-13 2013-11-28 Gallium Enterprises Pty Ltd Plasma deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US20100276764A1 (en) * 2009-05-04 2010-11-04 Yi-Jen Lo Semiconductor structure with selectively deposited tungsten film and method for making the same
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR20180049208A (ko) * 2009-08-31 2018-05-10 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
KR101450015B1 (ko) * 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
US8876975B2 (en) * 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
WO2011066508A2 (en) * 2009-11-30 2011-06-03 Applied Materials, Inc. Chamber for processing hard disk drive substrates
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
CN102934203B (zh) 2010-04-28 2015-09-23 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
DE102010027168A1 (de) * 2010-07-14 2012-01-19 Leybold Optics Gmbh Verfahren und Vorrichtung zur Plasmabehandlung flacher Substrate
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
CN102154630A (zh) * 2010-09-30 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应腔室及其设备、部件的制造方法和处理基片的方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
CN102127756A (zh) * 2011-02-21 2011-07-20 东华大学 一种脉冲调制射频等离子体增强原子层沉积装置及方法
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US9129795B2 (en) 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
EP2525387A1 (en) 2011-05-17 2012-11-21 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
US9448564B2 (en) 2013-02-15 2016-09-20 Reno Technologies, Inc. Gas delivery system for outputting fast square waves of process gas during semiconductor processing
US9690301B2 (en) 2012-09-10 2017-06-27 Reno Technologies, Inc. Pressure based mass flow controller
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
CN103094082A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20130149866A1 (en) * 2011-12-12 2013-06-13 Texas Instruments Incorporated Baffle plate for semiconductor processing apparatus
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) * 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR101397162B1 (ko) * 2012-08-23 2014-05-19 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8609531B1 (en) 2013-03-06 2013-12-17 Globalfoundries Inc. Methods of selectively forming ruthenium liner layer
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR101486937B1 (ko) * 2013-11-15 2015-01-29 코닉이앤씨 주식회사 원자층 증착 장치 및 방법
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9245793B2 (en) 2013-12-19 2016-01-26 Intermolecular, Inc. Plasma treatment of low-K surface to improve barrier deposition
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
KR101535155B1 (ko) * 2014-01-09 2015-07-09 주식회사 유진테크 기판 처리장치
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP2016023971A (ja) * 2014-07-17 2016-02-08 セイコーエプソン株式会社 電子部品搬送装置および電子部品検査装置
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN105336594A (zh) * 2014-07-28 2016-02-17 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160026572A (ko) 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9828673B2 (en) * 2014-09-22 2017-11-28 Svt Associates, Inc. Method of forming very reactive metal layers by a high vacuum plasma enhanced atomic layer deposition system
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
TWI548773B (zh) * 2015-10-14 2016-09-11 財團法人工業技術研究院 氣體分佈裝置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
TWI734770B (zh) * 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US10062563B2 (en) * 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107723790B (zh) * 2016-08-12 2020-07-07 上海新昇半导体科技有限公司 一种外延设备、设备制作方法及外延方法
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
TWI580807B (zh) * 2016-10-28 2017-05-01 財團法人工業技術研究院 蒸鍍設備與利用此設備之蒸鍍方法
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
WO2018093664A1 (en) * 2016-11-21 2018-05-24 Applied Materials, Inc. Two zone flow cooling plate design with concentric or spiral channel for efficient gas distribution assembly cooling
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106756885A (zh) * 2016-12-27 2017-05-31 中国科学院微电子研究所 一种可变电场调制的远程等离子体原子层沉积系统
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108257838B (zh) * 2016-12-29 2020-10-02 中微半导体设备(上海)股份有限公司 带干涉仪的防止等离子体进入内部的气体喷嘴及其工作方法
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN107507759A (zh) * 2017-07-17 2017-12-22 上海华虹宏力半导体制造有限公司 深沟槽外延填充工艺方法
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10626499B2 (en) * 2017-07-28 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition device structure
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR101929405B1 (ko) * 2017-11-08 2019-03-14 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN108048820A (zh) * 2017-12-22 2018-05-18 江苏鲁汶仪器有限公司 气相沉积设备和气相沉积方法
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112335342B (zh) 2018-06-14 2023-07-14 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110952081B (zh) * 2018-09-27 2022-04-29 Imec 非营利协会 用于形成互连部的方法和溶液
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
WO2020086175A1 (en) 2018-10-25 2020-04-30 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11549183B2 (en) * 2019-05-24 2023-01-10 Applied Materials, Inc. Showerhead with inlet mixer
WO2020242817A1 (en) * 2019-05-30 2020-12-03 Applied Materials, Inc. Atomic layer deposition reactor design for uniform flow distribution
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11772058B2 (en) 2019-10-18 2023-10-03 Taiwan Semiconductor Manufacturing Company Limited Gas mixing system for semiconductor fabrication
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
TW202130851A (zh) 2019-10-25 2021-08-16 美商應用材料股份有限公司 電漿增強處理中的rf功率源操作
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11587802B2 (en) * 2019-10-30 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fabrication tool having gas manifold assembled by jig
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
TWI731463B (zh) * 2019-11-06 2021-06-21 聚昌科技股份有限公司 側向擾流式高均勻度感應耦合電漿蝕刻機之製造方法及其結構
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111081525B (zh) 2019-12-31 2021-06-08 江苏鲁汶仪器有限公司 一种阻挡工艺腔室等离子体反流保护进气结构的装置
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
CN112259613A (zh) * 2020-10-26 2021-01-22 上海交通大学 提高锗Ge MOS电容器件性能的方法、系统及设备
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TWI769631B (zh) * 2020-12-21 2022-07-01 天虹科技股份有限公司 原子層沉積裝置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024512898A (ja) 2021-03-03 2024-03-21 アイコール・システムズ・インク マニホールドアセンブリを備える流体流れ制御システム
US11742185B2 (en) * 2021-03-26 2023-08-29 Applied Materials, Inc. Uniform in situ cleaning and deposition
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113690178A (zh) * 2021-08-23 2021-11-23 长江先进存储产业创新中心有限责任公司 金属导电结构的制造方法
KR102579740B1 (ko) * 2021-08-23 2023-09-19 피에스케이 주식회사 기판 처리 장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
US11939668B2 (en) * 2022-04-26 2024-03-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer

Family Cites Families (451)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US601267A (en) * 1898-03-29 Clifton e
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS5898917U (ja) 1981-12-26 1983-07-05 株式会社フジ医療器 椅子式マツサ−ジ機に付設した腕引伸ばし装置
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
JPS6119883A (ja) 1984-07-06 1986-01-28 Asahi Chem Ind Co Ltd 複合構造物
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
JP2555045B2 (ja) * 1987-01-19 1996-11-20 株式会社日立製作所 薄膜形成方法及びその装置
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
US5229081A (en) 1988-02-12 1993-07-20 Regal Joint Co., Ltd. Apparatus for semiconductor process including photo-excitation process
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2680202B2 (ja) 1991-03-20 1997-11-19 国際電気株式会社 気相成長方法及び装置
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
DE4124018C1 (zh) * 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
JPH05234899A (ja) 1991-09-17 1993-09-10 Hitachi Ltd 原子層エピタキシー装置
JP3126787B2 (ja) 1992-01-30 2001-01-22 理化学研究所 成膜方法および成膜装置
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3103186B2 (ja) 1992-03-19 2000-10-23 富士通株式会社 原子層エピタキシー装置および原子層エピタキシー法
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5314727A (en) 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US6323071B1 (en) * 1992-12-04 2001-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor device
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JPH07300649A (ja) 1994-04-27 1995-11-14 Kobe Steel Ltd 耐摩耗性および耐酸化性に優れた硬質皮膜及び高硬度部材
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3901252B2 (ja) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
US6541067B1 (en) 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6074945A (en) 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6517616B2 (en) * 1998-08-27 2003-02-11 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide
US6063705A (en) 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US5962716A (en) 1998-08-27 1999-10-05 Micron Technology, Inc. Methods for preparing ruthenium and osmium compounds
US6520218B1 (en) * 1998-09-03 2003-02-18 Advanced Technology Materials, Inc. Container chemical guard
US6780758B1 (en) 1998-09-03 2004-08-24 Micron Technology, Inc. Method of establishing electrical contact between a semiconductor substrate and a semiconductor device
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP4726369B2 (ja) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 化学蒸着反応炉及びこれを利用した薄膜形成方法
WO2000079019A1 (en) 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6774138B2 (en) 1999-08-31 2004-08-10 Merck & Co., Inc. Thiazolyl(pyridyl)ethyne compounds
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
AU1924101A (en) * 1999-11-22 2001-06-04 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6534404B1 (en) * 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6452338B1 (en) 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100376267B1 (ko) 1999-12-22 2003-03-17 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100389913B1 (ko) 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
CA2374393A1 (en) * 2000-03-23 2001-09-27 Lumigen, Inc. Methods of detecting polynucleotide kinase and its use as a label
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
FI117978B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US7141278B2 (en) * 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
KR100372644B1 (ko) * 2000-06-30 2003-02-17 주식회사 하이닉스반도체 비 휘발성 반도체 메모리 소자의 캐패시터 제조방법
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6455421B1 (en) 2000-07-31 2002-09-24 Applied Materials, Inc. Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
US6440495B1 (en) 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
KR100630666B1 (ko) 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6428847B1 (en) 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
WO2002045871A1 (en) 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
TW511135B (en) 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6346477B1 (en) 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP2002285333A (ja) 2001-03-26 2002-10-03 Hitachi Ltd 半導体装置の製造方法
JP3730962B2 (ja) 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6479100B2 (en) 2001-04-05 2002-11-12 Applied Materials, Inc. CVD ruthenium seed for CVD ruthenium deposition
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
KR100406534B1 (ko) 2001-05-03 2003-11-20 주식회사 하이닉스반도체 루테늄 박막의 제조 방법
KR20020084597A (ko) 2001-05-03 2002-11-09 주식회사 하이닉스반도체 화학적기상증착용 루테늄 전구체 화합물 및 그를 이용한루테늄 박막의 화학적기상증착 방법
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030047138A1 (en) * 2001-09-11 2003-03-13 Ceramoptec Industries, Inc. Spiral gas flow plasma reactor
KR100727372B1 (ko) 2001-09-12 2007-06-12 토소가부시키가이샤 루테늄착체, 그 제조방법 및 박막의 제조방법
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
TW539760B (en) 2001-10-12 2003-07-01 Taiwan Semiconductor Mfg Method of depositing tungsten atomic layer by chemical vapor deposition
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
AU2002343583A1 (en) 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR100805843B1 (ko) 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
JP2003224077A (ja) * 2002-01-30 2003-08-08 Tokyo Electron Ltd プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR20030090305A (ko) * 2002-05-22 2003-11-28 동경엘렉트론코리아(주) 플라즈마 발생장치의 가스 배기용 배플 플레이트
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
WO2004008054A1 (en) 2002-07-15 2004-01-22 Aviza Technology, Inc. Variable heater element for low to high temperature ranges
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7222636B2 (en) 2002-08-20 2007-05-29 Applied Materials, Inc. Electronically actuated valve
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP2004095918A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及び半導体装置の製造方法
JP4188033B2 (ja) 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
JP2004140315A (ja) 2002-10-17 2004-05-13 Samsung Electronics Co Ltd サリサイド工程を用いる半導体素子の製造方法
US6936528B2 (en) 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
JP4579157B2 (ja) * 2003-03-25 2010-11-10 東京エレクトロン株式会社 処理装置及び切り替え機構
KR100485386B1 (ko) 2003-04-08 2005-04-27 삼성전자주식회사 금속막 증착용 조성물 및 이를 이용한 금속막 형성 방법
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
KR101104058B1 (ko) 2003-05-27 2012-01-06 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 장치를 위한 프리커서를 생성하는 방법 및장치
US6911093B2 (en) 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US20050000679A1 (en) 2003-07-01 2005-01-06 Brock James A. Horizontal direct chill casting apparatus and method
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7107998B2 (en) 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP2005314713A (ja) 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude ルテニウム膜またはルテニウム酸化物膜の製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7273814B2 (en) * 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070054487A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7978964B2 (en) 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成

Also Published As

Publication number Publication date
TWI329135B (en) 2010-08-21
KR20080027459A (ko) 2008-03-27
TWI329136B (en) 2010-08-21
TW200737307A (en) 2007-10-01
CN101448977B (zh) 2010-12-15
US20070128863A1 (en) 2007-06-07
US20070119370A1 (en) 2007-05-31
WO2007142690A3 (en) 2008-12-24
US20070128862A1 (en) 2007-06-07
US20070119371A1 (en) 2007-05-31
US7850779B2 (en) 2010-12-14
WO2007142690A2 (en) 2007-12-13
US9032906B2 (en) 2015-05-19
CN101448977A (zh) 2009-06-03
TW200737306A (en) 2007-10-01
TW200732500A (en) 2007-09-01
TWI332532B (en) 2010-11-01
TW200734480A (en) 2007-09-16
US7682946B2 (en) 2010-03-23
US20070128864A1 (en) 2007-06-07
TW200734479A (en) 2007-09-16
KR101019293B1 (ko) 2011-03-07
US20080268171A1 (en) 2008-10-30

Similar Documents

Publication Publication Date Title
TWI331770B (en) Apparatus for plasma-enhanced atomic layer deposition
JP5965955B2 (ja) 原子層堆積装置
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US8765601B2 (en) Post deposition treatments for CVD cobalt films
JP5196915B2 (ja) 金属配線構造用のルテニウム膜の形成方法
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
CN100471984C (zh) 沉积材料层的方法
TWI441939B (zh) 於銅表面上之選擇性鈷沉積
US6720027B2 (en) Cyclical deposition of a variable content titanium silicon nitride layer
US10784157B2 (en) Doped tantalum nitride for copper barrier applications
EP2837022B1 (en) Methods for depositing manganese and manganese nitrides
JP2008124464A (ja) Ru膜および金属配線構造の形成方法
US20100120245A1 (en) Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
TW201907038A (zh) 在互連線金屬化中的釕層沉積方法及裝置
WO2005098938A1 (en) Ruthenium layer formation for copper film deposition
JP2004214622A (ja) ハイブリッド化学処理装置及び方法