TWI239592B - Semiconductor device and its manufacturing method - Google Patents

Semiconductor device and its manufacturing method Download PDF

Info

Publication number
TWI239592B
TWI239592B TW093105725A TW93105725A TWI239592B TW I239592 B TWI239592 B TW I239592B TW 093105725 A TW093105725 A TW 093105725A TW 93105725 A TW93105725 A TW 93105725A TW I239592 B TWI239592 B TW I239592B
Authority
TW
Taiwan
Prior art keywords
insulating film
film
wiring
barrier
semiconductor device
Prior art date
Application number
TW093105725A
Other languages
English (en)
Other versions
TW200425404A (en
Inventor
Junji Noguchi
Takayuki Oshima
Noriko Miura
Kensuke Ishikawa
Tomio Iwasaki
Original Assignee
Renesas Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Tech Corp filed Critical Renesas Tech Corp
Publication of TW200425404A publication Critical patent/TW200425404A/zh
Application granted granted Critical
Publication of TWI239592B publication Critical patent/TWI239592B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D35/00Filtering devices having features not specifically covered by groups B01D24/00 - B01D33/00, or for applications not specifically covered by groups B01D24/00 - B01D33/00; Auxiliary devices for filtration; Filter housing constructions
    • B01D35/30Filter housing constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2201/00Details relating to filtering apparatus
    • B01D2201/30Filter housing constructions
    • B01D2201/309Housings with transparent parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2201/00Details relating to filtering apparatus
    • B01D2201/34Seals or gaskets for filtering elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1239592 玖、發明說明: 【發明所屬之技術領域】 本發明係關於半導體裝置 於具有含主導體膜以銅為主 有效技術。 及其製造方法,特別關於適用 成份者之配線的半導體装置的 【先前技術】 +導體裝置之元件間’例如以多層配線構造連結,構成 的電路。隨著微細化的進展,在配線構造方面,開發出了 埋,型配線構造。埋入型配線構造方面,例如係在絕緣膜 上开V成H線溝槽及開孔等之配線開口部内,藉由鑲嵌 (Damascene)技術(單鑲嵌(Single D_scene)技術及雙镶彼 (D〇uble-Damascene)技術),埋入配線材料而成。 特開2002-43419號公起Φ,姐-士 外u现二裉中,揭不有一種技術,其係於成 為下層配線之Cu層上’形成成為Cu原子擴散防止膜的5一 (P-SiC膜’並在p_SlC膜上形成成為層間絕緣膜的低介電 率層(參照專利文獻1)。 特開2002-270691號公報中,揭示有一種技術,其係在銅 配線形成後’在藉由CMP法形成之平面上,形成由碳化珍 (sic)、氮化矽(SiN)及其混合物(81(^)等形成之5至5〇1^膜 厚的絕緣性阻障膜(參照專利文獻2)。 此外,在非專利文獻1中,揭示有一種技術,係作為阻障 介電體,使用在下層側之α-SiC膜與上層侧之a_SiCN膜之 雙層介電體(參照非專利文獻1)。 專利文獻1
O:\91\91597-940413.DOC 1239592 特開2002-43419號公報 專利文獻2 特開2002-270691號公報 非專利文獻1 C.C. Chiang、M.C. Chen、Z.C. Wu、L.J. Li、S.M. Jang、 C.H. Yu及 M.S, Liang所著之 TDDB Reliability Improvement in
Cu Damascene by using a Bilayer-Structured PECVD SiC Dielectric Barrier,「2002 IITC (International Interconnect Technology Conference)」(美國),IEEE,2002年,第 200至 202 頁。 【發明内容】 依本發明人之探討,發現在具有埋入型銅配線之半導體 裝置中,藉由高溫放置等,因應力遷移(Stress Migration ; SM),埋入型銅配線之電阻會上升。這會降低導致埋入型銅 配線的可靠性。 此外,具有埋入型銅配線之半導體裝置方面,也要求提 升埋入型銅配線之 TDDB(Time Dependence on Dielectric Breakdown;絕緣破壞依時變化特性)壽命。依本發明人之探 討,發現在TDDB壽命測試中,同層之埋入型銅配線相鄰者 間,配線中之銅離子會因配線間之電場而遷移,導致絕緣 破壞。 據此,埋入型銅配線方面,在應力遷移特性之改善及 TDDB壽命之延長等上,要求更進一步的可靠性提升。 本發明之目的方面,在於提供一種半導體裝置及其製造
O:\91\91597-940413.DOC 1239592 方法,其能夠提升包含主導體膜以銅為主成份者之配線的 可靠性。 、本發明之上述及其他目的及新型特徵方面,可由本文所 述之内容及附圖獲得釋明。 關於本申請專利所揭示之發明中具代表性者之概要,簡 單說明如下。 本發明之半導體裝置方面,作為埋入型銅配線之阻障絕 緣層者,乃使用由形成於絕緣膜埋入有銅配線者上對銅具 有優良阻障性的第一阻障絕緣膜及形成於第一阻障絕緣膜 上而與低介電體材料膜間具有優良密接性的第二阻障絕緣 膜所形成之層疊膜。 此外本發明之半導體裝置方面,作為埋入型銅配線之 阻障絕緣層者,乃使用由形成於絕緣膜埋入有銅配線者上 由含有矽及碳原以及氮或氧至少一方之材料所形成的第一 阻障絕緣膜及形成於第一阻障絕緣膜上而由碳化矽所形成 的第二阻障絕緣膜所形成之層疊膜。 此外,本發明之半導體裝置方面,其埋入型銅配線之阻 障絕緣膜中,銅配線與阻障絕緣膜間之界面附近之阻障絕 緣膜的氮;辰度係比阻障絕緣膜之上層的低介電材料膜與阻 障絕緣膜間之界面附近之阻障絕緣膜的氮濃度為高。 此外本發明之半導體裝置方面,藉由在埋入銅配線之 絕緣膜上形成對銅擴散具抑制及防止功能的絕緣膜,並在 該絕緣膜上形成對應力具有抑制或防止功能之絕緣膜,而 含有對銅擴散具有抑制及防止功能之絕緣膜及對應力具有
O:\91\91597-940413.DOC 1239592 控制功能之絕緣膜的層疊膜之應力為_18〇 Mpa以上。 此外,本發明之半導體裝置之製造方法方面,其係於埋 入銅配線之絕緣膜上,形成對銅具有優良阻障性的第一阻 障絕緣膜,於第-阻障絕緣膜上形成對低介電體材料膜具 有優良密接性的第」阻障絕緣膜,於第二尸且障絕緣膜上形 成低介電率材料膜。 此外,本發明之半導體裝置之製造方法方面,其係於埋 入銅配線之絕緣膜上形成由含有矽及碳原以及氮或氧至少 一方之材料所形成的第一阻障絕緣膜,於第一阻障絕緣膜 上形成由碳化矽所形成的第二阻障絕緣膜,並於第二阻障 絕緣膜上形成低介電率材料膜。 此外,本發明之半導體裝置之製造方法方面,其係於埋 入銅配線之絕緣膜上形成阻障絕緣膜,於阻障絕緣膜上形 成低介電率材料膜,而銅配線與阻障絕緣膜間之界面附近 之阻障絕緣膜的氮濃度係比阻障絕緣膜之上層的低介電材 料膜與阻障絕緣膜間之界面附近之阻障絕緣膜的氮濃度為 南〇 【實施方式】 以下内容中,依圖式詳述本發明之實施方式。此外,實 施方式說明用之所有圖式中,具相同功能之組件係以相同 符號予以標示,並省略重複之說明。此外,以下實施方式 中,除有必要外,原則上將不重複對相同或類似部分之說 明。 (實施方式1) O:\91\91597-940413.DOC -10- 1239592 將參妝圖式而說明本實施方式之半導體裝置及其製造步 騍。圖1方面,其係以本發明之一實施方式之半導體裝置(例 如 MISFET (Metal Insulator Semiconductor Field Effect
Transistor;金屬絕緣體半導體場效應晶體管))之製造步驟 中之主要剖面圖。 如圖1所示,例如於由具有1至1 ο Ω cm左右比電阻之p型單 結晶矽所形成之半導體基板(半導體晶圓}1的主面上,形成 有疋件分離區域2。元件分離區域2係由氧化矽所形成,藉 由例如 STI(Shall〇w Trench Is〇lati〇n ; & 溝槽隔離)法或 LOCOS(L〇cal 0xidizati〇n 〇f SiHc〇n;區域性碎氧化)法等 予以形成。 接著半導體基板1之形成有η通道型MISFET之區域内, 形成Ρ型井3。Ρ型井3方面,係藉由以例如硼( 以離子植入而形成。 ” ^接著於Ρ型井表面上,形成閘極絕緣膜4。閑極絕緣 膜4方面,其係由例如薄等氧切膜等所形成,並可藉由例 如熱氧化法等予以形成。 接著,Ρ型井3之閘極絕緣膜4上形成閉極5。例如,可藉 :於半導體基板1±形成多晶矽膜,對該多晶矽膜以磷(二 Μ㈣子植人而形成低電型半導體,並將該多晶攻 版以乾敍刻處理予以圖案化,而形成閘極5。 、接著’藉由對P型井3之閘極5等的兩㈣區域施以磷等雜質 之離子植入,形成n-型半導體區域6。 接著,於閑極5之側壁上’形成有例如氧切等之形成之
O:\91\91597.940413.DOC -11 - i * 1239592 土 板或側壁7。側壁7方面,其係例如於半導體基板i上 叠層出氧切膜,並藉由對該氧化咬膜施以異向性蚀刻處 理而形成。 側壁7形成後,有n+型半導體區域^源極及沒極)藉由例如 對於P型井3之閘極5及側壁7兩側區域施以磷等雜質之離子 植入而开^成。n型半導體區域8方面,其雜質濃度比η.型半 導體區域6高。 接著,使閘極5及η+型半導體區域8之表面暴露,藉由例 如層疊鈷(Co)膜而施以熱處理,使得閘極5&η+型半導體區 域8之表面上,分別形成氧化矽膜5a及氧化矽膜心。藉此, 可將η型半導體區域§之擴散電阻及接觸電阻予以低電阻 化。隨後,去除未反應之鈷膜。 如上述般地,於Ρ型井3上形成η通道型之misfET (Metal
Insulator Semiconductor Field Effect Transistor ;金屬絕緣 體半導體場效應晶體管)9。 接著’於半導體基板1上,依序層疊出由氮化矽等所形成 之絕緣膜10及由氧化矽等所形成之絕緣膜丨丨。然後,依序 對絕緣膜11及絕緣膜1 〇施以乾式蝕刻處理,於n+型半導體 區域(源極及汲極)8之上部等上,形成接觸孔12。接觸孔12 的底邵中’有半導體基板1之主面之一部分(例如,n+型半導 體區域8之一部分)及閘極5之一部分等露出。 接著’在接觸孔12内,形成由鎢(W)等所形成之插塞13。 插塞13方面,其可藉由例如在包含接觸孔12内部之絕緣膜 Π上,形成作為阻障膜之例如氮化鈦膜13a後,將鎢膜以 O:\91\91597-940413.DOC -12- 1239592 CVD(Chemical Vapor Deposition ;化學蒸鍍)法等形成於氮 化鈦膜13a上而掩埋接觸孔12,並將絕緣膜11上不需要之鎢 膜及鼠化鈥膜 13a以 CMP(Chemical Mechanical Polishing ; 化學研磨)法等加以去除而形成。 圖2至圖13方面,所示的為半導體裝置之製造步驟接續圖 1者中之主要部份剖面圖。此外,為了易於了解,圖2至圖 13中’省略了圖1中對應於絕緣膜丨丨以下之構造部分之圖 示。 如圖2所示,於埋設有插塞13之絕緣膜11上形成絕緣膜 (蚀刻停止膜)14。絕緣膜14方面,其係例如由碳化矽(SiC) 膜所形成。絕緣膜14之其他材料也可採用氮化矽(SixNy) 膜。絕緣膜14方面,也可為由碳化矽(SiC)膜及氮化矽(SixNy) 膜所形成之層疊膜構造。絕緣膜14方面,其係為了在對其 上層之絕緣膜(層間絕緣膜)15上藉由蝕刻處理形成配線形 成用溝槽或孔時,防止因為蝕刻過深而致下層損傷,或防 止加工尺寸精度惡化而形成。亦即,絕緣膜14的功能在於 絕緣膜(層間絕緣膜)15受到蝕刻時為蝕刻停止層。 接著,絕緣膜14上會形成絕緣膜(層間絕緣膜)15。絕緣 膜1 5方面,係以例如有機聚合體或有機矽玻璃等之低介電 率材料(所謂Low-K絕緣膜或Low-K材料)形成為佳。此外, 所謂低介電率的絕緣膜(Low-K絕緣膜),舉例來說為具有比 純化膜所含之氧化梦膜(例如TEOS(Tetraethoxysilane ;四乙 氧基矽烷)氧化膜)之介電率低的介電率之絕緣膜。一般而 言,係指介電率為TEOS氧化膜之比介電率ε =4.1至4.2左右 O:\91\91597-940413.DOC -13- 1239592 以下之絕緣膜。 可作為上述低介電率材料的有機聚合體方面,例如有 SiLK(美國Dow Chemical公司製,比介電率=2.7,耐熱溫 度= 490°C以上,絕緣破壞耐壓= 4.0至5.0 MV/Vm)及聚芳 醚(PAE)類材料之 FLARE(美國 Honeywell Electronic Materials公司製,比介電質率=2.8,耐熱溫度=400°以 上)。該PAE類材料方面,基本性能高,其特徵為具有優良 機械強度、熱穩定性及低成本性。作為上述低介電率材料 的有機矽玻璃(SiOC類材料)方面,例如有HSG-R7(日立化成 工業製,比介電率=2.8,耐熱溫度=650 °C )、Black Diamond(美國Applied Materials公司製,比介電率=3_0至 2.4,耐熱溫度=45 0°C )及p-MTES(日立開發製,比介電率 =3.2)。其他的SiOC類材料方面,例如有CORAL(美國 Novel lus Systems公司製,比介電率= 2.7至2·4,耐熱溫度 =500°C )及Aurora2.7(日本ASM公司製,比介電率二2.7,耐 熱溫度= 450°C)。 此外,作為上述低介電率材料,例如可用FSG(SiOF類材 料)、HSQ(hydrogen silsesquioxane)類材料、MSQ(methyl 8118 6 891^0\&1^)類材料、素燒(卩〇]:0118)118(^類材料、素燒]\48(^ 材料或素燒有機類材料。上述HSQ類材料中,例如有OCD T-12(東京應化工業製,比介電率=3.4至2.9,耐熱溫度= 450°C )、FOx(美國 Dow Corning公司製,比介電率=2.9), 及OCL T-32(東京應化工業製,比介電率=2.5,耐熱溫度= 450°C)等。上述MSQ類材料中,例如有OCD Τ-9(東京應化 O:\91\91597-940413.DOC -14- 1239592 工業製,比介電率= 2.7,耐熱溫度=600°C )、LKD-T200(JSR 製,比介電率=2.7至2·5,耐熱溫度=450°C )、HOSP(美國 Honeywell Electronic Materials製,比介電率=2.5,耐熱溫 度=550°C )、HSG-RZ25(日立化成工業製,比介電率=2.5, 耐熱溫度= 650°C)、OCLT-31(東京應化工業製,比介電率 =2.3,耐熱溫度=500°C )及LKD-T400(JSR製,比介電率= 2.2至2,耐熱溫度= 450°C)等。上述素燒HSQ類材料中,例 如有XLK(美國Dow Corning公司製,比介電率=2.5至2)、 OCL T-72(東京應化工業製,比介電率=2·2至1.9,耐熱溫 度=450〇C )、Nanoglass (美國 Honeywell Electronic Material 公司製,比介電率=2·2至丨·8,耐熱溫度=500°C以上)及 MesoELK(美國 Air Productsand Chemicals公司製’比介電率 =2以下)。上述素燒MSQ類材料中,例如有HSG-6211X(曰 立化成工業製,比介電率=2·4,耐熱溫度=650 °C )、 ALCAP-S(旭化成工業製,比介電率=2·3至I·8’耐熱溫度 =450°C )、OCL Τ·77(東京應化工業製,比介電率=2.2至 1.9,耐熱溫度= 600°C)、HSG-6210X(日立化成工業製’比 介電率= 2.1,耐熱溫度=650。〇及silica aerogel(神戶製鋼 所製,比介電率1 · 4至1 · 1)等。上述素燒有機類材料中’例 如有 PolyELK(美國 Air Productsand Chemicals公司製’比介
電率=2以下,耐熱溫度=)等。上述Si〇C類材料及 SiOF類材料方面,例如係藉由cVD法所形成。例如上述 Black Diamond方面,其係由CVD法使用三甲基矽燒與氧氣 之混合氣體者等所形成。此外,上述P-MTES方面’係由CVD
O:\91\91597-940413.DOC -15- 1239592 法使用甲基三乙氧基矽烷與n2o之混合氣體者等所形成。除 此以外之上述低介電率之絕緣材料方面,例如係以佈塗法 所形成。 上述般之Low-K材料所形成之絕緣膜15上,係使用例如 CVD法形成絕緣膜16。絕緣膜16方面,係由例如二氧化矽 (Si〇2)為代表之氧化矽(Si〇x)膜所形成。絕緣膜16之其他材 料方面,也可使用氮氧化矽(Si0N)膜。此外,絕緣膜16方 面’其例如在CMP處理時對絕緣膜15具有機械強度確保、 表面保護及耐濕性確保等之功能。此外,絕緣膜丨5例如由 含有敗(F)之氧化矽膜(Si0F)所形成時,絕緣膜16方面,也 具有防止絕緣膜15中之氟原子擴散等功能。此外,絕緣膜 15例如對CMP步驟具有承受性時等之情況中,也可省略絕 緣膜16之形成。 接著,如圖3所示,利用光刻法或乾蝕刻法,對絕緣膜16、 絕緣膜1 5及絕緣膜14施以選擇性去除而形成開口部(配線 開口邵、配線溝槽)17。此外,於開口部17之底部,會露出 插塞13<上面。隨後,將未圖示的作為蝕刻終止層〇tchi叫 mask)用之光阻圖案(及反射防止膜),藉由灰化處理予以去 除。圪緣膜15為如有機聚合體(例如上述siLK)及素燒有機 類材料(例如上述P〇lyELK)等易受氧電漿處理損傷之材料 時可面對絕緣膜1 5以NH3電漿處理或N2/H2電較處理等 還原性電漿處理予以蚀刻,一面對光阻圖案(及反射防止層) 以灰化處理予以去除。 接著,如圖4所示,於半導體基板丨之主面上之整面(即’
O:\91\91597-940413.DOC -16- 1239592 包含開口邵17之底部及側壁上之絕緣膜16上),形成例如由 氮化鈦(TiN)等所形成之厚度約5〇 nm之較薄的導電性阻障
層1 8導電性阻障膜1 8之成膜方面,可使用丨賤鍍法及CVD 法等導私性阻障膜1 8方面,具有例如能夠抑制或防止後 述 < 王導體膜形成用銅的擴散,也具有提升主導體膜之於 匕绛時之銅之浸潤性的功能。上述般之導電性阻障膜18之 材料方面,除了氮化鈥之外,也可使用幾乎不會與銅反應 〈氮化鎢(WN)或氮化鈕(TaN)等之高融點金屬氮化物。此 外,導電性阻障膜1 8之材料方面,也可使用高融點金屬氮 化物添加矽(Si)的材料,也可使用難以與銅反應之鋁(丁句、 鈦(Ti)、鎢(W)及鈦鎢(Tiw)合金等之高融點金屬。此外,作 為導電性阻障膜1 8,不僅止於上述材料膜之單膜,也可使 用層疊膜。 接著,於導電性阻障膜18上,形成例如厚8〇〇至16〇〇 左右 < 相對較厚由銅形成之主導體膜19。主導體膜19方 面,可利用例如CVD法、濺鍍法或電鍍法等予以形成。此 外,主導體膜丨9可藉由主成份為銅的導體膜形成,例如藉 由銅或銅合金(以以為主成份,例如包含Mg、Ag、Pd、Ti、 Ta A1 Nb Zr或Zn等)予以形成。此外,於導電性阻障膜 18上也可先以濺鍍法等形成相對較薄由銅(或銅合金)等形 成之種晶膜(Seed-Layer),相電㈣等於種晶膜上形成相 對較厚由銅(或銅合金)等形成之主導體膜19。然後,在例如 475 C左右之非氧化性環境(例如氫環境)中,藉由對半導體 基板1施以熱處理而對主導體膜19施以迴焊,而使銅完全緊
O:\91\91597-940413.DOC -17- 1239592 密地充填於開口部17内。 接著,如圖5所示,對主導體膜19及導電性阻障膜“以例 如CMP法予以研磨至絕緣膜16上面露出止。藉由去除絕緣 膜16上之不需要的導電性阻障膜18及主導體膜19而留下於 成為配線開口邵之開口部17内之導電性阻障膜丨8及主導體 膜19,如圖5所示般,可於開口部17内形成由相對較薄之導 電性阻障膜1 8及相對較厚之主導體膜19所形成之配線(第 一層配線)20。形成之配線2〇方面,係經由插塞丨3而電性連 接於η型半導體區域(源極及汲極)8及閘極$。或者,可藉由 蝕刻(電解蝕刻)處理,去除不需要的導電性阻障膜18及主導 體膜19。 接著’藉由將半導體基板1配置於電漿Cvd裝置之處理 1,並導入氨氣,施加電漿電源,以對半導體基板丨(特別 為有配線20露出之CMP面)施以氨氣(ΝΗ3)電漿處理。或 者,導入%氣體及Η:氣體,施以nvH2電漿處理。藉由上述 般之還原性電漿處理,可還原因CMp而氧化之銅配線表面 之氧化銅(CuO、ChO及Cu〇2),進一步於配線2〇表面(非常 薄的區域)上形成氮化銅(CllN)。 接著,視需要予以洗淨後,如圖6所示,於半導體基板工 主面之整面上,將絕緣膜21及絕緣膜22依序以例如電漿 CVD法等予以形成。亦即,於絕緣膜16包含配線2〇上面上 者上,依順形成絕緣膜21及絕緣膜22。 絶緣膜2 1方面,其功能在於作為銅配線之阻障絕緣膜。 接著,絕緣膜21方面,則抑制或防止配線2〇之主導體膜i 9
O:\91\91597-940413.DOC -18- 1239592 中的銅擴散至隨後形成之絕緣膜(層間絕緣膜)23。絕緣膜21 方面,係使用對銅阻障性優良(抑制或防止銅擴散的功能高) 的材料膜為佳,例如偏好使用碳氮化矽(SiCN)膜。成為絕 緣膜21之碳氮化矽(SiCN)膜方面,其可藉由例如使用三甲 基碎燒氣體及氨氣之電漿CVD法予以形成。碳氮化碎(SiCN) 膜方面’對銅之阻障性優良,洩漏電流低,耐壓性也高, 因此,可確實防止配線2〇之主導體膜19中之銅擴散。 本實施方式中,會於絕緣膜2 1上形成絕緣膜22。絕緣膜 22方面’如圖7所示’係採用材料膜對絕緣膜22上形成之絕 緣膜(低介電率材料膜)23具有優良密接性者為佳。亦即,絕 緣膜22具有接著層之功能。成為絕緣膜22之碳化碎(yc)膜 方面,可藉例如使用三甲基矽烷之電漿CVD法予以形成。 因此’絕緣膜21及絕緣膜22能以相同的電漿CVD成膜裝置 而切換成膜氣體予以形成,得以抑制製造步驟數。絕緣膜 22(SiC)也對銅(Cu)具有阻障性,然而,其效果比絕緣膜 21(SiCN)之阻障性小。亦即,本實施方式中,絕緣膜21之 於銅的阻障性方面,大於絕緣膜22之於銅之阻障性。此外, 絕緣膜22與絕緣膜(低介電率材料膜)23間之密接性(接著性) 方面’係比於(未形成絕緣膜22的情況下之)絕緣膜21上形成 絕緣膜23時之絕緣膜2 1與絕緣膜23間之密接性(接著性)為 大。 以下’本實施方式中,基於方便,上述絕緣膜2丨及絕緣 膜22有時會分別稱為第一阻障絕緣膜及第二阻障絕緣膜。 以未含氮及碳之碳化矽(SiC)膜形成絕緣膜22時,絕緣膜
O:\91\91597-940413.DOC -19· 1239592 22與後述之絕緣膜23間之密接性(接著性)會提升。此外,由 於絕緣膜22也對銅具有障性(抑制或防止銅擴散之功能),因 此’藉由於絕緣膜21上形成絕緣膜22,可確實地防止配線 20之主導體膜19中之銅擴散。此外,絕緣膜21及絕緣膜22 中’將連接配線20之絕緣膜21以對銅之阻障性較為優良之 材料膜(例如碳氮化矽(SiCN)膜)予以形成後,即便在對銅之 阻障性比絕緣膜21稍低,作為層間絕緣膜藉由對絕緣膜23 之密接性(接著性)更為優良之材料膜(例如碳化矽(sic) 膜),在絕緣膜21與絕緣膜23間形成絕緣膜22的話,可確實 防止配線20之主導體膜19中之銅擴散,且確實提升膜(絕緣 膜)間之密接性。據此,藉由疊層出絕緣膜2丨(第一阻障絕緣 膜)及絕緣膜22(第二阻障絕緣膜),絕緣膜2丨係形成於配線 20側而絕緣膜22形成於絕緣膜23側,可使配線之可靠性更 為提升。 此外,使成為下層之阻障絕緣膜(第一阻障絕緣膜)之絕 緣膜21的膜厚比成為上層之阻障絕緣膜(第二阻障絕緣膜) 之絕緣膜22的膜厚厚為佳。藉由使對銅之阻障性相對較大 (或耐壓相對較高)之絕緣膜2 1的膜厚比絕緣膜22的膜厚為 厚,可提升配線20之主導體膜19中之銅擴散防止功能,且 可提升由絕緣膜21及絕緣膜22所形成之層疊膜整體的耐 壓。此外,絕緣膜21之膜厚為40 nm&下為佳,例如25至 30 nm左右為更佳。藉此,可確保對銅之高阻障性的同時, 也可減少配線間電容。此外,絕緣膜22之膜厚若為1〇 〇瓜以 下則更佳,例如若為5〜1〇 nm程度則更佳。藉此,可確保 O:\91\91597-940413.DOC -20- 1239592 絕緣膜22與絕緣膜23間的密合性,並且亦可減少配線間電 容。 此外,作為絕緣膜21,如上述,銅之阻障性佳,使用耐 壓高的碳氮化矽(SiCN)膜,可更正確防止配線2〇之主導體 膜19中之銅擴散,提高配線的可靠性。 此外,作為其他形態,於絕緣膜21之材料,亦可添加氧 於碳氮化矽(SiCN)之膜(SiC0N膜)或添加氧(〇)於碳化矽 (SiC)之膜(SiOC膜)等。藉此,可縮小絕緣膜21之介電常數, 而可減少配線間電容。 此外,可使配線間之洩漏電流會更進一步減低。因此, 絕緣膜2 1之材料方面,可使用包含矽及碳以及氮或氧之一 者的材料。絕緣膜21採用碳氮化矽(SiCN)膜時,可進一步 提高銅擴散防止功能,也可進一步提高配線之耐壓性,絕 緣膜21使用碳氮化矽(SiCN)添加氧之膜(3冗〇]^膜)或碳氮 化矽(SiC)添加氧(0)之膜(si〇c膜)時,配線間電容會進一步 降低,洩漏電流也會進一步減少。 接下來,如圖7所示,絕緣膜22上,依序形成絕緣膜(層 間絕緣膜)23、絕緣膜(蝕刻終止膜)24、絕緣膜(層間絕緣 膜)25、絕緣膜(CMP保護膜)26及絕緣膜(硬罩層)27。絕緣 月旲(層間絕緣膜)23方面,可利用與上述絕緣膜15相同之材料 (低介電率材料)予以形成,可藉由塗佈法或cvd法等形成。 絕緣膜(蝕刻終止膜)24方面,可藉由與上述絕緣膜16相同之 材料(例如氧化矽膜)予以形成。絕緣膜(層間絕緣膜)25方 面可藉由與上述絕緣膜15相同之材料(低介電率材料)予以
O:\91\91597-940413.DOC -21- 1239592 形成,可藉由塗佈法或CVD法等予以形成。絕緣膜(CMp保 護膜)26方面,可利用上述絕緣膜1 6相同之材料(例如氧化咬 膜)予以形成。絕緣膜26方面,例如在CMP處理時,具有絕 緣膜25之機械強度之確保、表面保護及耐濕性之確保等的 功能,然而,絕緣膜25例如在CMP步騾中具有耐性時等的 情況中,可省略絕緣膜26之形成。絕緣膜(硬罩層)27方面, 例如可藉由氮化矽膜(SiN)、碳化矽(SiC)膜、碳氮化矽(SiCN) 膜或氧化鋁(A10)膜予以形成。 絕緣膜23係由上述般之低介電率材料所形成,然而,例 如使用碳化硬(SiC)添加氮(N)或氧(〇)之材料膜(例如mcn 膜、SiOC膜或SiCON膜)般含氮(N)或氧(〇)之材料膜(對應絕 緣膜21之膜)上,形成上述般之低介電率材料膜時,底膜(含 氮(N)或氧(〇)的材料膜)與低介電率材料膜間之密接性(接 著性)恐有下降之虞。據此,恐會導致膜間剝離等,特別在 連接上層配線與下層配線之通孔底部產生剥離時,可能會 有配線可靠性遭降之虞。上述般之現象,雖然上述低介電 率材料整體均會產生’然而,以低介電率材料而言,使用 含有矽(Si)及氧(〇)及碳(C)的材料時會顯著發生,而例如氧 碳化矽(有機矽玻璃、Si0C類材料、例如上述mack Diam〇nd 等)MSQ(甲基♦故鹽類,methyisiiseSqUi〇xane)類材料或 HSQ(氫基碎酸鹽類;Hydr〇gensiisesqui〇x⑽㊁)類材料(包括 其他的素燒材料)作為低介電率材料時會更顯著。 本實施方式中,由未含有氮(N)或氧(〇)之碳化矽(sic)膜 所形成絕緣膜22上,會形成由低介電率材料形成之絕緣膜
O:\9I\91597-940413.DOC -22- 1239592 23 ’因此,可提升底層之絕緣膜22及由低介電率材料所形 成之絕緣膜23間之密接性(接著性)。為此,即便絕緣膜23 之材料係使用低介電率時,特別為使用含有矽(Si)、氧 及碳(c)之低介電率材料時,可防止絕緣膜23由底層(絕緣膜 22)剝離的情況。因此,可提升配線之可靠性。 接著,如圖8所示,利用光蝕刻法在絕緣膜27上,形成光 阻圖案28。由此,如圖9所示,以光阻圖案28作為蝕刻遮罩, 對絕緣膜27施以乾式蝕刻。藉此,於絕緣膜27上形成開口 邵29。開口邵29方面,係形成於配線溝槽之形成預定區域。 其後,去除光阻圖案28。 接著,如圖10所示,為掩埋開口部29而於絕緣膜27上形 成光阻膜’藉由對該光阻膜予以曝光及顯像而圖案化,形 成光阻圖案30。由此,以光阻圖案3〇作為蝕刻遮罩,對絕 緣膜26、絕緣膜25、絕緣膜24及絕緣膜23施以乾蝕刻處理。 藉此,絕緣膜23至26上會形成開口部31。開口部31方面, 則係形成於通孔之形成預定區域。因此,開口部3丨之平面 區域係包含於開口部29之平面區域。此外,該乾蝕刻處理 之際,藉由以絕緣膜22(及絕緣膜2 1)作為姓刻終止膜,使開 口 4 3 1之底邵殘留有絕緣膜22及絕緣膜2丨,可避免配線 炙上面暴露。藉此,可防止配線2〇上面之自然氧化膜之形 成。此外’藉由乾蝕刻,可防止配線2〇之主導體膜19之銅 飛散。隨後,去除光阻圖案3〇。 接著,如圖11所示,以絕緣膜27作為蝕刻遮罩(硬罩),對 系巴緣膜26及絕緣膜25施以乾蝕刻處理。藉此,於絕緣膜24
O:\91\91597-940413.DOC -23 - 1239592 至27形成開口部29。該乾蝕刻處理之際,由於開口部”底 彳存在(¾田)有絕緣膜2丨及22,可防止配線受到蝕刻。 接者,如圖12所示,於開口部29底部,#由乾韻刻處理 去除絕緣膜24,並於開口部31底部,藉由乾㈣處理去除 絕緣膜22及絕緣膜21。藉此,開口部31之底部上,配線2〇 曰被暴硌出來。接著,藉由乾敍刻處理去除絕緣膜π。絕 緣膜27方面,則可利用於開口部31底部去除絕緣膜“及絕 緣腠21<步驟相同之乾蝕刻步驟或其他不同的乾蝕刻步驟 去除。去除絕緣膜27後所殘留之絕緣膜26方面,具有可作 為CMP工程之保護膜等之功能。 接著,藉由去除於開口部31底部暴露出來之配線2〇(下層 銅配線)之表面上形成之氧化銅,對配線2〇暴露出來之上面 施以清潔(洗淨)處理。上述可藉由例如氫氣(仏)電漿處理般 之暹原性電漿處理,而使銅配線表面之氧化銅、 Cu20、Cu02)還原成銅(Cu)。 接著,如圖13所示,於包含開口部29及開口部31之底部 及侧面的纟巴緣膜26上,以同樣的手法形成以導電性阻障膜 1 8相同之材料形成之導電性阻障膜32後,於導電性阻障膜 32上,為了掩埋開口部29及開口部3丨内,以同樣的手法形 成由主導體膜19相同材料所形成之主導體膜33,然後,對 王導體膜33及導電性阻障膜32以例如CMP法予以研磨直至 絕緣膜26上面露出為止。藉由將絕緣膜26上不需要之導電 性阻障膜32及主導體膜33予以去除而於成為配線開口部之 開口部29及31内留下導電性阻障膜32及主導體膜33,如圖
O:\91\91597-940413.DOC -24- 1239592 13所π-般’冑由相對較薄之導電性阻障膜^及相對較厚 之主導體膜33所形成之配線(第二層配線)34形成於開口部 29及開口部31内。開口部(配線溝槽)29内埋設之由導電性阻 障膜32及主導體膜33所形成之配線部方面,其係經由埋設 於開口部(通孔m之由導電性阻障膜32及主導體膜33所形 成之通孔部而與成為下層配線之配線2〇電性連接。 本實施方式中,由低介電率材料所形成之絕緣膜15、23、 25上形成有由氧化矽(或氧氮化矽)等所形成之絕緣膜η、 24、26。作為其他方式,當例如絕緣膜15、23、乃係由易 文氧氣電漿損傷之低介電率材料所形成時等,也可於絕緣 膜15、23、25上,形成並非使用氧氣(ο?)電漿等之氧化性 電漿的情況下所形成之薄的絕緣膜(例如,碳化矽(Sic)膜) 後,於其上形成絕緣膜16、24、26。圖14方面,其係其他 方式之半導體裝置之製造步驟中之主要部分剖面圖,對應 於圖13。圖14中,在由低介電率材料所形成之絕緣膜15、 23、25上,形成並非氧氣(〇2)電漿等之氧化性電漿所形成 <薄的絕緣膜,例如形成由碳化矽(Sic)膜所形成之絕緣膜 16a、24a、26a,於絕緣膜16a、24a、26a上形成由氧化矽(或 氮氧化碎)等所形成之絕緣膜16、24、26。藉此,可防止絕 緣膜15、23、25之損傷(變質),提升絕緣膜15、23、25與絕 緣膜16、24、26間之密接性。 圖15及圖16方面,其所示的為接續圖13之半導體裝置之 氣4步驟中之主要邵分剖面圖。此外,在圖1 5及圖16中, 均將對應於圖1之絕緣膜11以下構造之部分予以省略。 O:\91\91597-940413.DOC -25- 1239592 得到圖13所示之構造後,將半導體基板1配置於電漿cvd 裝置之處理室内’藉由導入氨氣而施加電漿電源,對半導 體基板1(特別為配線34露出之CMP面),施以氨(NH3)電漿處 理。或者,導入N2氣體及Η:氣體而施以n2/H2電漿處理。藉 由上述般之還原性電漿處理,將受CMP氧化之銅配線表面 的氧化銅(CuO、Cu20、Cu02)還原成銅(Cu),並進一步地, 使氮化銅(CuN)層形成於配線20之表面(極薄之區域)。 接著,視必要予以洗淨後,如圖1 5所示,於半導體基板1 主面的整面上,將由絕緣膜21及絕緣膜22所用之相同材料 形成且具有相同功能之絕緣膜(第一阻障絕緣膜)35及絕緣 膜(第二阻障絕緣膜)36以同樣之手法依序予以形成。亦即, 包含配線34上面之絕緣膜26上,依序形成絕緣膜35及絕緣 膜36。藉此,不僅可確實防止配線34之主導體膜33中之銅 的擴散,並且也可提升與絕緣膜36上形成之絕緣膜37(層間 絕緣膜及低介電率材料膜)間之密接性。 接著,如圖16所示,於絕緣膜36上,如同絕緣膜23、24、 25、26般地形成絕緣膜(低介電率材料膜)37、絕緣膜(氧化 石夕膜)38、絕緣膜(低介電率材料膜)39及絕緣膜(氧化矽 膜)40,如同開口部29及開口部31般地形成於絕緣膜38、 39、40上之開口部(配線溝槽)41及於絕緣膜35、36、37上之 開口邵(通孔)42後,如同配線34般地,形成掩埋開口部41 及開口部42而由導電性阻障膜43及主導體膜(銅膜所形 成之配線(第三層配線)45。隨後,雖然可於包含配線45上面 之絕緣膜40上,如同上述絕緣膜21及絕緣膜22般地形成阻 O:\91\91597-940413.DOC -26- 1239592 障絕緣膜,並於阻障絕緣膜上形成層間絕緣膜(低介電率材 料膜)等而進一步形成上層配線,然而,在此省略其說明。 依本發明人之探討,發現在半導體裝置具有埋入型銅配 線者中,藉由高溫放置等,會因為應力遷移而致使埋入型 銅配線之電阻上升。在此時,下層埋入型銅配線之上面= 上層埋入型銅配線之通孔間,會形成空隙或空洞。因此, 下層埋入型銅配線與上層埋入型銅配線間之連接面積會減 少’致電阻上升。此外,藉由空洞之形成,下層埋入型銅 配線與上層埋入型銅配線間恐有產生斷線之虞。上述會降 低配線之可靠性,減低半導體裝置在製造上之良率,增加 製造成本。 ’也要求提升 °n Dielectric 此外,半導體裝置具埋入型銅配線者方面 埋入型銅配線之TDDB(Time Dependence
Breakdown)壽命。此外,所謂TDDB壽命,係指對絕緣破壞 之時間依存性予以客觀性測量之度量,以指定溫度(例如 140°C)之測定條件下,於電極間施以較高之電壓,以電壓 施加至絕緣破壞止之時間依對應之電場強度進行圖表製 作,而由該圖表,依使用電場強度(例如〇2Mv/cm)以外插 方式汁彳于 < 時間(壽命)之謂。依本發明人之探討,於 壽命試驗中,發現同層之埋入型銅配線相鄰者間,配線中 之銅離子會因為配線間之電場而遷移,導致絕緣破壞。 因此,應同時兼顧埋入型銅配線之因應力遷移之惡化防 止及TDDB壽命之延長。 本實施方式中,於上層銅配線(例如配線34)之通孔部(例
O:\91\91597-940413.DOC -27- 1239592 如埋入開口部31中之導電性阻障膜32及主導體膜33)之底 邵附近’阻障絕緣膜被覆於下層銅配線(例如配線20)之上面 者係用第一阻障絕緣膜(例如絕緣膜2 1)及第二阻障絕緣膜 (例如絕緣膜22)。下層側之第一阻障絕緣膜(例如絕緣膜21) 乃用銅之阻障性優良的材料膜(例如碳氮化矽(SiCN)膜),上 層側之第二阻障絕緣膜(例如絕緣膜22)乃用對層間絕緣膜 (低介電率材料膜,例如絕緣膜23)之密接性優良的材料膜 (例如碳化矽(Sic)膜)。藉此,不僅可防止銅配線(例如配線 2〇)之銅的擴散,也可提升阻障絕緣膜(例如絕緣膜2丨、22) 與層間絕緣膜(低介電率材料膜,例如絕緣膜23)間之密接 性。 圖17方面,其係以埋入型銅配線之TDDB壽命試驗之結果 為示之圖表。圖17之圖表的橫軸係對應於配線間施加之電 %強度’縱軸則係對應於電壓施加至絕緣破壞止之時間。 由該圖表,依實際的使用電場強度(例如〇·2 MV/cm),以外 插法求出之時間(壽命),可視為TDDB壽命。 圖1 7中’不僅記載有如本實施方式所示般第一阻障絕緣 膜(下層)採用膜厚25 nm碳氮化矽(SiCN)膜而第二阻障絕緣 膜(上層)採用膜厚25 nm碳化矽(SiC)膜時之情況(對應於圖 17圖表中之白色圓圈),也記載有:第一比較例,阻障絕緣 膜採用膜厚50 nm碳氮化矽(SiCN)膜之單層的情沉(對應於 圖17圖表中之白色四角形,相較於本實施方式,相當於省 略第二阻障絕緣膜形成的情況);第二比較例,阻障絕緣膜 採用膜厚50 nm碳化矽(SiC)膜之單層的情況(對應於圖17圖 O:\91\91597-940413.DOC -28- 1239592 表中之黑色四角形,相較於本實施方式,相當於省略第一 阻障絕緣膜形成的情況);及第三比較例,第一阻障絕緣膜 (下層)採用膜厚25 nm碳化矽(SiC)膜而第二阻障絕緣膜(上 層)採用膜厚25 nm碳氮化矽(SiCN)膜時之情況(對應於圖17 Θ表中之黑色圓圈’相較於本實施方式,相當於第一阻障 絕緣膜及第二阻障絕緣膜)。 由圖17之圖表可知,本實施方式中,由於將阻障絕緣膜 採以稱層疊構造,且接觸埋入型銅配線之第一阻障絕緣膜 使用對鋼之阻障性優良的碳氮化矽(siCN),因此,可確實 抑制或防止配線中之銅的擴散,使配線之TDDB壽命較長 (較良好)。另一方面,接觸埋入型銅配線之第一阻障絕緣膜 使用對銅之阻障性相對較差的碳化矽(Sic)時(對應於上述 第二比較例及第三比較例),配線中之銅易於擴散,致配線 之丁DDB壽命惡化。據此,如[非專利文獻1]所示,相較於 接觸埋入型銅配線之阻障絕緣膜使用碳化矽(Sic)膜之情 況,使用對銅之阻障性相對較優之氮化矽(siCN)膜,可提 升配線之TDDB壽命。 圖18至20方面,其係以埋入型銅配線之高溫放置試驗(例 如以150°C放置100小時)後之電阻上升為示之圖表。圖“至 圖20之圖表的橫軸對應於高溫放置試驗後之埋入型銅配線 <電阻的變化或上升率(以高溫放置試驗前之電阻為基準 的電阻增加率),圖15至圖20之圖表的縱軸對應於累積分布 或累積百分比(Cumulative Probability)。圖1 8方面,作為埋 入型銅配線之阻障絕緣膜,如本實施方式般,則對應於使
O:\91\91597-940413.DOC -29- 1239592 用由碳氮化硬(SiCN)膜(在此膜厚為25 nm)之第一阻障膜 (下層)及其上之碳化矽(SiC)膜(在此膜厚25 nm)之第二阻障 層(上層)所形成之層疊膜的情況。此外,圖19方面,作為埋 入型銅配線之阻障絕緣膜,乃對應於上述第一比較例使用 膜厚50 nm之碳氮化矽(SiCN)膜之單層的情況。此外,圖2〇 方面,作為埋入型銅配線之阻障絕緣膜,乃對應於上述第 二比較例使用膜厚50 nm之碳化矽(SiC)膜之單層的情況。此 外,圖18至圖20方面,乃分別對配線寬度值做各種變更下 進行測试’並依結果繪製而成。 由圖18至圖20可知,藉由高溫放置,埋入型銅配線之電 _ 阻上升。此外,圖18至圖20的各圖中,藉由加寬配線寬度, 埋入型銅配線之電阻的上升率有增大的趨勢。 作為阻障絕緣膜者使用低介電率材料膜之密接性相對較 低之碳氮化矽(SiCN)膜,而於碳氮化矽(SiCN)膜上作為層 間絕緣膜者而形成低介電率材料膜的上述第一比較例的情 況方面,如圖19所示,因高溫放置而致埋入型銅配線之電 阻之上升率大。其原因可能在於:成為阻障絕緣膜之碳氮春 化夕(SiCN)膜上作為層間絕緣膜形成低介電率材料膜時, 於上層銅配線之通孔部之底部附近’阻障絕緣膜與低介電 率間 < 密接性(接著性)會降低,而易因應力遷移產生 故。 κ 、’於此’本實施方式中,如圖丨8所示,可抑制因高溫 放置所致之埋入型銅配線之電阻上升,抑制或防止應 移所造成的不良發生。 、
O:\91\91597.940413.DOC -30- 1239592 本貫施万式中,阻障絕緣膜係採層疊構造,藉由連接於 低介電率材料膜側之第二阻障絕緣膜使用對低介電率材料 始、接性優良的膜(碳切(Sic)膜),可提升阻障絕緣膜 (例如絕緣膜2丨、22)與層間絕緣膜(低介電率材料膜,例如 絕緣膜23)間之密接性,因此,上層銅配線(例如配線3句之 通孔部(例如埋設於開口部31之導電性阻障膜32及主導體 膜33)之底部附近的阻障絕緣膜(例如絕緣膜21、22)與層間 絕緣膜(例如絕緣膜23)間之密接性(接著強度)會獲致提 升,可抑制或防止上述般之應力遷移所致之不良,例如上 層銅配、,泉(例如配線3 4)之通孔邵與下層銅配線(例如配線2 〇) 上面間發生空隙或空洞及上層銅配線(例如配線34)及下層 銅配線(例如配線2 0 )間之電阻上升等。 此外’上述般之效果方面,下層銅配線適用配線2〇且上 層銅配線適用配線3 4的情況、下層銅配線適用配線3 4且上 層銅配線適用配線45的情況及下層銅配線適用配線45且上 層銅配線適用配線45之更上層之配線(未圖示)的情況均可 得到。 本實施方式中,可兼顧銅配線與阻障絕緣膜間之銅阻障 性(銅擴散之防止)之提升及阻障絕緣膜與層間絕緣膜(低介 電率材料膜)間之密接性之提升,進而實現配線TDDB壽命 之提升及應力遷移特性之提升。藉此,可提高配線之可靠 性。此外,也可提升半導體裝置之製造產能,減低製造成 本。 (第二實施方式) O:\91\91597-940413.DOC -31 - 1239592 圖21及圖22方面,乃本發明之其他實施方式之半導體裝 置义製造步驟中的主要部分剖面圖。由於至圖5之製造步驟 係同於上述第一實施方式’因此,在此省略其說明,僅對 接'%圖5〈製造步驟予以說明。此外,圖21及圖以,均省 略了對應於圖1之絕緣膜11以下構造部分的圖示。 得到圖5所示之構造後,如同上述第一實施方式,施以還 原性電衆處理,並進一步視需要施以洗淨後,如圖21所示 般,於半導體基板丨之主面整面上,以例如電漿法等形 成絕緣膜(阻障絕緣膜)51。亦即,於包含配線2〇上面之絕緣 膜16上,形成絕緣膜5 1。 絕緣膜51方面,雖然藉由碳氮化矽(SiCN)膜形成,然而, 絕緣膜51中之厚度方向之氮(N)濃度分布係如後述般地不 均勻。 接著,如圖22所示,於絕緣膜51上,如同上述第一實施 方式般,形成絕緣膜23、24、25、26、27。 圖23方面,其係以絕緣膜5丨之厚度方向(半導體基板1主 面的垂直方向)上之氮(N)濃度分布為示之模式性圖表。圖 23之圖表的橫軸方面,其對應於絕緣膜5丨之下層之配線 2〇(或絕緣膜1 6)之上部區域至絕緣膜5丨之上層之絕緣膜 的下部區域止之厚度(膜厚)力向的位置(arbhrary;任意 早位),而圖23之圖表之縱軸方面,則對應於膜中之氮 濃度(arbitrary unit ;任意單位)。 如圖23之圖表所示,本實施方式中,配線2〇與絕緣膜^ 間之界面附近區域中之絕緣膜51之氮(N)濃度方面,係比絕
O:\91\91597-9404I3.DOC -32- 1239592 緣膜:5 1及其上層之絶緣膜2 3間之界面卩朴,+ 、 曲附近區域中 < 絕緣膜 5 1的氮(N)濃度大。例如,絕緣膜$〗由 豕腺51中,具有下部(配線20 或絕緣膜16側)之南氮(N)濃度區域5〗只芬甘l、/ 匕$5la及其上邵(絕緣膜23 側)之低氮(N)濃度區域51b。 絕緣膜5i方面,例如可藉由CVD法使用氮氣者予以連續 形成。例如,可於絕緣膜51之成膜初始階段,將導入成膜 裝置之氮氣流量設定成相對較多而形成高氮濃度區域5ia 之絕緣膜51,並於絕緣膜51之成膜後期階段,將導入成膜 裝置之氮氣流量設定成相對較少而形成低氮濃度區域5ib 之絕緣膜51。此外,於絕緣膜51之成膜後期階段,也可停 止對成膜裝置纟氮氣導人(流量為朴使得絕賴51之低氮 ;辰度區域5 1 b中之氮氣濃度變得非常地小(或為零)。 碳氮化矽(SiCN)膜方面,當升高氮氣濃度時,對銅之阻 障性(抑制或防止銅之擴散的功能)會提升,並且也可提升耐 壓。另一方面,碳氮化矽(SiCN)膜方面,當降低氮氣濃度 時,對於低介電率材料膜之密接性(接著性)會獲致提升。於 氮濃度高的碳氮化矽(SiCN)膜上形成低介電率材料膜時, 底膜(氮濃度咼的膜)與低介電率材料膜間之密接性(接著性) 恐有下降之虞。據此,膜間恐有發生剝離之虞,致配線可 罪性降低。上述之現象方面,雖然可見於上述低介電率材 料整體,然而,作為低介電率材料,以使用含有矽(Si)、氧 (0)及碳(C)之材料時之情況為顯著,例如使用碳氧化矽(有 機石夕玻璃、Sioc類材料及例如上述Black Diamond等)、 MSQ(甲基碎臥鹽類;methyi suseSqUi〇xane)類材料或
O:\91\91597-940413.DOC -33 - 1239592 HSQ(氫基石夕鹽類;Hydr〇gensiisesqui〇xane)類材料(包括 其他的素燒材科)作為低介電率材料時會更顯著。 本只如方式中,將與碳氮化碎(SiCN)所形成之絕緣膜5工 之配線20相接觸之區域作為高氮(N)濃度區域51&,可將配 線20之銅的擴散確實侷限於絕緣膜51,藉由絕緣膜51之連 接於絕緣膜(低介電率材料膜)23之區域使用低氮(N)濃度區 域5 1 b,可長:升、纟s緣膜5 1與絕緣膜(低介電率材料膜)23間之 密接性。如此一般,藉由使配線20與絕緣膜5 1間之界面附 近區域之絕緣膜5 1的氮(N)濃度大於絕緣膜5丨與其上層之 絕緣膜23間之界面附近區域之絕緣膜51的氮濃度,可兼 顧到絕緣膜5 1對銅之阻障性及與上層之低介電率材料膜間 之密接性。此外,由於絕緣膜51之連接於絕緣膜(低介電率 材料膜)23之區域係以碳氮化矽(SiCN)構成,因此,阻障絕 緣膜5 1整體之對銅的擴散防止功能及耐壓性可進一步提 高。 圖24及圖25方面,乃以接續圖22之半導體裝置之製造步 驟中之主要邵分剖面圖。此外,圖24及圖25中,包封省略 了圖1之對應於絕緣膜11以下構造之部分的圖示。 得到圖22之構造後,施以上述第一實施方式之圖8至圖13 所對應之步驟相同的步驟等,形成開口部29及開口部3 1及 埋設於此之配線34,得到圖24之構造。接著,如圖25所示, 將由絕緣膜5 1相同材料形成且具有同樣之氮(N)濃度分布 的絕緣膜5 2作為阻障絕緣膜’形成於包含配線3 *上面之絕 緣膜2 6。並且’於成為阻障絕緣膜之絕緣膜5 2上,如同上 O:\91\91597-940413.DOC -34- 1239592 C弟 只知方式’形成絕緣膜37、38、39、4〇,形成開口 邵41、42,形成由埋設於開口部41、42之導電性阻障膜43 及銅之主導體膜44所形成的配線45。接著,雖然會於包含 配線45上面之絕緣膜40上,如同絕緣膜51般地形成阻障絕 緣膜,並於阻障絕緣膜上形成層間絕緣膜(低介電率材料膜) 而進一步形成上層配線,然而,在此省略其說明。 本實施方式中,上層銅配線(配線34)之通孔部(埋設於開 口部31之導電性阻障膜32及主導體膜33)的底部附近,被覆 於下層銅配線(配線2 〇)上面而作為阻障絕緣膜者係使用絕 緣膜51。絕緣膜51方面,雖然由碳氮化矽(SiCN)膜所形成, 然而,膜厚方向之氮(N)濃度分布並不均勻。本實施方式 中,配線20與絕緣膜51間之界面附近區域之絕緣膜5ι的氮 (N)濃度大於絕緣膜51與其上層之絕緣膜23間之界面附近 區域之絕緣膜51的氮(N)濃度。因此,可兼顧到銅配線(配 線20)與阻障絕緣膜(絕緣膜51)間對銅之阻障性(銅之擴散 防止)之提升及阻障絕緣膜(絕緣膜51)與層間絕緣膜(低介 電率材料膜,絕緣膜23)間之密接性之提升。上述之效果方 面,均可見於絕緣膜51成為配線20之阻障絕緣膜者、絕緣 膜52成為配線34之阻障絕緣膜者及配線以之阻障絕緣膜 (未圖示)。為此,可實現配線之TDDB壽命之提升及應力遷 移特性之提升。藉此,可提高配線的可靠性。此外,也可 提升半導體裝置之產量,降低成本。 此外,也可將上述第-實施方式與本實施方式相結合。 亦即’可同時存在配線(配線層)依上述第一實施方式使用由 O:\91\91597-940413.DOC -35· 1239592 絕緣膜21及絕緣膜22(或由絕緣膜35及絕緣膜36)形成之層 疊膜所形成之阻障絕緣膜者及配線(配線層)依本實施方式 使用絕緣膜5 1(或絕緣膜52)所形成之阻障絕緣膜者。 (第三實施方式) ^ 圖26至圖32方面,為本發明之其他實施方式之半導體裝 置之製造步驟中的主要部分剖面圖。由於至圖9之製造步驟 係同於上述第一實施方式,因此,在此省略其說明,僅對 接續圖9之製造步驟予以說明。此外,圖26至圖32中,均省 略了對應於圖1之絕緣膜11以下構造部分的圖示。 0 得到圖9所示之構造後,如圖26所示一般,作為第二硬罩 層’為了掩埋開口部29而於絕緣膜27上形成絕緣膜61。絕 緣膜61方面,可藉由氧化矽(si〇)膜、氧氮化矽(Si〇N)膜或 氧碳化碎(SiOC)膜等蝕刻選擇比不同於絕緣膜24及絕緣膜 27且也不同於絕緣膜25蝕刻選擇比之材料予以形成。 接著’如圖27所示,於絕緣膜61上形成光阻膜,藉由對 琢光阻膜施以曝光及顯像而圖案化,形成光阻圖案62。接 著’以光阻圖案62作為蝕刻遮罩而對絕緣膜61施以乾蝕刻籲 處理,於絕緣膜61上形成開口部3丨。此外,開口部3丨係形 成於通孔之形成預定區域,而開口部(通孔)31之平面區域方 面’則含於開口部(配線溝槽)29之平面區域。接著,將光阻 圖案62以灰化處理等予以去除。 接著’如圖28所示,以絕緣膜6 1作為蝕刻遮罩(硬罩), 万;開口邵3 1之底部,對絕緣膜%及絕緣膜25施以乾式蝕 刻處理。隨後,以乾式蚀刻等去除絕緣膜61。
O:\91\91597-940413.DOC -36- 1239592 接著,如圖29所示,以絕緣膜27作為蝕刻遮罩(硬罩), 万;開口邵29之底邵,對絕緣膜26及絕緣膜25施以乾蝕刻 處理,並於開口邵3 1之底部,對絕緣膜24及絕緣膜23予 以乾蝕刻處理。在該乾式蝕刻處理時,絕緣膜22(及絕緣膜 21)起作用為蝕刻阻擋膜,使開口部31之底部存有(殘留有) 絕緣膜21及22,因此可防止線路2〇受到蝕刻。 接著,如圖30所示,於開口部29之底部,藉由乾式蝕刻 處理去除絕緣膜24,並於開口部3丨之底部,藉由乾式蝕刻 法去除絕緣膜22及絕緣膜2 1。藉此,開口部3丨之底部會有 線路20之上面露出。接著,藉由乾式蝕刻處理去除絕緣膜 27。絕緣膜27方面,其可藉由於開口部31之底部去除絕緣 膜22及絕緣膜21相同之蝕刻步驟或其他的蝕刻步驟予以去 除。絕緣膜27去除後殘留之絕緣膜26方面,其可起作用為 CMP步驟之保護膜等。 接著,如圖31所示,如同上述第一實施方式,於開口部 29 内,形成由導電性阻障膜32及銅之主導體膜33所形 成芡配線34。之後,如圖32所示,於包含配線34上面之絕 緣膜26上,如同上述第一實施方式,形成絕緣膜35、36、 37、38、39、4〇 ’以本實施方式中之開口部μ、η形成步 驟相同的手法,於絕緣膜35至4〇上形成開口部41、42 ,並 如同上述第一實施方式,於開口部41、42内形成由導電性 阻障膜43及銅之主導體膜44所形成之配線45。隨後,雖然 會於包含配線45上面之絕緣膜4〇上,如同上述絕緣膜以及 絕緣膜22般地形成阻障絕緣膜,並於阻障絕緣膜上形成層
0:\91 \91597-940413.DOC -37- 1239592 間&緣腺(低介電率材料 ^ ^ , 歼种腠)寺而進一步形成上層配線,然 而,在此省略其說明。 本實施方式中,可搵$丨彳 入返弟一實施方式相同的效 果。更進一步地,太會 尽貝犯万式中,為了形成開口部29、3 1, 而對由低介電率材料所泌+、^ a +斤y成 < 絶緣膜23、25施以乾蝕刻處 理時,並未以光阻圖案作 乍為餘刻遮罩’而係以絕緣膜61及 絕緣膜27作為硬罩。為此,合 為此不會在由低介電率形成之絕緣 膜23、25暴露的狀能下,丰私(介 队w卜去除(灰化)光阻圖案。因此,可防 止因為μ圖案之灰化等而使低介電率材料膜(絕緣膜 23、25)變質(或雙到損傷)。藉此,可提高半導體裝置之可 靠性。 此外,也可將上述第二實施方式及本實施方式加以組合。 (第四實施方式) 圖33至圖42方面,為本發明之其他實施方式之半導體裝 置之製造步驟中的主要邵分剖面圖。由於至圖6之製造步驟 係同於上述第一實施方式,因此,在此省略其說明,僅對 接續圖6之製造步驟予以說明。此外,圖33至圖42中,均省 略了對應於圖1之絕緣膜11以下構造部分的圖示。 上述第一至第三實施方式中,係採所謂雙鑲嵌 (Dual-Damascene)技術形成配線3夂配線45)。本實施方式 中’則採用所謂單鑲嵌(Single-Damascene)技術形成配線 34(配線 45)。 得到圖6之構造後,如圖33所示,於絕緣膜22上,如同上 述第一實施方式,形成絕緣膜23及絕緣膜24。接著,於本 O:\91\91597-940413.DOC -38- 1239592 實施方式中,於絕緣膜24上,形成例如由氮化矽膜(SiN)、 碳化咬(Sic)膜、碳氮化碎(SiCN)膜或氧化銘(A10)膜等所形 成之絕緣膜(硬罩層)71。接著,於絕緣膜71上,以光蝕刻法 形成光阻圖案72。 接著,如圖34所示,以光阻圖案72作為蝕刻遮罩而對絕 緣膜71施以乾式蝕刻處理,於絕緣膜71上形成開口部3U。 此外’開口部3 1 a係形成於通孔形成預定區域,對應於上述 第一實施方式之開口部31。隨後,將光阻圖案72以灰化處 理予以去除。 接著,如圖35所示,以絕緣膜71作為蝕刻遮罩(硬罩), 對絕緣膜24及絕緣膜23施以乾式蝕刻處理。在對低介電率 材料膜形成之絕緣膜23施以乾式蝕刻處理時,由於未使用 光阻圖案,因此可防止因為去除(灰化)光阻圖案所致之絕緣 膜(低介電率材料膜)23之變質(損傷)。此外,在該乾式蝕刻 處理之際,藉由將絕緣膜22(及絕緣膜21)作為蝕刻終止層之 用,於開口部3 1 a底部有絕緣膜22及絕緣膜2 1殘留,防止配 線20受到蝕刻。 接著,如圖36所示,於開口部31a底部,以乾式蝕刻處理 去除絕緣膜22及絕緣膜21。藉此,開口部3丨a底部會有配線 20的上面露出。然後,將絕緣膜71以乾式蝕刻處理予以去 除。絕緣膜71方面,可藉由於開口部31a底部去除絕緣膜^ 及絕緣膜21相同之蝕刻步騾或其他的蝕刻步驟予以去除。 絕緣膜7 1去除後殘留之絕緣膜24方面,可如同第一實施方 式中之絕緣膜16、26般地作為Cmp步驟之保護膜等之用, O:\91\91597-940413.DOC -39- 1239592 例如以氧化矽(Si0)膜予以形成。 接著,依圖37所示,如同配線20形成步驟般地,於開口 部31a内,形成由導電性阻障膜73及銅之主導體膜以所形成 之插塞75。例如,於半導體基板丨之主面上的整面(包含開 口部3U之底部及側壁上之絕緣膜24上),形成例如由氮化 鈦(TiN)等所形成之導電性阻障膜73,於導電性阻障膜乃上 充填(掩埋)開口部31&内般地形成由銅(或銅合金)所形成之 主導體膜74,並將主導體膜74及導電性阻障膜η以例如 CMP法施以研磨至絕緣膜24的上面露出為止。如此一般, 藉由去除絕緣膜24上不需要之導電性阻障膜73及主導體膜 74而使開口部31a内有導電性阻障膜乃及主導體膜μ殘 留,形成由相對較薄之導電性阻障膜73與相對較厚之主導 體膜74所形成之插塞75。形成之插塞乃方面,係與配線 電性連接。 接著,施以還原性電漿處理及必要之洗淨後,如圖%所 不’於半導體基板1主面之整面上(包含插塞75上面之絕緣 膜24上)’形成例如由氮化矽膜等形成之絕緣膜%,並於絕 、彖膜76上,如同上述第一實施方式般地形成絕緣膜以、%、 27如果不需要時,也可省略絕緣膜76之形成。接著,於 、巴、象膜27上,以光蝕刻法形成光阻圖案77。 接著%圖39所示-般,將光阻圖案77作為蚀刻遮罩而 彖膜27施以乾式蝕刻處理,於絕緣膜上形成開口部 此外開口邵29a係形成於配線溝槽之形成預定區域, 於上逑見她方式丨之開口部Μ。因此,開口部“a之平
O:\91\91597-940413.DOC 1239592 品或係包δ開口部3丨a之平面區域。隨後,將光阻圖案77 以灰化處理去除。 接耆,如圖40所示,以絕緣膜27作為蝕刻遮罩(硬罩)而 對絕緣膜26及絕緣膜25施以乾式_。在對低介電率材料 膜形成之絕緣膜25施以乾式蝕刻處理時,由於未使用光阻 圖木因此可防止因為去除(灰化)光阻圖案所致之絕緣膜 (低介電率材料膜)25之變質(損傷)。接著,於開口部“a底 邵,以乾式蝕刻處理去除絕緣膜76。藉此,開口部2%底部 會有配線20的上面露出。此外,省略絕緣膜%之形成時, 藉由上述對絶緣膜2 6及絕緣膜2 5之乾式>§虫刻處理,開口部 29a底邵會有配線2〇之上面露出。然後,將絕緣膜27以乾式 蝕刻處理去除。絕緣膜27方面,可藉由於開口部29a底部去 除絕緣膜76相同之蝕刻步驟或其他的蝕刻步驟予以去除。 絕緣膜27去除後殘留之絕緣膜26方面,可作為CMp步驟之 保護膜等之用。 接著’如圖4 1所示,如同配線20之形成步驟,於開口部 29a内,形成由導電性阻障膜78及銅之主導體膜79所形成之 配線(第二層配線)80。例如,半導體基板1之主面上之整面 (包含開口部29a之底部及側壁上之絕緣膜26上),形成例如 由氮化鈦(TiN)等所形成之導電性阻障膜78,於導電性阻障 膜78上,如充填(掩埋)開口部29a内般地形成由銅(或銅合金) 所形成之主導體膜79,並對主導體膜79及導電性阻障膜78 以例如CMP法施以研磨至絕緣膜26之上面露出為止。如此 一般,去除絕緣膜26上不需要等導電性阻障膜78及主導體 O:\91\91597-940413.DOC -41 - 1239592 膜79 ’藉由於開口部29a内留下導電性阻障膜78及主導體膜 79 ’形成由相對較薄之導電性阻障膜78及相對較厚之主導 m膜7 9所形成之配線$ 〇。形成之配線⑽方面,乃經由插塞 75而電性連接於配線。 接著如圖42所示’於配線8〇上’作為阻障絕緣膜,如 同上述第一實施方式般地形成絕緣膜35及絕緣膜36。隨 後雖;、、:了如同本實施方式之插塞75及配線80等形成步驟 般地开y成黾性連接於配線80的更上層之插塞及配線, 然而’在此省略其說明。 本實施方式中,可得到與上述第一實施方式相同的效 果並且,本實施方式中,為了形成開口部31a、29a而對 由低介電率材料所形成之絕緣膜23、25施以乾式蝕刻處理 時,並未使用光阻圖案作為蝕刻遮罩,而以絕緣膜Η及絕 緣膜27作為硬罩。因此,不會在由低介電率材料所形成之 絕緣膜23、25暴露的狀態下,去除(灰化)光阻圖案。因此, 可防止因為綠圖案之灰化處理等,則吏低介電率材料膜 (絕緣膜23、25)變質(或受損傷)。藉此,半導體裝置之可靠 性可進一步提升。 此外,也可將上述第二實施方式及本實施方式加以組合。 (第五實施方式) 圖43至圖46方面,為本發明之其㈣施方式之半導體裝 置之製造步驟中的主要部分剖面圖。由於至圖5之製造步驟 係同於上述第-實施方式’因此,在此省略其說明,僅對 接續圖5之製造步料以說明。此外,圖43至圖财,均省
O:\91\91597-940413.DOC -42- 1239592 略了對應於圖1之絕緣膜i i以下構造部分的圖示。 得到圖5之構造後,如圖43所示,於配線20之主導體膜i 9 之表面附近(例如20 nm以下左右),形成膜91。膜91方面, 其係由擴散係數小於銅(Cu)之銅(Cu)化合物或銅(Cu)以外 之金屬所形成。 膜91方面,例如可由如下方式形成。得到圖5之構造後, 在配線20表面露出的狀態下,可藉由對半導體基板1施以氨 亂(NH3)電漿處理等,於配線2〇之表面形成氮化銅(CuN) 層,形成由氮化銅(CuN)所形成之膜91。在此時,如在對配 線20之表面(及絕緣膜16之表面)施以洗淨處理,使配線川 表面清淨化後,再於清淨化之配線2〇之表面上形成氮化銅 (CuN)所形成之膜91會更佳。 或者,膜9 1也可如以下方式形成。在配線2〇之表面露出 等狀態下,對半導體基板1施以氨氣(NH3)電漿處理等之 後,可藉由噴甲矽烷氣體,於配線2〇表面上形成含(添加) 有微量(例如1至2原子。/。左右)矽(Si)的銅層(CuSix層),形成 由添加有矽之銅所形成之膜91。此外,也藉由添加有少量 銘(A1)之銅層((^八^層)形成膜91。 或者,膜91也可利用選擇性鎢CVD法等形成。例如,在 得到圖5之構造後,可藉由CVD法使用六氟化鎢(WF^及氫 氣(Η!)者,於由絕緣膜16露出之配線2〇上面選擇性地層積 出鎢膜,形成由鎢所構成之膜91。 或者,膜91也可利用選擇性電鍍法等形成。例如,得到 圖5之構造後,可藉由於絕緣膜16露出之配線2〇上面上選擇
O:\91\91597-940413.DOC -43 - 1239592 形成由電鍍層(例如C〇或 性地形成Co膜或WB膜的電鍍層 WB膜)所構成之膜91。 膜91《形成後,實施與上述第—實施方式中之圖6至圖13 對應之步驟相同的步驟,得職44之構造。接著,如圖^ 所示,^配、物之主導體膜33之表面附近(例如Μ⑽以下 左右)’以同樣的手法形成與膜91相同材料所構成之膜92。 膜92之形成後,實施與上述第—實施方式中之圖15及圖Μ 對應之步驟相同之步驟’可得到圖46之構造,然而,在本 實她方式中,對於配線45,也於配線Μ之主導體膜料之表 面附近,以同樣之手法形成由膜91相同材料所構成之膜Μ。 本實施方式中,於配線2〇之表面上形成膜91,並於膜… 上形成絕緣膜21作為阻障絕緣膜。以具有膜91不存在時之 銅膜(主導體膜19)及阻障絕緣膜(絕緣膜21)間界面結合狀 態為因之銅(Cu)之擴散係數低之擴散係數的銅化合物(或銅 以外之金屬)形成膜91,並以此膜91夾置於銅膜(主導體膜丄9) 與阻障絕緣膜(絕緣膜21)間。對配線34、45也施以相同的手 法。藉此,可進一步抑制配線與阻障絕緣膜間界面中之銅 的擴散,進一步提升配線之應力遷移特性等。 此外,也可結合上述第一至第四實施方式及本實施方式。 (第六實施方式) 圖47方面’為本發明之其他實施方式之半導體裝置之主 要部分剖面圖。圖47係對應於上述第一實施方式之圖丨6。 此外,圖47中,省略了對應於圖i之絕緣膜丨丨以下構造部分 的圖示。
O:\91\91597-940413.DOC -44 - 1239592 圖47所示之半導體裝置方面,其具有圖16之半導體裝置 相同的構造。本實施方式中,埋入型銅配線(例如配線2〇) <阻障絕緣膜係藉由第一阻障絕緣膜(例如絕緣膜21)及第 二阻障絕緣膜(例如絕緣膜22)的層疊膜形成,該層疊膜之應 力8丨設為-i80Mpa以上(Sg-lSOMPa)。此外,本實施方式 中,言及某一膜之應力(應力值)時,乃指矽基板構成之半導 體基板1上直接形成該膜時產生之應力(膜應力)而言。因 此’ ^疋到第一阻障絕緣膜及第二阻障絕緣膜的層疊膜之應 力為-180 Mpa以上時,乃對應於半導體基板1上直接形成第 一阻障絕緣膜及第二阻障絕緣膜時該層疊膜所產生之應 力。此外,提及層疊膜之81在-180 Mpa時,係對應於-180 Mpa S S4 0 及 〇$ s!。 圖48方面,乃絕緣膜21藉由碳氮化矽(SiCN)膜形成,絕 緣膜22藉由碳化矽(SiC)膜形成,絕緣膜21及絕緣膜22的層 登膜整體之膜厚為50 nm(固定),而使絕緣膜21及絕緣膜22 間之膜厚的比率變化時之絕緣膜21及絕緣膜22的層疊膜之 應力(應力值)Si為示的圖表。圖48之圖表的橫軸係對應於碳 氮化碎膜(絕緣膜21)及碳化矽膜(絕緣膜22)的層疊膜整體 之膜厚為50 nm(固定)時之碳氮化矽膜(絕緣膜21)之膜厚, 圖48之圖表的縱軸係對應於該層疊膜之應力(應力值)心。 由圖48也可知,碳氮化矽(SiCN)膜之單膜(對應於圖48之 橫軸之碳氮化矽(SiCN)膜之膜厚為50 nm的情況)方面,其 應力為負’會產生壓縮應力。此外,碳化矽(siC)膜之單膜(對 應於圖48之橫軸之碳氮化矽(SiCN)膜之膜厚為0 nm的情況)
O:\91\91597-940413.DOC -45 - 1239592 万面,其應力為正,會發生拉伸應力。碳氮化矽^⑷…膜 的單膜會產生相當大的負應力,然而,如圖48所示一般, 減V蛟氮化矽(SiCN)膜之膜厚而增加碳化矽(sic)膜之膜厚 比例,可使層疊膜整體之應力移向正的方向。 依本發明人之實驗,得知絕緣膜21之膜厚*4〇nm以下, 絕緣膜21及絕緣膜22的層疊膜的應力為_18〇 乂沖以上時, 可得到良好的應力遷移特性。例如,以應力為_丨8〇 以 上之層登膜作為下層銅配線與層間絕緣膜(低介電率材料 膜)間之阻障絕緣膜時,於15〇。〇1〇〇小時之放置試驗中,能 夠將埋入型銅配線之電阻變化率(上升率)抑制於2%以内。 另一方面,以應力小於_18〇 Mpapi < _18〇 MPa)層疊膜作為 下層銅配線與層間絕緣膜(低介電率材料膜)間之阻障絕緣 膜時,於150°C 1 00小時之放置試驗中,埋入型銅配線之電 阻變化率(上升率)會有超過2%的情況發生。下層銅配線與 層間絕緣膜(低介電率材料膜)間之阻障絕緣膜使用層疊膜 時,當該層疊膜之應力在-180 MPa以上時,不易發生高溫 放置所致之應力緩和,而可防止應力遷移所致之異常發生。 本貫施方式中,(比絕緣膜22)對銅具有優良之阻障性的 絕緣膜21方面,可作為配線2〇之阻障絕緣膜(銅之擴散防止 膜)用,而絕緣膜22方面,功能上則可作為控制應力之膜。 例如,絕緣膜22方面,可用於緩和絕緣膜21產生之應力。 對銅之阻障性優良之絕緣膜21的單膜方面,即使應力並不 在於偏好的範圍(應力在-180 MPa以上)内,因為可藉由於絕 緣膜21上形成絕緣膜22而對層疊膜整體之應力予以控制, O:\91\91597-940413.DOC -46 - 1239592 例如絕緣膜21發生之負應力(壓縮應力)可藉由絕緣膜η發 生之正應力(拉伸應力)予以緩和’因此, 、«)整計應力㈣在·—-,⑭提升層疊膜(二 p早絕緣膜)與層間絕緣膜(低介電率材料膜)間之密接性(接 著性)。藉λ ’可抑制應力遷移所致之不良(例如高溫放置所 致之下層埋入型銅配線上面與上層埋入型銅配線之通孔部 間的空洞發生及埋入型銅配線之電阻上升等),提升配線之 可靠性。為此,半導體裝置之可靠性會提升。此外,可提 升半導體裝置之產能,降低製造成本。 此外,也可將上述第一至第五實施方式與本實施方式組 合0 (第七實施方式) 圖49至圖51方面,係採用不同於第一實施方式之製造步 驟時之半導體裝置之製造步驟中的主要部分剖面圖。由於 至圖6之製造步驟係同於上述第一實施方式,因此,在此省 略其說明,僅對接續圖6之製造步驟予以說明。此外,圖49 至圖51中,均省略了對應於圖丨之絕緣膜丨丨以下構造部分的 圖示。 如圖49所示,於絕緣膜22上,依序形成絕緣膜(層間絕緣 膜)23、絕緣膜(蝕刻終止膜)24、絕緣膜(層間絕緣膜)25及 絕緣膜(CMP保護膜)26。上述絕緣膜23至26可利用第一實施 方式所示之相同材料形成。 接著’於絕緣膜26上形成光阻膜,對該光阻膜施以曝光 及顯像而圖案化,藉此形成光阻圖案1〇1。接著,以光阻圖 O:\91\91597-940413.DOC -47- 1239592 木ιοί作為蝕刻遮罩,對絕緣膜26及絕緣膜25施以乾式蝕刻 處理。藉此,於絕緣膜25及絕緣膜26上形成開口部31。開 口 4 3 1方面,其係形成於通孔之形成預定區域。因此,開 口邵3 1之平面區域係包含於後述的開口部29之平面區域。 此外,在該乾式蝕刻處理的過程中,絕緣膜24在功能上係 作為蝕刻終止膜。 接著於去除光阻圖案1〇丨後,如圖5〇所示,於絕緣膜26 上形成光阻膜,對該光阻膜施以曝光及顯像而圖案化,藉 此形成光阻圖案102。接著,以光阻圖案1〇2作為蝕刻遮罩, 首先對絕緣膜24及絕緣膜26施以乾式蝕刻處理。隨後,藉 由對絕緣膜25及開口部3 1内之絕緣膜23施以乾式蝕刻處 理,可於絕緣膜23上形成開口部3丨及於絕緣膜25上形成開 口部29。在該乾式蝕刻處理的過程中,對於開口部29係由 絕緣膜24作為蝕刻阻播膜之用,而對於開口部3丨係由絕緣 月吴2 2、2 1作為触刻終止層之用。 接著,如圖51所示,於開口部29底部將絕緣膜24以乾式 蝕刻處理予以去除,於開口部3丨底部將絕緣膜22及絕緣膜 21以乾式蝕刻處理予以去除。隨後,去除光阻圖案丨〇2。藉 此,開口部3 1底部會有配線2〇之上面露出。此外,殘餘之 絕緣膜26方面,可作為隨後實施之CMp步驟的保護膜之用。 接著’貫施處理將開口部3 1底部露出之配線2〇(下層銅配 線)表面上形成之氧化銅予以去除且對配線2〇露出之上面 施以清淨化(洗淨)。上述處理,可藉由例如氫氣(h2)電漿處 理般之還原性電漿處理,對銅配線表面之氧化銅(Cu〇、 O:\91\91597-940413.DOC -48- 1239592
Cu20、Cu〇2)還原成銅(Cu)實施。 以後之製造步驟係如同第一實施方式中之圖咖後之步 驟,能於開口部29及開口部31内埋設由導電性阻障膜叫目 同材料所形成之導電性阻障膜32及由主導體膜帅同材料 所形成之主導體膜33,形成配線34。 如^述般地,利用本實施方式所示之製造步驟形成時, 如同罘-實施ϋ,可兼顧到到銅配線與pjL障絕緣膜間對 銅之阻障丨生(銅之擴散防止)之提升及阻障絕緣膜與層間絕 緣膜(低介電率材料膜)間之密接性之提升,進而實現配線之 TDDB壽命之提升及應力遷移特性之提升。藉义匕,可提高配 線的可靠性。此外,也可提升半導體裝置之產量,降低成 本。 此外,也可將上述第二、第五或第六實施方式與本實施 方式組合。 (第八實施方式) 圖52至圖55方面,係以第七實施方式使用之遮罩(光阻圖 案)而採用其他製造步驟時之半導體裝置之製造步驟中的 主要部分剖面圖。如同第七實施方式,由於至圖6之製造步 驟係同於上述第一實施方式,因此,在此省略其說明,僅 對接續圖6之製造步驟予以說明。此外,圖52至圖55中,也 均省略了對應於圖1之絕緣膜11以下構造部分的圖示。 如圖5 2所示,於絕緣膜2 2上,依序形成絕緣膜(層間絕緣 膜)23及絕緣膜(CMP保護膜)26。上述絕緣膜23及26可利用 第一實施方式所示之相同材料形成。此外,本實施方式中 O:\91\91597-940413.DOC -49- 1239592 之絕緣膜23的厚度方面,比上述第一實施方式中之絕緣膜 23的厚度相對較厚,例如約莫相當於上述第一實施方式中 絕緣膜23、25(或絕緣膜23至25)合計之厚度。本實施方式 中,省略了絕緣膜24之形成。其係為了如後述般減少配線 間之電容所為。亦即,絕緣膜23雖為第一實施方式所示之 低介電率材料膜,然而,因為絕緣膜24係由氧化矽膜等所 形成,因此會有比絕緣膜23高的介電率。據此,省略該絕 緣膜24之形成,可減少配線間之電容。 接著,於絕緣膜26上形成光阻膜,藉由對該光阻膜施以 曝光及顯像而圖案化,形成光阻圖案1〇1。接著,以光阻圖 案101作為蝕刻遮罩,對絕緣膜26及絕緣膜23施以乾式蝕刻 處理。藉此,於絕緣膜23及絕緣膜26上形成貫通(到達)至絕 緣膜22的開口部31。此時,可藉由使用姓刻氣體之選擇比 上絕緣膜22及絕緣膜23間會有所差異之蝕刻氣體,使得絕 緣膜22作為蝕刻終止層,防止對配線2〇之過蝕刻。 接著於去除光阻圖案1 〇 1後,如圖53所示,於絕緣膜26 上形成光阻膜,藉由對該光阻膜施以曝光及顯像而圖案 化,形成光阻圖案1〇2。接著,以光阻圖案1〇2作為蝕刻遮 罩,對絕緣膜26及絕緣膜23施以乾式蝕刻處理。此時的蝕 刻時間可控制成比上述開口部31形成時短,而形找開口部 29。此外,此時絕緣膜22也作為蝕刻終止層之用。 接著,如圖54所示,於開口部31底部將絕緣膜22及絕緣 膜2 1以乾式蝕刻處理予以去除。隨後,去除光阻圖案1 。 藉此,開口部31底部會有配線2〇之上面露出。此外,殘餘
O:\91\91597-940413.DOC -50- 1239592 、巴彖膜26方面’可作為隨後實施之CMp步驟的保護膜之 用。 接著,實施處理將開口部31底部露出之配線2〇(下層銅配· j)表面上形成之氧化銅予以去除而對配線2〇露出之上面 她乂 ’目淨化(洗淨)。上述處理,可藉由例如氫氣⑻)電装處 理般之還原性线處理,對銅配線表面之氧化銅(⑽、
Cu20、Cu〇2)還原成銅(Cu)實施。 以後之製造步驟係如同第一實施方式中之圖13以後之步 驟,能於開口部29及開口部31内埋設由導電性阻障膜_ 同材料所形成之導電性阻障膜32及由主導體膜叫目同材料 所形成之主導體膜33,形成如圖55所示之配線Μ。 如上述般,本實施方式中,並未形成介電率比絕 高之絕緣膜24,且使開口部29之形成藉由對蚀刻氣體之時 間控制實施,因此,可減少配線間之電容。此外,由於能 夠省略絕緣膜24之形成,因此,可簡化製造步驟。、匕 2外’利用本實施方式所示之製造步驟形成時,如同第 一實施方式,可兼顧到到銅配線與阻障絕緣膜間對銅之阻籲 障性(銅之擴散防止)之提升及阻障絕緣膜與層間絕緣膜(低 ^電率材料膜)間之密接性之提升,進而實現配線之tddb 壽命之提升及應力遷移特性之提升。藉此,可提高配線的 可靠性。此外,也可提升半導體裝置之產量,降低成本。 此外’在本實施方式之開口部29及31之形成時,也可利 用第三實施方式所示之絕緣膜27及61作為遮罩加以形成。 在此情況中,由於不需在低介電率材料形成之絕緣膜^暴
O:\91\91597-940413.DOC -51 - 1239592 阻圖木,因此,可防止因光阻圖案 電率材料膜(絕緣膜23)變質(或受 露的狀態下去除(灰化)光 之灰化處理等而致低介 損)。 第五或第六實施方式與本實施 再且,也可將上述第 方式組合。 乂上對本發明人所為之本發明,以其實施方式做了具 體說明,然而’本發明並不限於上述之實施方式,在不達 其意旨的範圍,當然可為種種變通。 上述實施方式中,雖以具有MISFET之半導體裝置做說 明,然而本發明並不侷限於此,而可適用於具有配線含以 銅為主成份之主導體膜者的種種半導體裝置。 本申請專利文中揭示之發明的實施方式中,具有代表性 者可帶來之效果簡單說明如下。 作為埋入型銅配線,藉由使用由形成於埋入銅配線之絕 緣膜上的第一阻障絕緣膜及形成於第一阻障絕緣膜上對低 介電率材料膜具有密接性之優良第二阻障膜所成的層疊 膜,可提升銅配線之可靠性。並且,藉由將上述般之第一、 第一阻障絕緣膜形成於銅配線表面上,可提升TDDB壽命。 此外’藉由使銅配線與阻障絕緣膜間之界面附近之阻障 絕緣膜的鼠濃度高於阻障絕緣膜之上層的低介電材料膜與 阻障絕緣膜間之界面附近之阻障絕緣膜的氮濃度,可提升 銅配線之可靠性。並且,藉由將上述般之阻障絕緣膜形成 於銅配線表面上,可提升TDDB壽命。 此外,藉由具有比銅(Cu)之擴散係數低之擴散係數的銅 O:\91\91597-940413.DOC -52- 1239592 化合物(或銅以外之金屬)形成臌 τ ^ χ 战勝並以此膜夾置於銅膜與阻 障絶緣艇間。精此’可進一^步灰卩在丨丨π从*卩、 ’抑制配線與阻障絕緣膜間界 面中之銅的擴散,進-步提升配線之應力遷移特性等。 此外,藉由將配線表面形成之層疊膜(阻障絕緣膜)整體 之應力控制在-180 MPa以上,可抑制應力遷移所導致之不 良。 本申請專利文中揭示之發明中,具有代表性者可帶來之 效果簡單說明如下。
可提升配線含有以銅為主成份之主導體膜者之可靠性。 此外’可提升半導體裝置之可靠性。 【圖式簡單說明】 圖1係本發明之一實施方式之丰壤㈣世gg、 只犯万八I千爷組裝置之製造步驟中 之主要部位剖面圖。 驟中之主要部位剖 驟中之主要部位剖 驟中之主要部位剖 驟中之主要部位剖 驟中之主要部位剖 驟中之主要部位剖
圖2係接續圖1之半導體裝置之製造步 面圖。 圖3係接續圖2之半導體裝置之製造步 面圖。 圖4係接續圖3之半導體裝置之製造步 面圖。 圖5係接續圖4之半導體裝置之製造步 面圖。 圖6係接續圖5之半導體裝置之製造步 面圖。 圖7係接續圖6之半導體裝置之製造步
O:\91\91597-940413.DOC -53 - 1239592 面圖。 中之主要部位剖 中之主要部位剖 圖8係接續圖7之半導體裝置之製造步驟 面圖。 圖9係接續圖8之半導體裝置之製造步驟 面圖。 圖10係接續圖9之半導體裝置之製造 〜少驟中炙王要邵位 剖面圖。 圖11係接續W1G之半導體裝置之製造步驟中之主要部位 剖面圖。 圖12係接續圖11之半導體裝置之製造步驟中之主要部位 剖面圖。 圖13係接續圖12之半導體裝置之製造步騾中之主要部位 剖面圖。 圖14係其他方式之半導體裝置之製造步驟中之主要部位 剖面圖。 圖15係接續圖13之半導體裝置之製造步驟中之主要部位 剖面圖。 圖16係接續圖15之半導體裝置之製造步驟中之主要部位 剖面圖。 圖1 7係以埋入型銅配線之TDDB壽命試驗之結果為示之 圖表。 圖1 8係以埋入型銅配線之高溫放置試驗後之電阻上升率 為示之圖表。 圖19係以埋入型銅配線之高溫放置試驗後之電阻上升率 O:\91\91597-940413.DOC -54- 1239592 為示之圖表。 圖20係以埋入型銅配線之高溫放置試驗後之電阻上升率 為示之圖表。 圖21係本發明之其他實施方式之半導體裝置之製造步驟 中之主要部位剖面圖。 圖22係接續圖21之半導體裝置之製造步驟中之主要部位 音|J面圖。 圖23係以絕緣膜之膜厚方向上之氮”)濃度分布為示之 圖表。 圖24係接續圖22之半導體裝置之製造步驟中之主要部位 刻面圖。 圖25係接續圖24之半導體裝置之製造步驟中之主要部位 剖面圖。 圖26係本發明之其他實施方式之半導體裝置之製造步騾 中之主要部位剖面圖。 圖27係接續圖26之半導體裝置之製造步驟中之主要部位 剖面圖。 圖28係接續圖27之半導體裝置之製造步騾中之主要部位 剑面圖。 圖29係接續圖28之半導體裝置之製造步驟中之主要部位 剖面圖。 圖30係接續圖29之半導體裝置之製造步驟中之主要部位 剖面圖。 圖31係接續圖30之半導體裝置之製造步驟中之主要部位 O:\91\91597-940413.DOC -55- 1239592 剖面圖 圖32係接續圖3 1之半導體裝置之製造步 剖面圖。 •驟中之主要部位 圖33係本發明之其他實施方式之 中之主要部位剖面圖。 ㈤裳置之製造步 圖34係接續圖33之半導體裝置之製造步 剖面圖。 驟 騾中之主要部位 圖35係接%圖34之半導體裝置之製造步 剖面圖。 圖36係接續圖35之半導體裝置之製造步 剖面圖。 圖37係接續圖36之半導體裝置之製造步 剖面圖。 圖38係接續圖37之半導體裝置之製造步 剖面圖。 騾中之主要部位 騾中之主要部位 騾中之主要部位 騾中之主要部位 圖39係接續圖38之半導體裝置之製 ^ m 歹鄉中之主要部位 剖面圖 圖4〇係接續圖39之半導體裝置之製造步 剖面圖。 驟中之主要部位 圖41係接續圖40之半導體裝置之製造步锦中之主要部位 剖面圖 圖42係接續圖41之半導體裝置之製造步驟中之主要部位 剖面圖。 圖43係本發明之其他實施方式之半導體裝置之製造步 驟
0.Λ91 \91597-940413. DOC -56- 1239592 中之主要部位剖面圖。 , 圖44係接續圖43之半導體裝置之製 剖面圖。 %驟中之主要部位 圖45係接續圖44之半導體裝置之製 剖面圖。 σ '锦中之主要部位 圖46係接續圖45之半導體裝置之製 剖面圖。 ° ·赞中之主要部位 、圖47係本發明之其他實施方式之半 位剖面圖。 置之 圖48係以層疊膜之應力為示之圖表。 圖49係本發明之其他實施方式之半導 之之主要部 中之主要部位剖面圖 圖5〇係接續圖49之半導體裝置之製造步 體索:置之製造步 驟 剖面圖 驟中之主要部位 面圖。aw《半導體裝置〈製造步聲中之主要部位 剖面圖 中他實施…半導㈣置之製造步 驟 ::係接一之半導趙裝置之製造步料之主要部位 剖面圖 ST接續固53之半導雜裝置之製造步帮中之主要部 剖面圖 位 圖55係接續圖54之半導許奘 卞手裝置<製造步、 S屬。 艾题中足王要部位 剖面圖
O:\9I\91597-940413.DOC 57- 1239592 【圖 式代表符號說明】 1 半導體基板 2 元件分離區域 3 P型井 4 閘極絕緣膜 5 閘極 5a 氧化矽膜 6 n_型半導體區域 7 側壁 8 n+型半導體區域 8a 氧化矽膜 9 η通道型MISFET 10 絕緣膜 11 絕緣膜 12 接觸孔 13 插塞 13a 氮化鈥膜 14 絕緣膜 15 絕緣膜 16 絕緣膜 17 開口部 18 導電性阻障膜 19 主導體膜 20 配線
O:\91\91597-940413.DOC -58- 1239592 21 絕緣膜 22 絕緣膜 23 絕緣膜 24 絕緣膜 25 絕緣膜 26 絕緣膜 27 絕緣膜 28 光阻圖案 29 開口部 29a 開口部 30 光阻圖案 31 開口部 31a 開口部 32 導電性阻障膜 33 主導體膜 34 配線 35 絕緣膜 36 絕緣膜 37 絕緣膜 38 絕緣膜 39 絕緣膜 40 絕緣膜 41 開口部 42 開口部
O:\91\91597-940413.DOC -59- 1239592 43 導電性阻障膜 44 主導體膜 45 配線 51 絕緣膜 52 絕緣膜 61 絕緣膜 62 光阻圖案 71 絕緣膜 72 光阻圖案 73 導電性阻障膜 74 主導體膜 75 插塞 76 絕緣膜 77 光阻圖案 78 導電性阻障膜 79 主導體膜 80 配線 91 膜 92 膜 93 膜 101 光阻圖案 102 光阻圖案
O:\91\91597-940413.DOC -60-

Claims (1)

1239592 拾、申請專利範園: l 一種半導體裝置,其特徵為包含·· 半導體基板; 第一絕緣膜,其係形成於上述半導體基板上; 配線開口部,其係形成於上述第一絕緣膜; 配、’泉其係包含以銅為主成份之第一導體膜,埋入上 述配線開口部; 第一阻障絕緣膜,其係形成於上述配線上及上述第一 絕緣膜上; 第二阻障絕緣膜,其係形成於上述第一阻障絕緣膜 上;及 第一繞緣膜,其係形成於上述第二阻障絕緣膜上,具 有比氧化矽膜低的介電率; 上述第一阻障絕緣膜之對銅的阻障性係比上述第二阻 障絕緣膜之對銅的阻障性大, 上述第二阻障絕緣膜與上述第二絕緣膜間之密接性比 在上述第一阻障膜上形成上述第二絕緣膜時之上述第一 阻障膜與上述第二絕緣膜間之密接性大。 2 ·如申請專利範圍第1項之半導體裝置,其中 上述第一阻障絕緣膜之膜厚係比上述第二阻障絕緣膜 之膜厚厚。 3 ·如申請專利範圍第1項之半導體裝置,其中 上述第一阻障絕緣膜之膜厚為40 nm以下。 4·如申請專利範圍第1項之半導體裝置,其中 O:\91\91597-940413.DOC 上迷罘二絕緣膜係以塗佈法或所形成之膜。 如申請專利範圍第丨項之半導體裝置,其中 、上述配線係包含··於上述配線開口部底面及側面上形 成义阻障導體膜及於上述阻障導體膜上形成之上述第一 導體膜。 如申請專利範圍第1項之半導體裝置,其中 I上述第一阻障絕緣膜係含有矽及碳並含有氮或氧之至 少一方的材料所形成者。 如申凊專利範圍第1項之半導體裝置,其中 上述第二阻障絕緣膜含有碳化矽。 如申晴專利範圍第1項之半導體裝置,其中 上述第二絕緣膜係含有矽、氧及碳之材料所形成者。 如申請專利範圍第i項之半導體裝置,其中 上述配線表面上形成有擴散係數比銅小之銅化合物膜 或銅以外之金屬膜。 I 〇·如申請專利範圍第1項之半導體裝置,其中 上述配線之表面被氮化。 II ·如申請專利範圍第1項之半導體裝置,其中 上述第一絕緣膜與上述第一阻障絕緣膜間形成有第三 絕緣膜。 12·如申請專利範圍第11項之半導體裝置,其中 上述第一絕緣膜與上述第三絕緣膜間形成有第四絕緣 13· —種半導體裝置,其特徵為包含: O:\91\91597-940413.DOC 1239592 半導體基板; 第一絕緣膜,其係形成於上述半導體基板上; 配線開口部,其係形成於上述第一絕緣膜; 埋入上 配線,其係包含以銅為主成份之第一導體膜 述配線開口部; 第二絕緣膜,其係形成於上述配線上及上述第一絕緣 膜上,含有矽及碳並含有氮或氧之至少一方的材料=形 成者; / 第三絕緣膜,其係形成於上述第二絕緣膜上,含有碳 化矽;及 A 第四絕緣膜,其係形成於上述第三絕緣膜上,具有比 氧化矽膜低的介電率。 14。 15. 如申請專利範圍第13項之半導體裝置,其中 上述第四絕緣膜係含有碎、氧及碳之材料所形成者。 一種半導體裝置,其特徵為包含: 半導體基板; 第一絕緣膜,其係形成於上述半導體基板上; 配線開口部,其係形成於上述第一絕緣膜; 配線,其係包含以銅為主成份之第一導體膜,埋入上 述配線開口部; 阻障絕緣膜,其係形成於上述配線上及上述第一絕緣 膜上;及 第二絕緣膜,其係形成於上述阻障絕緣膜上,具有比 氧化秒膜低的介電率; O:\91\91597-940413.DOC 1239592 上述配線與上述阻障絕緣膜之界面附近之上述阻障絕 緣膜的氮濃度係比上述第二絕緣膜與上述阻障絕緣膜間 之界面附近之上述阻障絕緣膜之氮濃度高。 16. 17. 18. 19. 20. 如申請專利範圍第15項之半導體裝置,其中 上述阻障絕緣膜係含有矽、碳及氮之材料所形成者。 一種半導體裝置,其特徵為包含·· 半導體基板; 第一絕緣膜’其係形成於上述半導體基板上; 配線開口部,其係形成於上述第一絕緣膜; 配線,其係包含以銅為主成份之第一導體膜,埋入上 述配線開口部; 第二絕緣膜,其係形成於上述配線上及上述第一絕緣 膜上’具有抑制或防止銅擴散之功能;及 第二絕緣膜,其係形成於上述第二絕緣膜上,具有控 制應力之功能; 上述第二絕緣膜及上述第三絕緣膜之層疊膜之應力為 -180 MPa以上。 如申請專利範圍第17項之半導體裝置,其中 上述第三絕緣膜係起作用以緩和上述第二絕緣膜發生 之應力。 如申請專利範圍第17項之半導體裝置,其中 上述第二絕緣膜係產生壓縮應力之膜,上述第三絕緣 膜係產生拉伸應力之膜。 如申請專利範圍第17項之半導體裝置,其中 O:\91\91597-940413.DOC 1239592 21。 22. 23. 上述第二絕緣膜係含有矽、碳及氮之材料所形成者。 如申請專利範圍第17項之半導體裝置,其中 上述第三絕緣膜係含有碳化矽所形成。 一種半導體裝置之製造方法,其特徵為包含: (a) 準備半導體基板之步驟; (b) 於上述半導體基板上形成第一絕緣膜之步驟; (c) 於上述第一絕緣膜形成第一配線開口部之步驟; (d) 於上述第-配、_ 口部内形成包含以銅為主成份之 第一導體膜的配線之步驟; ⑷於埋設有上述配線之上述第—絕緣膜上形成第一阻 障絕緣膜之步驟; (f)於上述第一阻障絕緣膜p★ μ 豕腺上开y成罘二阻障絕緣膜之步 驟; (g)於上述第二阻障絕緣縢卜# + n丄 家腺上形成具有比氧化矽膜低之 介電率之第二絕緣膜之步驟; 上述第一阻障絕緣膜乏料細 對銅的阻障性係比上述第二阻 障絕緣膜之對銅的阻障性大; 上述第二阻障絕緣膜盥上十 '、上述弟二絕緣膜之密接性比在 上述第一阻障絕緣膜上形成上 风上迷弟二絕緣膜時之上述第 一阻障絕緣膜與上述第二絕緣膜之密接性大。 如中請專㈣圍第22項之半導體裝置之製造方法,其中 上述(g)步騾後,尚有: (h)對上述第一阻障絕緣膜、 述弟一絕緣膜以乾式姓刻形成 上述第二阻障絕緣膜及上 第二開口部之步驟; O:\91\91597-940413.DOC * i 1239592 (0於上述第二開口部内埋設以銅為主成份之導體膜之 步驟; 上述第二阻障絕緣膜係作為形成上述第二開口部時之 蝕刻終止膜之用。 如中請專利範圍第22項之半導體裝置之製造方法,其中 上述第二絕緣膜係以塗佈法或CVD法所形成。 π如中請㈣範圍第22項之半導體裝置之製造方法,其中 上述第ρ且障絶、緣膜之膜厚4系比上述第三阻障絕緣膜 之膜厚厚。 26. 如申請專利範圍第22項之半導體裝置之製造方法,其中 上述第一阻障絕緣膜之膜厚為40 nm以下。 27. 如申請專利範圍第22項之半導體裝置之製造方法,其中 上述第一阻障絕緣膜係含有矽及碳並含有氮或氧之至 少一方的材料所形成者。 28. 如中請專利範圍第22項之半導體裝置之製造方法,其中 上述第二阻障絕緣膜係含有碳化矽。 29·如申請專利範圍第22項之半導體裝置之製造方法,其中 上述(d)步驟後,於上述(e)步驟之前尚有: 於上述配線表面形成擴散係數比銅小之銅化合物膜或 銅以外之金屬膜之步驟。 30.如申請專利範圍第22項之半導體裝置之製造方法,其中 上述(d)步驟後,於上述(e)步驟之前尚有: 使上述配線表面氮化之步驟。 3 1 ·如申凊專利範圍第22項之半導體裝置之製造方法,其中 〇 A91 \91597-940413.DOC 1239592 尚有於上述第一絕緣膜上形成第三絕 /述⑷步驟係包含:於上述第一配線開口部内及上述 弟二絕緣膜上沈積上述第—導體膜之步驟及除去埋入上 述第-配線開口部内之上述第一導體膜以外之上述第一 導體膜之步驟; 除去上述第一導體膜之步驟中,上述第三絕緣膜具有 作為上述第一絕緣膜之保護膜之功能。 32. 如申請專利範圍第22項之半導體裝置之製造方法,其中 尚包含: ㈨於上述第二絕緣膜上形成含有第三絕緣膜之遮罩之 步騾; ⑴藉由上逑遮罩#刻上述第二絕緣膜而形成連接於上 述配線之孔之步驟。 33. 如申請專利範圍第22項之半導體裝置之製造方法,其中 尚包含: ⑻万;上述第二絕緣膜上形成含有第三絕緣膜之第一遮 罩及含有第四絕緣膜之第二遮罩之步驟; ⑴藉由上述第-遮罩#刻上述第二絕緣膜而形成連接 於上述配線之孔,藉由上述第二遮罩蚀刻上述第二絕緣 膜而形成口徑比上述孔大之配線溝槽之步驟。 34. 上述(b)步驟後 緣膜之步驟s且 -種半導體裝置之製造方法,其特徵為包含以下步驟: (a) 準備半導體基板之步驟; (b) 於上述半導體基板上形成第_絕緣膜之步驟; O:\91\91597-940413.DOC 1239592 (c)万、上述第-絕緣膜形成第—配線開口部之步驟; ⑷於上述第一配線開口部内,形成具有以銅為主成份 之第一導體膜的配線之步驟; (e) 於埋設有上述配緩土 配、、果 < 上述罘一絕緣膜上,形成含有 矽及碳並含有氮或氧之至少一 y 万的材料所形成之第二絕 緣膜之步驟; (f) 於上述第二絕緣膜卜形士、 家胰上形成含有碳化矽之第三絕緣膜 之步驟; (g)於上述第三絕緣膜上形 率之第四絕緣膜之步驟。 成具有比氧化矽膜低之介電 35 36. 如中請專利範圍第34項之半導體裝置之製造方法,其中 上述第四絕緣膜係含切、氧及碳之材料所形成者。 -種半導體裝置之製造方法,其特徵為包含: (a)準備半導體基板之步驟; 一絕緣膜之步驟; 配線開口部之步驟; 形成包含以銅為主成份 絕緣膜上形成阻障絕緣 (b) 於上述半導體基板上形成第 (c) 於上述第一絕緣膜形成第一 (d) 於上述第一配線開口部内, 之弟一導體膜的配線之步驟; (e) 於埋入上述配線之上述第一 膜之步驟; (f)於上述阻障絕緣膜上形 上毛成具有比氧化矽膜低之介電 率的第二絕緣膜之步驟; 上述配線與上述阻障絕缝 彖挺乏界面附近之上述阻障絕 緣膜的氮濃度係比上述第-p 、弟一纟巴緣膜與上述阻障絕緣膜之 O:\91\91597-940413.DOC 1239592 界面附近之上述阻障絕緣膜之氮濃度高。 37,如申請專利範圍第36項之半導體裝置之製造方法,其中 上述阻障絕緣膜係含有矽、碳及氮之材料所形成者。 3 8 ·如申睛專利範圍第3 6項之半導體裝置之製造方法,其中 上述(e)步騾中,上述阻障絕緣膜係藉由使用氮氣之 CVD法所形成,於上述阻障絕緣膜之成膜初始階段導入 成膜裝置之氮氣流量係比於上述阻障絕緣膜之成膜後期 階段導入成膜裝置之氮氣流量多。 39.如申請專利範圍第36項之半導體裝置之製造方法,其中 上述(e)步驟中,上述阻障絕緣膜係藉由使用氮氣之 CVD法所形成,並於上述阻障絕緣膜之成膜後期階段, 停止將氮氣導入成膜裝置。 O:\9l\9l597-940413.DOC
TW093105725A 2003-03-25 2004-03-04 Semiconductor device and its manufacturing method TWI239592B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003083348A JP4454242B2 (ja) 2003-03-25 2003-03-25 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
TW200425404A TW200425404A (en) 2004-11-16
TWI239592B true TWI239592B (en) 2005-09-11

Family

ID=33398846

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093105725A TWI239592B (en) 2003-03-25 2004-03-04 Semiconductor device and its manufacturing method

Country Status (5)

Country Link
US (13) US7323781B2 (zh)
JP (1) JP4454242B2 (zh)
KR (1) KR101055451B1 (zh)
CN (1) CN100373609C (zh)
TW (1) TWI239592B (zh)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100352036C (zh) * 2002-10-17 2007-11-28 株式会社瑞萨科技 半导体器件及其制造方法
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20050269709A1 (en) * 2004-06-03 2005-12-08 Agere Systems Inc. Interconnect structure including tungsten nitride and a method of manufacture therefor
JP4683865B2 (ja) * 2004-06-15 2011-05-18 富士通セミコンダクター株式会社 半導体基板の製造方法
JP2006005190A (ja) * 2004-06-18 2006-01-05 Renesas Technology Corp 半導体装置
US7166543B2 (en) * 2004-08-30 2007-01-23 Micron Technology, Inc. Methods for forming an enriched metal oxide surface for use in a semiconductor device
JP2006140404A (ja) * 2004-11-15 2006-06-01 Renesas Technology Corp 半導体装置
JP2006202852A (ja) * 2005-01-18 2006-08-03 Toshiba Corp 半導体装置
KR100641364B1 (ko) * 2005-01-25 2006-10-31 삼성전자주식회사 스크라이브 라인들 및 그 형성방법들
JP4516447B2 (ja) * 2005-02-24 2010-08-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2006286878A (ja) * 2005-03-31 2006-10-19 Consortium For Advanced Semiconductor Materials & Related Technologies 半導体装置製造方法
JP4655725B2 (ja) * 2005-04-01 2011-03-23 パナソニック株式会社 半導体装置の製造方法
KR100632620B1 (ko) * 2005-04-22 2006-10-11 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
US7638859B2 (en) * 2005-06-06 2009-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with harmonized stress and methods for fabricating the same
JP4738178B2 (ja) * 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5096669B2 (ja) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100790237B1 (ko) * 2005-12-29 2008-01-02 매그나칩 반도체 유한회사 이미지 센서의 금속배선 형성방법
KR100771370B1 (ko) * 2005-12-29 2007-10-30 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 및 그 형성 방법
JP2007220967A (ja) * 2006-02-17 2007-08-30 Sumitomo Bakelite Co Ltd 配線構造とその製造方法及び半導体装置
JP4666308B2 (ja) * 2006-02-24 2011-04-06 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5305599B2 (ja) * 2007-02-19 2013-10-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP5357401B2 (ja) 2007-03-22 2013-12-04 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
KR100907890B1 (ko) 2007-12-03 2009-07-15 주식회사 동부하이텍 반도체 소자의 제조 방법
KR100922559B1 (ko) * 2007-12-31 2009-10-21 주식회사 동부하이텍 반도체 소자와 그의 제조방법
KR100953016B1 (ko) 2008-01-22 2010-04-14 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8278205B2 (en) * 2008-03-12 2012-10-02 Tokyo Electron Limited Semiconductor device and method for manufacturing the same
JP4891296B2 (ja) * 2008-07-03 2012-03-07 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2010056156A (ja) * 2008-08-26 2010-03-11 Renesas Technology Corp 半導体装置およびその製造方法
US8994179B2 (en) * 2008-08-29 2015-03-31 Infineon Technologies Ag Semiconductor device and method for making same
DE102008054069B4 (de) * 2008-10-31 2016-11-10 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Reduzierte Scheibendurchbiegung in Halbleitern durch Verspannungstechniken im Metallisierungssystem
JP2010153543A (ja) * 2008-12-25 2010-07-08 Fujitsu Ltd 半導体装置およびその製造方法
US7776757B2 (en) * 2009-01-15 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating high-k metal gate devices
US20120032323A1 (en) * 2009-04-30 2012-02-09 Masahiro Matsumoto Semiconductor device and method of manufacturing the same
KR100953742B1 (ko) * 2009-06-17 2010-04-19 주식회사 아토 반도체 소자 및 그 제조 방법
KR101150605B1 (ko) * 2010-04-07 2012-06-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5419167B2 (ja) * 2010-08-10 2014-02-19 国立大学法人東北大学 半導体装置の製造方法および半導体装置
JP2012156356A (ja) * 2011-01-27 2012-08-16 Elpida Memory Inc 半導体装置の製造方法
CN102324427B (zh) * 2011-10-20 2016-03-16 上海集成电路研发中心有限公司 一种金属薄膜电阻结构及其制造方法
US9190323B2 (en) * 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
CN102881640A (zh) * 2012-09-17 2013-01-16 上海华力微电子有限公司 一种双大马士革铜互连结构的制造方法
JP6128787B2 (ja) * 2012-09-28 2017-05-17 キヤノン株式会社 半導体装置
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9082828B2 (en) * 2012-10-24 2015-07-14 Applied Materials, Inc. Al bond pad clean method
US20140167265A1 (en) * 2012-12-14 2014-06-19 Globalfoundries Inc. Methods of forming a bi-layer cap layer on copper-based conductive structures and devices with such a cap layer
US8889491B2 (en) 2013-01-28 2014-11-18 International Business Machines Corporation Method of forming electronic fuse line with modified cap
US8999767B2 (en) * 2013-01-31 2015-04-07 International Business Machines Corporation Electronic fuse having an insulation layer
US9972524B2 (en) 2013-03-11 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a semiconductor device
US9349636B2 (en) * 2013-09-26 2016-05-24 Intel Corporation Interconnect wires including relatively low resistivity cores
US9723716B2 (en) * 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
JP6134727B2 (ja) * 2013-11-08 2017-05-24 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR20150070749A (ko) * 2013-12-17 2015-06-25 삼성전자주식회사 반도체 패키지 및 이의 제조 방법
EP3155655B1 (en) * 2014-06-16 2021-05-12 Intel Corporation Selective diffusion barrier between metals of an integrated circuit device
WO2016048354A1 (en) * 2014-09-26 2016-03-31 Intel Corporation Technique for oxidizing plasma post-treatment for reducing photolithography poisoning and associated structures
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
KR102399345B1 (ko) 2014-11-12 2022-05-19 삼성전자주식회사 반도체 장치의 제조 방법
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9449915B2 (en) * 2014-12-24 2016-09-20 Macronix International Co., Ltd. Semiconductor device and method of manufacturing the same
US20160276156A1 (en) * 2015-03-16 2016-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing process thereof
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
JP6230573B2 (ja) * 2015-07-06 2017-11-15 株式会社日立国際電気 半導体装置の製造方法、プログラム、基板処理システム及び基板処理装置
US20170040257A1 (en) 2015-08-04 2017-02-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
CN108028224B (zh) * 2015-10-16 2022-08-16 索尼公司 半导体装置以及半导体装置的制造方法
US9984967B2 (en) * 2015-12-21 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US10923532B2 (en) * 2016-03-10 2021-02-16 Toshiba Memory Corporation Magnetic memory device
US9536780B1 (en) * 2016-04-15 2017-01-03 International Business Machines Corporation Method and apparatus for single chamber treatment
US9691733B1 (en) * 2016-07-28 2017-06-27 United Microelectronics Corp. Bonded semiconductor structure and method for forming the same
US10658296B2 (en) * 2016-09-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric film for semiconductor fabrication
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
CN106898578B (zh) * 2017-03-30 2019-08-06 合肥鑫晟光电科技有限公司 一种显示基板的制备方法、阵列基板及显示装置
US10304772B2 (en) 2017-05-19 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with resistive element
US10679936B2 (en) 2017-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. MIM structure
KR20190044196A (ko) 2017-10-20 2019-04-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10985011B2 (en) 2017-11-09 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with resistive elements
US10858544B2 (en) * 2018-05-24 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Chemical mechanical polishing slurry and chemical mechanical polishing process using the same
KR20200093100A (ko) 2019-01-25 2020-08-05 삼성디스플레이 주식회사 표시 장치용 도전선, 이를 포함하는 표시 장치, 및 이를 포함하는 표시 장치의 제조 방법
JP7297516B2 (ja) 2019-04-25 2023-06-26 キヤノン株式会社 半導体装置および機器
US11164780B2 (en) * 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
US11411160B2 (en) * 2020-01-21 2022-08-09 International Business Machines Corporation Silicon-based Josephson junction for qubit devices
TWI752464B (zh) 2020-04-14 2022-01-11 華邦電子股份有限公司 半導體結構及其形成方法
KR20220111792A (ko) * 2021-02-02 2022-08-10 삼성전자주식회사 반도체 장치

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US42193A (en) * 1864-04-05 Improved mode of connecting trucks to locomotives
US87513A (en) * 1869-03-02 Improvement in water-meter
US30367A (en) * 1860-10-09 Improvement in compositions for tanning
US183940A (en) * 1876-10-31 Improvement in sheet-metal doors
US100984A (en) * 1870-03-22 Improvement in dirt-gages for plows
US30146A (en) * 1860-09-25 livingston
US45651A (en) * 1864-12-27 Improvement in the manufacture of shot
US127843A (en) * 1872-06-11 Improvement in detachable horseshoe-calks
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
JP4207285B2 (ja) * 1999-02-10 2009-01-14 ソニー株式会社 半導体装置の製造方法
JP4094174B2 (ja) * 1999-06-04 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
DE10006964C2 (de) * 2000-02-16 2002-01-31 Infineon Technologies Ag Elektronisches Bauelement mit einer leitenden Verbindung zwischen zwei leitenden Schichten und Verfahren zum Herstellen eines elektronischen Bauelements
US6440878B1 (en) * 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
JP2001291720A (ja) 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP2001319928A (ja) 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP3600507B2 (ja) 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP4425432B2 (ja) 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2002009152A (ja) * 2000-06-21 2002-01-11 Nec Corp 半導体装置及びその製造方法
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法
US6660631B1 (en) * 2000-08-31 2003-12-09 Micron Technology, Inc. Devices containing platinum-iridium films and methods of preparing such films and devices
JP2002110679A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP4698813B2 (ja) 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2002164428A (ja) 2000-11-29 2002-06-07 Hitachi Ltd 半導体装置およびその製造方法
JP2002170882A (ja) 2000-12-01 2002-06-14 Nec Corp 配線構造の製造方法
JP3643533B2 (ja) * 2000-12-27 2005-04-27 株式会社東芝 半導体装置およびその製造方法
JP2002203899A (ja) 2000-12-28 2002-07-19 Matsushita Electric Ind Co Ltd 銅相互接続構造の形成方法
KR100399597B1 (ko) * 2001-03-08 2003-09-26 삼성전자주식회사 오버레이 키 및 그의 제조방법과 이를 이용한 오버레이측정방법
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
JP2002319551A (ja) * 2001-04-23 2002-10-31 Nec Corp 半導体装置およびその製造方法
JP2002324837A (ja) 2001-04-25 2002-11-08 Hitachi Ltd 半導体装置の製造方法
US7084507B2 (en) * 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
KR100416596B1 (ko) 2001-05-10 2004-02-05 삼성전자주식회사 반도체 소자의 연결 배선 형성 방법
JP2002353307A (ja) * 2001-05-25 2002-12-06 Toshiba Corp 半導体装置
JP2002373936A (ja) 2001-06-14 2002-12-26 Nec Corp デュアルダマシン法による配線形成方法
US20020197852A1 (en) * 2001-06-21 2002-12-26 Ming-Shi Yeh Method of fabricating a barrier layer with high tensile strength
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US20030008493A1 (en) * 2001-07-03 2003-01-09 Shyh-Dar Lee Interconnect structure manufacturing
JP2003017564A (ja) * 2001-07-04 2003-01-17 Fujitsu Ltd 半導体装置およびその製造方法
KR100442863B1 (ko) 2001-08-01 2004-08-02 삼성전자주식회사 금속-절연체-금속 커패시터 및 다마신 배선 구조를 갖는반도체 소자의 제조 방법
JP4257051B2 (ja) 2001-08-10 2009-04-22 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3745257B2 (ja) 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP2003142579A (ja) 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP4152619B2 (ja) 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2002270691A (ja) 2002-02-07 2002-09-20 Nec Corp 配線構造
US20030155657A1 (en) * 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
JP2003258085A (ja) * 2002-02-27 2003-09-12 Fujitsu Ltd 配線構造及びその形成方法
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2003282535A (ja) * 2002-03-20 2003-10-03 Sanyo Electric Co Ltd 半導体装置の製造方法
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP4068868B2 (ja) 2002-03-29 2008-03-26 株式会社ルネサステクノロジ 半導体装置の製造方法
US7042095B2 (en) 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
JP4040363B2 (ja) * 2002-05-20 2008-01-30 富士通株式会社 半導体装置
US20040048468A1 (en) * 2002-09-10 2004-03-11 Chartered Semiconductor Manufacturing Ltd. Barrier metal cap structure on copper lines and vias
JP2004128050A (ja) 2002-09-30 2004-04-22 Toshiba Corp 半導体装置およびその製造方法
US6756321B2 (en) * 2002-10-05 2004-06-29 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
CN100352036C (zh) * 2002-10-17 2007-11-28 株式会社瑞萨科技 半导体器件及其制造方法
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7135773B2 (en) * 2004-02-26 2006-11-14 International Business Machines Corporation Integrated circuit chip utilizing carbon nanotube composite interconnection vias

Also Published As

Publication number Publication date
US7777343B2 (en) 2010-08-17
KR20040084668A (ko) 2004-10-06
US8431480B2 (en) 2013-04-30
US20120015514A1 (en) 2012-01-19
US10121693B2 (en) 2018-11-06
US9064870B2 (en) 2015-06-23
US20140312499A1 (en) 2014-10-23
US20170011994A1 (en) 2017-01-12
US20140091468A1 (en) 2014-04-03
US20190035678A1 (en) 2019-01-31
US20190244855A1 (en) 2019-08-08
US20180047620A1 (en) 2018-02-15
US20170200637A1 (en) 2017-07-13
US9818639B2 (en) 2017-11-14
US8053893B2 (en) 2011-11-08
TW200425404A (en) 2004-11-16
US8617981B2 (en) 2013-12-31
US20130224947A1 (en) 2013-08-29
KR101055451B1 (ko) 2011-08-08
CN100373609C (zh) 2008-03-05
JP4454242B2 (ja) 2010-04-21
US8810034B2 (en) 2014-08-19
JP2004296515A (ja) 2004-10-21
US20060226555A1 (en) 2006-10-12
US20090256261A1 (en) 2009-10-15
US9490213B2 (en) 2016-11-08
US10304726B2 (en) 2019-05-28
US20150235962A1 (en) 2015-08-20
US9659867B2 (en) 2017-05-23
CN1536660A (zh) 2004-10-13
US7323781B2 (en) 2008-01-29
US20040227242A1 (en) 2004-11-18

Similar Documents

Publication Publication Date Title
TWI239592B (en) Semiconductor device and its manufacturing method
JP4173374B2 (ja) 半導体装置の製造方法
TWI484554B (zh) Semiconductor device and manufacturing method thereof
TW200404353A (en) A semiconductor device and a method of manufacturing the same
US7109127B2 (en) Manufacturing method of semiconductor device
JP4012381B2 (ja) 導電層の剥離を抑制できる半導体素子及びその製造方法
TW200305953A (en) Manufacturing method semiconductor device and semiconductor device
US9852991B2 (en) Semiconductor structure and fabrication method thereof
TWI269352B (en) Method for fabricating semiconductor device
JP2003332340A (ja) 半導体装置の製造方法
TW504799B (en) Copper line fabrication method
JP2004111795A (ja) 半導体装置の製造方法
JP2004179424A (ja) 半導体集積回路装置の製造方法
TW441003B (en) Structure of dielectric layer and method for making the same
TW201222724A (en) Dual damascene process

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent