JP2004296515A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法 Download PDF

Info

Publication number
JP2004296515A
JP2004296515A JP2003083348A JP2003083348A JP2004296515A JP 2004296515 A JP2004296515 A JP 2004296515A JP 2003083348 A JP2003083348 A JP 2003083348A JP 2003083348 A JP2003083348 A JP 2003083348A JP 2004296515 A JP2004296515 A JP 2004296515A
Authority
JP
Japan
Prior art keywords
insulating film
film
semiconductor device
wiring
barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003083348A
Other languages
English (en)
Other versions
JP4454242B2 (ja
Inventor
Junji Noguchi
純司 野口
Takafumi Oshima
隆文 大島
Noriko Miura
典子 三浦
Kensuke Ishikawa
憲輔 石川
Tomio Iwasaki
富生 岩崎
Kiyomi Katsuyama
清美 勝山
Tatsuyuki Saito
達之 齋藤
Takeshi Tamaru
剛 田丸
Hide Yamaguchi
日出 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2003083348A priority Critical patent/JP4454242B2/ja
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to TW093105725A priority patent/TWI239592B/zh
Priority to KR1020040018874A priority patent/KR101055451B1/ko
Priority to US10/807,222 priority patent/US7323781B2/en
Priority to CNB2004100297419A priority patent/CN100373609C/zh
Publication of JP2004296515A publication Critical patent/JP2004296515A/ja
Priority to US11/449,814 priority patent/US7777343B2/en
Priority to US12/489,006 priority patent/US8053893B2/en
Application granted granted Critical
Publication of JP4454242B2 publication Critical patent/JP4454242B2/ja
Priority to US13/243,882 priority patent/US8431480B2/en
Priority to US13/862,268 priority patent/US8617981B2/en
Priority to US14/095,817 priority patent/US8810034B2/en
Priority to US14/320,049 priority patent/US9064870B2/en
Priority to US14/702,507 priority patent/US9490213B2/en
Priority to US15/272,553 priority patent/US9659867B2/en
Priority to US15/469,730 priority patent/US9818639B2/en
Priority to US15/727,671 priority patent/US10121693B2/en
Priority to US16/137,972 priority patent/US10304726B2/en
Priority to US16/385,317 priority patent/US20190244855A1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D35/00Filtering devices having features not specifically covered by groups B01D24/00 - B01D33/00, or for applications not specifically covered by groups B01D24/00 - B01D33/00; Auxiliary devices for filtration; Filter housing constructions
    • B01D35/30Filter housing constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2201/00Details relating to filtering apparatus
    • B01D2201/30Filter housing constructions
    • B01D2201/309Housings with transparent parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2201/00Details relating to filtering apparatus
    • B01D2201/34Seals or gaskets for filtering elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】銅を主成分とする主導体膜を含む埋込配線の信頼性を向上させる。
【解決手段】下層配線としての配線20の上面を含む絶縁膜16上に、銅のバリア性に優れた炭窒化シリコン膜からなる絶縁膜21を形成し、絶縁膜21上に低誘電率材料膜との密着性に優れた炭化シリコン膜からなる絶縁膜22を形成し、絶縁膜22上に層間絶縁膜として低誘電率材料からなる絶縁膜23を形成し、その後上層配線としての配線34を形成する。銅配線のバリア絶縁膜として絶縁膜21および絶縁膜22の積層膜を用い、下層側の絶縁膜21を高バリア性の膜とし、上層側の絶縁膜22を高密着性の膜とする。
【選択図】 図15

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置およびその製造技術に関し、特に、銅を主成分とする主導体膜を含む配線を有する半導体装置に適用して有効な技術に関する。
【0002】
【従来の技術】
半導体装置の素子間は、例えば多層配線構造により結線され回路が構成される。微細化に伴い配線構造として埋込配線構造が開発されている。埋込配線構造は、例えば絶縁膜に形成された配線溝や孔などのような配線開口部内に、ダマシン(Damascene)技術(シングルダマシン(Single−Damascene)技術およびデュアルダマシン(Dual−Damascene)技術)によって、配線材料を埋め込むことで形成される。
【0003】
特開2002−43419号公報には、下層配線としてのCu層上にCu原子の拡散防止膜として膜厚50nmのP−SiC膜を形成し、P−SiC膜上に層間絶縁膜として低誘電率層を形成する技術が記載されている(特許文献1参照)。
【0004】
特開2002−270691号公報には、銅配線を形成した後、CMP法によって形成された平面上に炭化ケイ素(SiC)、窒化ケイ素(SiN)およびそれらの混合物(SiCN)などからなる5〜50nmの膜厚の絶縁性バリア膜を形成する技術が記載されている(特許文献2参照)。
【0005】
また、非特許文献1には、バリア誘電体として、下層側のα−SiC膜と上層側のα−SiCN膜の2層誘電体を用いる技術が記載されている(非特許文献1参照)。
【0006】
【特許文献1】
特開2002−43419号公報
【0007】
【特許文献2】
特開2002−270691号公報
【0008】
【非特許文献1】
シー・シー・チャン(C.C.Chiang)、エム・シー・チェン(M.C.Chen)、ゼット・シー・ウー(Z.C.Wu)、エル・ジェイ・リー(L.J.Li)、エス・エム・ジャン(S.M.Jang)、シー・エイチ・ユー(C.H.Yu)およびエム・エス・リャン(M.S.Liang),ティーディーディービー・リライアビリティ・インプルーブメント・イン・シーユー・ダマシン・バイ・ユージング・ア・バイレイヤ−ストラクチャード・ピーイーシーブイディー・エスアイシー・ディエレクトリック・バリア(TDDB Reliability Improvement in Cu Damascene by using a Bilayer−Structured PECVD SiC Dielectric Barrier),「2002アイアイティーシー(2002 IITC (International Interconnect Technology Conference))」,(米国),アイイーイーイー(IEEE),2002年,p.200−202
【0009】
【発明が解決しようとする課題】
本発明者の検討によれば、埋込銅配線を有する半導体装置においては、高温放置することなどにより、ストレスマイグレーションによって埋込銅配線の電気抵抗が上昇することが分かった。これは、埋込銅配線の信頼性を低下させる。
【0010】
また、埋込銅配線を有する半導体装置においては、埋込銅配線のTDDB(Time Dependence on Dielectric Breakdown)寿命を向上させることも要求される。本発明者の検討によれば、TDDB寿命試験においては、同層の埋込銅配線における隣接する配線間で、配線中の銅イオンが配線間の電界によりドリフトされ、絶縁破壊が引き起こされることが分かった。
【0011】
従って、埋込銅配線においては、ストレスマイグレーション特性の改善やTDDB寿命の向上など、更なる信頼性の向上が求められている。
【0012】
本発明の目的は、銅を主成分とする主導体膜を含む配線の信頼性を向上させることができる半導体装置およびその製造方法を提供することにある。
【0013】
本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
【0014】
【課題を解決するための手段】
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。
【0015】
本発明の半導体装置は、埋込銅配線のバリア絶縁膜として、銅配線を埋め込んだ絶縁膜上に形成され、銅に対するバリア性に優れた第1バリア絶縁膜と、第1バリア絶縁膜上に形成され、低誘電率材料膜との密着性に優れた第2バリア絶縁膜との積層膜を用いるものである。
【0016】
また、本発明の半導体装置は、埋込銅配線のバリア絶縁膜として、銅配線を埋め込んだ絶縁膜上に形成され、シリコンと炭素とを含み、かつ窒素または酸素の少なくとも一方を含む材料からなる第1バリア絶縁膜と、第1バリア絶縁膜上に形成され、炭化シリコンからなる第2バリア絶縁膜との積層膜を用いるものである。
【0017】
また、本発明の半導体装置は、埋込銅配線のバリア絶縁膜において、銅配線とバリア絶縁膜との界面近傍におけるバリア絶縁膜の窒素濃度が、バリア絶縁膜の上層の低誘電率材料膜とバリア絶縁膜との界面近傍におけるバリア絶縁膜の窒素濃度よりも高いものである。
【0018】
また、本発明の半導体装置は、銅配線を埋め込んだ絶縁膜上に、銅の拡散を抑制または防止する機能を有する絶縁膜を形成し、その上に応力を制御する機能を有する絶縁膜を形成し、銅の拡散を抑制または防止する機能を有する絶縁膜と応力を制御する機能を有する絶縁膜との積層膜の応力が−180MPa以上であるものである。
【0019】
また、本発明の半導体装置の製造方法は、銅配線を埋め込んだ絶縁膜上に銅に対するバリア性に優れた第1バリア絶縁膜を形成し、第1バリア絶縁膜上に低誘電率材料膜との密着性に優れた第2バリア絶縁膜を形成し、第2バリア絶縁膜上に低誘電率材料膜を形成するものである。
【0020】
また、本発明の半導体装置の製造方法は、銅配線を埋め込んだ絶縁膜上にシリコンと炭素とを含み、かつ窒素または酸素の少なくとも一方を含む材料からなる第1バリア絶縁膜を形成し、第1バリア絶縁膜上に炭化シリコンからなる第2バリア絶縁膜を形成し、第2バリア絶縁膜上に低誘電率材料膜を形成するものである。
【0021】
また、本発明の半導体装置の製造方法は、銅配線を埋め込んだ絶縁膜上にバリア絶縁膜を形成し、バリア絶縁膜上に低誘電率材料膜を形成し、銅配線とバリア絶縁膜との界面近傍におけるバリア絶縁膜の窒素濃度が、バリア絶縁膜の上層の低誘電率材料膜とバリア絶縁膜との界面近傍におけるバリア絶縁膜の窒素濃度よりも高いものである。
【0022】
【発明の実施の形態】
以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の機能を有する部材には同一の符号を付し、その繰り返しの説明は省略する。また、以下の実施の形態では、特に必要なとき以外は同一または同様な部分の説明を原則として繰り返さない。
【0023】
(実施の形態1)
本実施の形態の半導体装置およびその製造工程を図面を参照して説明する。図1は、本発明の一実施の形態である半導体装置、例えばMISFET(Metal Insulator Semiconductor Field Effect Transistor)、の製造工程中の要部断面図である。
【0024】
図1に示すように、例えば1〜10Ωcm程度の比抵抗を有するp型の単結晶シリコンなどからなる半導体基板(半導体ウエハ)1の主面に素子分離領域2が形成される。素子分離領域2は酸化シリコンなどからなり、例えばSTI(Shallow Trench Isolation)法またはLOCOS(Local Oxidization of Silicon )法などにより形成される。
【0025】
次に、半導体基板1のnチャネル型MISFETを形成する領域にp型ウエル3を形成する。p型ウエル3は、例えばホウ素(B)などの不純物をイオン注入することなどによって形成される。
【0026】
次に、p型ウエル3の表面にゲート絶縁膜4が形成される。ゲート絶縁膜4は、例えば薄い酸化シリコン膜などからなり、例えば熱酸化法などによって形成することができる。
【0027】
次に、p型ウエル3のゲート絶縁膜4上にゲート電極5が形成される。例えば、半導体基板1上に多結晶シリコン膜を形成し、その多結晶シリコン膜にリン(P)などをイオン注入して低抵抗のn型半導体膜とし、その多結晶シリコン膜をドライエッチングによってパターニングすることにより、多結晶シリコン膜からなるゲート電極5を形成することができる。
【0028】
次に、p型ウエル3のゲート電極5の両側の領域にリンなどの不純物をイオン注入することにより、n型半導体領域6が形成される。
【0029】
次に、ゲート電極5の側壁上に、例えば酸化シリコンなどからなる側壁スペーサまたはサイドウォール7が形成される。サイドウォール7は、例えば、半導体基板1上に酸化シリコン膜を堆積し、この酸化シリコン膜を異方性エッチングすることによって形成することができる。
【0030】
サイドウォール7の形成後、n型半導体領域8(ソース、ドレイン)が、例えば、p型ウエル3のゲート電極5及びサイドウォール7の両側の領域にリンなどの不純物をイオン注入することにより形成される。n型半導体領域8は、n型半導体領域6よりも不純物濃度が高い。
【0031】
次に、ゲート電極5およびn型半導体領域8の表面を露出させ、例えばコバルト(Co)膜を堆積して熱処理することによって、ゲート電極5とn型半導体領域8との表面に、それぞれシリサイド膜5aおよびシリサイド膜8aを形成する。これにより、n型半導体領域8の拡散抵抗と、コンタクト抵抗とを低抵抗化することができる。その後、未反応のコバルト膜は除去する。
【0032】
このようにして、p型ウエル3にnチャネル型のMISFET(Metal Insulator Semiconductor Field Effect Transistor)9が形成される。
【0033】
次に、半導体基板1上に窒化シリコンなどからなる絶縁膜10と、酸化シリコンなどからなる絶縁膜11を順次堆積する。それから、絶縁膜11および絶縁膜10を順次ドライエッチングすることにより、n型半導体領域(ソース、ドレイン)8の上部などにコンタクトホール12を形成する。コンタクトホール12の底部では、半導体基板1の主面の一部、例えばn型半導体領域8の一部、やゲート電極5の一部などが露出される。
【0034】
次に、コンタクトホール12内に、タングステン(W)などからなるプラグ13が形成される。プラグ13は、例えば、コンタクトホール12の内部を含む絶縁膜11上にバリア膜として例えば窒化チタン膜13aを形成した後、タングステン膜をCVD(Chemical Vapor Deposition)法などによって窒化チタン膜13a上にコンタクトホール12を埋めるように形成し、絶縁膜11上の不要なタングステン膜および窒化チタン膜13aをCMP(Chemical Mechanical Polishing)法またはエッチバック法などによって除去することにより形成することができる。
【0035】
図2〜図13は、図1に続く半導体装置の製造工程中における要部断面図を示している。なお、理解を簡単にするために、図2〜図13では、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0036】
図2に示されるように、プラグ13が埋め込まれた絶縁膜11上に絶縁膜(エッチングストッパ膜)14を形成する。絶縁膜14は、例えば炭化シリコン(SiC)膜からなる。絶縁膜14の他の材料として、窒化シリコン(Si)膜などを用いることもできる。絶縁膜14は、炭化シリコン(SiC)膜と窒化シリコン(Si)膜との積層膜で構成してもよい。絶縁膜14は、その上層の絶縁膜(層間絶縁膜)15に配線形成用の溝や孔をエッチングにより形成する際に、その掘り過ぎにより下層に損傷を与えたり、加工寸法精度が劣化したりすることを回避するために形成される。すなわち、絶縁膜14は絶縁膜(層間絶縁膜)15をエッチングする際にエッチングストッパとして機能することができる。
【0037】
次に、絶縁膜14上に絶縁膜(層間絶縁膜)15が形成される。絶縁膜15は、例えば有機ポリマーまたは有機シリカガラスなどのような低誘電率材料(いわゆるLow−K絶縁膜、Low−K材料)からなることが好ましい。なお、低誘電率な絶縁膜(Low−K絶縁膜)とは、パッシベーション膜に含まれる酸化シリコン膜(たとえばTEOS(Tetraethoxysilane)酸化膜)の誘電率よりも低い誘電率を有する絶縁膜を例示できる。一般的には、TEOS酸化膜の比誘電率ε=4.1〜4.2程度以下を低誘電率な絶縁膜と言う。
【0038】
上記低誘電率材料としての有機ポリマーには、例えばSiLK(米The Dow Chemical Co.製、比誘電率=2.7、耐熱温度=490℃以上、絶縁破壊耐圧=4.0〜5.0MV/Vm)またはポリアリルエーテル(PAE)系材料のFLARE(米Honeywell Electronic Materials製、比誘電率=2.8、耐熱温度=400℃以上)がある。このPAE系材料は、基本性能が高く、機械的強度、熱的安定性および低コスト性に優れるという特徴を有している。上記低誘電率材料としての有機シリカガラス(SiOC系材料)には、例えばHSG−R7(日立化成工業製、比誘電率=2.8、耐熱温度=650℃)、Black Diamond(米Applied Materials,Inc.製、比誘電率=3.0〜2.4、耐熱温度=450℃)またはp−MTES(日立開発製、比誘電率=3.2)がある。この他のSiOC系材料には、例えばCORAL(米Novellus Systems, Inc.製、比誘電率=2.7〜2.4、耐熱温度=500℃)、Aurora2.7(日本エー・エス・エム社製、比誘電率=2.7、耐熱温度=450℃)がある。
【0039】
また、上記低誘電率材料として、例えばFSG(SiOF系材料)、HSQ(hydrogen silsesquioxane)系材料、MSQ(methyl silsesquioxane)系材料、ポーラスHSQ系材料、ポーラスMSQ材料またはポーラス有機系材料を用いることもできる。上記HSQ系材料には、例えばOCD T−12(東京応化工業製、比誘電率=3.4〜2.9、耐熱温度=450℃)、FOx(米Dow Corning Corp.製、比誘電率=2.9)またはOCL T−32(東京応化工業製、比誘電率=2.5、耐熱温度=450℃)などがある。上記MSQ系材料には、例えばOCD T−9(東京応化工業製、比誘電率=2.7、耐熱温度=600℃)、LKD−T200(JSR製、比誘電率=2.7〜2.5、耐熱温度=450℃)、HOSP(米Honeywell Electronic Materials製、比誘電率=2.5、耐熱温度=550℃)、HSG−RZ25(日立化成工業製、比誘電率=2.5、耐熱温度=650℃)、OCL T−31(東京応化工業製、比誘電率=2.3、耐熱温度=500℃)またはLKD−T400(JSR製、比誘電率=2.2〜2、耐熱温度=450℃)などがある。上記ポーラスHSQ系材料には、例えばXLK(米Dow Corning Corp.製、比誘電率=2.5〜2)、OCL T−72(東京応化工業製、比誘電率=2.2〜1.9、耐熱温度=450℃)、Nanoglass(米Honeywell Electronic Materials製、比誘電率=2.2〜1.8、耐熱温度=500℃以上)またはMesoELK(米Air Productsand Chemicals, Inc.製、比誘電率=2以下)がある。上記ポーラスMSQ系材料には、例えばHSG−6211X(日立化成工業製、比誘電率=2.4、耐熱温度=650℃)、ALCAP−S(旭化成工業製、比誘電率=2.3〜1.8、耐熱温度=450℃)、OCL T−77(東京応化工業製、比誘電率=2.2〜1.9、耐熱温度=600℃)、HSG−6210X(日立化成工業製、比誘電率=2.1、耐熱温度=650℃)またはsilica aerogel(神戸製鋼所製、比誘電率1.4〜1.1)などがある。上記ポーラス有機系材料には、例えばPolyELK(米Air Productsand Chemicals, Inc.製、比誘電率=2以下、耐熱温度=490℃)などがある。上記SiOC系材料、SiOF系材料は、例えばCVD法によって形成されている。例えば上記Black Diamondは、トリメチルシランと酸素との混合ガスを用いたCVD法などによって形成される。また、上記p−MTESは、例えばメチルトリエトキシシランとNOとの混合ガスを用いたCVD法などによって形成される。それ以外の上記低誘電率の絶縁材料は、例えば塗布法で形成されている。
【0040】
このようなLow−K材料からなる絶縁膜15上に、例えばCVD法などを用いて絶縁膜16を形成する。絶縁膜16は、例えば二酸化シリコン(SiO)に代表される酸化シリコン(SiO)膜からなる。絶縁膜16の他の材料として、酸窒化シリコン(SiON)膜を用いることもできる。また、絶縁膜16は、例えばCMP処理時における絶縁膜15の機械的強度の確保、表面保護および耐湿性の確保等のような機能を有することができる。また、絶縁膜15が例えばフッ素(F)を含む酸化シリコン膜(SiOF)膜からなる場合は、絶縁膜16は、絶縁膜15中のフッ素の拡散を防止するように機能することもできる。また、絶縁膜15が、例えばCMP工程における耐性を有する場合などは、絶縁膜16の形成を省略することもできる。
【0041】
次に、図3に示されるように、フォトリソグラフィ法およびドライエッチング法を用いて、絶縁膜16、絶縁膜15および絶縁膜14を選択的に除去して開口部(配線開口部、配線溝)17を形成する。このとき、開口部17の底部では、プラグ13の上面が露出される。その後、エッチングマスクとして用いた図示しないフォトレジストパターン(および反射防止膜)をアッシングなどにより除去する。絶縁膜15が、例えば有機ポリマー系の材料(例えば上記SiLK)やポーラス有機系材料(例えば上記PolyELK)などのように酸素プラズマによりダメージを受け得る材料からなる場合は、絶縁膜15をNHプラズマ処理またはN/Hプラズマ処理などの還元性プラズマ処理によってエッチングしながら、フォトレジストパターン(および反射防止膜)をアッシングして除去することもできる。
【0042】
次に、図4に示されるように、半導体基板1の主面上の全面(すなわち開口部17の底部および側壁上を含む絶縁膜16上)に、例えば窒化チタン(TiN)などからなる厚さ50nm程度の比較的薄い導電性バリア膜18を形成する。導電性バリア膜18の成膜には、スパッタリング法やCVD法などを用いることができる。導電性バリア膜18は、例えば後述の主導体膜形成用の銅の拡散を抑制または防止する機能や主導体膜のリフロー時に銅の濡れ性を向上させる機能などを有している。このような導電性バリア膜18の材料としては、窒化チタンに代えて、銅と殆ど反応しない窒化タングステン(WN)または窒化タンタル(TaN)などのような高融点金属窒化物を用いることもできる。また、導電性バリア膜18の材料として、高融点金属窒化物にシリコン(Si)を添加した材料や、銅と反応し難いタンタル(Ta)、チタン(Ti)、タングステン(W)、チタンタングステン(TiW)合金などのような高融点金属を用いることもできる。また、導電性バリア膜18としては、上記材料膜の単体膜だけでなく積層膜を用いることもできる。
【0043】
次に、導電性バリア膜18上に、例えば厚さ800〜1600nm程度の相対的に厚い銅からなる主導体膜19を形成する。主導体膜19は、例えばCVD法、スパッタリング法またはめっき法などを用いて形成することができる。また、主導体膜19は銅を主成分とする導体膜、例えば銅または銅合金(Cuを主成分とし、例えばMg,Ag,Pd,Ti,Ta,Al,Nb,ZrまたはZnなどを含む)により形成することができる。また、導電性バリア膜18上に、相対的に薄い銅(または銅合金)などからなるシード膜をスパッタリング法などによって形成し、その後、シード膜上に相対的に厚い銅(または銅合金)などからなる主導体膜19をめっき法などによって形成することもできる。その後、例えば475℃程度の非酸化性雰囲気(例えば水素雰囲気)中において半導体基板1に対して熱処理を施すことにより主導体膜19をリフローさせ、銅を開口部17の内部に隙間なく埋め込む。
【0044】
次に、図5に示されるように、主導体膜19および導電性バリア膜18を例えばCMP法によって、絶縁膜16の上面が露出するまで研磨する。絶縁膜16上の不要な導電性バリア膜18および主導体膜19を除去し、配線開口部としての開口部17内に導電性バリア膜18および主導体膜19を残すことにより、図5に示されるように、相対的に薄い導電性バリア膜18と相対的に厚い主導体膜19とからなる配線(第1層配線)20を開口部17内に形成する。形成された配線20は、プラグ13を介してn型半導体領域(ソース、ドレイン)8やゲート電極5と電気的に接続されている。あるいはエッチング(電解エッチングなど)により、不要な導電性バリア膜18および主導体膜19を除去することもできる。
【0045】
次に、半導体基板1をプラズマCVD装置の処理室内に配置し、アンモニアガスを導入してプラズマ電源を印加することにより、半導体基板1(特に配線20が露出するCMP面)に対して、アンモニア(NH)プラズマ処理を施す。あるいは、NガスおよびHガスを導入して、N/Hプラズマ処理を施す。このような還元性プラズマ処理により、CMPで酸化された銅配線表面の酸化銅(CuO、CuO、CuO)を銅(Cu)に還元し、更に、窒化銅(CuN)層が配線20の表面(ごく薄い領域)に形成される。
【0046】
それから、必要に応じて洗浄を行った後、図6に示されるように、半導体基板1の主面の全面上に絶縁膜21および絶縁膜22を、例えばプラズマCVD法などによって順に形成する。すなわち、配線20の上面上を含む絶縁膜16上に、絶縁膜21および絶縁膜22を順に形成する。
【0047】
絶縁膜21は、銅配線のバリア絶縁膜として機能する。従って、絶縁膜21は、配線20の主導体膜19中の銅が、後で形成される絶縁膜(層間絶縁膜)23中に拡散するのを抑制または防止する。絶縁膜21は、銅に対するバリア性に優れた(銅の拡散を抑制または防止する機能が高い)材料膜を用いることが好ましく、例えば炭窒化シリコン(SiCN)膜を用いることが好ましい。絶縁膜21としての炭窒化シリコン(SiCN)膜は、例えばトリメチルシランガスおよびアンモニアガスを用いたプラズマCVD法により形成することができる。炭窒化シリコン(SiCN)膜は、銅に対するバリア性に優れており、リーク電流が低く、耐圧性も高いので、配線20の主導体膜19中の銅の拡散を的確に防止することが可能となる。
【0048】
本実施の形態では、絶縁膜21上に、絶縁膜22を形成する。絶縁膜22は、図7に示すように、絶縁膜22上に形成する絶縁膜(低誘電率材料膜)23との密着性に優れた材料膜を用いることが好ましく、例えば炭化シリコン(SiC)膜を用いることが好ましい。すなわち、絶縁膜22は接着層として機能する。絶縁膜22としての炭化シリコン(SiC)膜は、例えばトリメチルシランガスを用いたプラズマCVD法により形成することができる。このため、絶縁膜21および絶縁膜22を同じプラズマCVD成膜装置を用いて成膜ガスを切り換えることで形成でき、製造工程数を抑制できる。絶縁膜22(SiC)も銅(Cu)に対するバリア性を有するが、絶縁膜21(SiCN)のバリア性よりもその効果は小さい。すなわち、本実施の形態では、絶縁膜21の銅に対するバリア性は、絶縁膜22の銅に対するバリア性よりも大きい。また、絶縁膜22と絶縁膜(低誘電率材料膜)23との密着性(接着性)は、(絶縁膜22を形成することなく)絶縁膜21上に絶縁膜23を形成したときの絶縁膜21と絶縁膜23との間の密着性(接着性)よりも大きい。
【0049】
以下、本実施の形態では、これら絶縁膜21および絶縁膜22をそれぞれ便宜上第1バリア絶縁膜および第2バリア絶縁膜と称する場合もある。
【0050】
窒素や炭素を含有しない炭化シリコン(SiC)膜により絶縁膜22を形成すれば、絶縁膜22と後述する絶縁膜23との間の密着性(接着性)を向上することが可能となる。また、絶縁膜22も銅に対するバリア性(銅の拡散を抑制または防止する機能)を有しているので、絶縁膜21上に絶縁膜22を形成することで、配線20の主導体膜19中の銅の拡散をより的確に防止することができる。また、絶縁膜21と絶縁膜22のうち、配線20に接する絶縁膜21を銅のバリア性により優れた材料膜(例えば炭窒化シリコン(SiCN)膜)により形成し、絶縁膜21よりも銅のバリア性は若干低くても、層間絶縁膜としての絶縁膜23との密着性(接着性)により優れた材料膜(例えば炭化シリコン(SiC)膜)により、絶縁膜21と絶縁膜23との間に絶縁膜22を形成すれば、配線20の主導体膜19中の銅の拡散をより的確に防止し、かつ膜(絶縁膜)間の密着性をより的確に向上することができる。従って、絶縁膜21(第1バリア絶縁膜)と絶縁膜22(第2バリア絶縁膜)を積層に形成し、絶縁膜21は配線20側に形成し、絶縁膜22は絶縁膜23側に形成することで、配線の信頼性をより向上することができる。
【0051】
また、下層のバリア絶縁膜(第1バリア絶縁膜)としての絶縁膜21の膜厚が、上層のバリア絶縁膜(第2バリア絶縁膜)としての絶縁膜22の膜厚よりも厚ければより好ましい。銅に対するバリア性が相対的に大きい(あるいは耐圧が相対的に高い)絶縁膜21の膜厚を絶縁膜22の膜厚よりも厚くすることで、配線20の主導体膜19中の銅の拡散防止機能を高めることができ、また絶縁膜21および絶縁膜22からなる積層膜全体の耐圧を高めることができる。また、絶縁膜21の膜厚は40nm以下であればより好ましく、例えば25〜30nm程度であれば更に好ましい。これにより、銅に対する高いバリア性を確保できるとともに、配線間容量の低減も可能となる。また、絶縁膜22の膜厚は、10nm以下であればより好ましく、例えば5〜10nm程度であれば更に好ましい。これにより、絶縁膜22と絶縁膜23との間の密着性を確保できるとともに、配線間容量の低減も可能となる。
【0052】
また、絶縁膜21として、上記のように銅のバリア性に優れ、耐圧の高い炭窒化シリコン(SiCN)膜を用いることで、配線20の主導体膜19中の銅の拡散をより的確に防止し、配線の信頼性を向上することができる。
【0053】
また、他の形態として、絶縁膜21の材料に、炭窒化シリコン(SiCN)に酸素が添加された膜(SiCON膜)、あるいは炭化シリコン(SiC)に酸素(O)が添加された膜(SiOC膜)などを用いることもできる。これにより、絶縁膜21の誘電率を小さくすることができるので、配線間容量を低減することができる。また、配線間のリーク電流をより低減することができる。従って、絶縁膜21の材料としては、シリコンと炭素とを含み、かつ窒素または酸素の少なくとも一方を含む材料を用いることができる。絶縁膜21として炭窒化シリコン(SiCN)膜を用いた場合は、銅の拡散防止機能をより高め、配線の耐圧性もより向上することができ、絶縁膜21として炭窒化シリコン(SiCN)に酸素が添加された膜(SiCON膜)または炭化シリコン(SiC)に酸素(O)が添加された膜(SiOC膜)を用いた場合は、配線間容量をより低減し、リーク電流もより低減することができる。
【0054】
次に、図7に示されるように、絶縁膜22上に、絶縁膜(層間絶縁膜)23、絶縁膜(エッチングストッパ膜)24、絶縁膜(層間絶縁膜)25、絶縁膜(CMP保護膜)26および絶縁膜(ハードマスク層)27を順に形成する。絶縁膜(層間絶縁膜)23は、上記絶縁膜15と同様の材料(低誘電率材料)により形成することができ、塗布法またはCVD法などにより形成することができる。絶縁膜(エッチングストッパ膜)24は、上記絶縁膜16と同様の材料(例えば酸化シリコン膜)により形成することができる。絶縁膜(層間絶縁膜)25は、上記絶縁膜15と同様の材料(低誘電率材料)により形成することができ、塗布法またはCVD法などにより形成することができる。絶縁膜(CMP保護膜)26は、上記絶縁膜16と同様の材料(例えば酸化シリコン膜)により形成することができる。絶縁膜26は、例えばCMP処理時における絶縁膜25の機械的強度の確保、表面保護および耐湿性の確保等のような機能を有することができるが、絶縁膜25が、例えばCMP工程における耐性を有する場合などは、絶縁膜26の形成を省略することもできる。絶縁膜(ハードマスク層)27は、例えば窒化シリコン膜(SiN)、炭化シリコン(SiC)膜、炭窒化シリコン(SiCN)膜、または酸化アルミニウム(AlO)膜により形成することができる。
【0055】
絶縁膜23は上記のような低誘電率材料からなるが、例えば炭化シリコン(SiC)に窒素(N)または酸素(O)を添加した材料膜(例えばSiCN膜、SiOC膜またはSiCON膜)のように窒素(N)または酸素(O)を含む材料膜(絶縁膜21に対応)上に上記のような低誘電率材料膜を形成した場合、下地膜(窒素(N)または酸素(O)を含む材料膜)と低誘電率材料膜との密着性(接着性)が低下する恐れがある。これは、膜間の剥離などを引き起こす恐れがあり、特に上層配線と下層配線とを接続するビアの底部近傍において剥離が生じた場合には、配線の信頼性を低下させる恐れがある。このような現象は、上記低誘電率材料全般において生じ得るが、低誘電率材料として、シリコン(Si)と酸素(O)と炭素(C)とを含む材料を用いた場合に顕著であり、例えば、酸炭化シリコン(有機シリカガラス、SiOC系材料、例えば上記Black Diamondなど)、MSQ(メチルシルセスキオキサン;methyl silsesquioxane)系材料またはHSQ(水素シルセスキオキサン;hydrogen silsesquioxane)系材料(それらのポーラス材料も含む)を低誘電率材料として用いた場合により顕著となる。
【0056】
本実施の形態では、窒素(N)または酸素(O)を含まない炭化シリコン(SiC)膜からなる絶縁膜22上に、低誘電率材料からなる絶縁膜23を形成するので、下地の絶縁膜22と低誘電率材料からなる絶縁膜23との間の密着性(接着性)を向上することができる。このため、絶縁膜23の材料として低誘電率材料、特にシリコン(Si)と酸素(O)と炭素(C)とを含む低誘電率材料を用いたとしても、絶縁膜23が下地層(絶縁膜22)から剥離するのを防止することができる。このため、配線の信頼性を向上できる。
【0057】
次に、図8に示されるように、フォトリソグラフィ法を用いて絶縁膜27上にフォトレジストパターン28を形成する。それから、図9に示されるように、フォトレジストパターン28をエッチングマスクとして用いて絶縁膜27をドライエッチングする。これにより、絶縁膜27に開口部29が形成される。開口部29は、配線溝の形成予定領域に形成される。その後、フォトレジストパターン28を除去する。
【0058】
次に、図10に示されるように、開口部29を埋めるように絶縁膜27上にフォトレジスト膜を形成し、そのフォトレジスト膜を露光、現像してパターン化することにより、フォトレジストパターン30を形成する。それから、フォトレジストパターン30をエッチングマスクとして用いて絶縁膜26、絶縁膜25、絶縁膜24および絶縁膜23をドライエッチングする。これにより、絶縁膜23〜26に開口部31が形成される。開口部31は、ビア(孔)の形成予定領域に形成される。従って、開口部31の平面領域は、開口部29の平面領域に含まれる。また、このドライエッチングの際には、絶縁膜22(および絶縁膜21)をエッチングストッパ膜として機能させることで、開口部31の底部で絶縁膜22および絶縁膜21を残存させ、配線20の上面が露出しないようにすることができる。これにより、配線20上面の自然酸化膜の形成を防止できる。また、ドライエッチングによって配線20の主導体膜19の銅が飛散するのを防止することができる。その後、フォトレジストパターン30を除去する。
【0059】
次に、図11に示されるように、絶縁膜27をエッチングマスク(ハードマスク)として用いて絶縁膜26および絶縁膜25をドライエッチングする。これにより、絶縁膜24〜27に開口部29が形成される。このドライエッチングの際、開口部31の底部では絶縁膜21,22が存在(残存)するので、配線20がエッチングされるのを防止することができる。
【0060】
次に、図12に示されるように、開口部29の底部で絶縁膜24をドライエッチングにより除去し、開口部31の底部で絶縁膜22および絶縁膜21をドライエッチングにより除去する。これにより、開口部31の底部で配線20の上面が露出される。そして、絶縁膜27をドライエッチングにより除去する。絶縁膜27は、開口部31の底部で絶縁膜22および絶縁膜21を除去する工程と同じドライエッチング工程、あるいはそれとは別のドライエッチング工程によって除去することができる。絶縁膜27を除去した後に残存する絶縁膜26は、CMP工程の保護膜などとして機能することができる。
【0061】
次に、開口部31の底部で露出する配線20(下層銅配線)の表面に形成された酸化銅を除去して配線20の露出した上面を清浄化(クリーニング)する処理を行う。これは、例えば水素(H)プラズマ処理のような還元性プラズマ処理により、銅配線表面の酸化銅(CuO、CuO、CuO)を銅(Cu)に還元することにより行うことができる。
【0062】
次に、図13に示されるように、開口部29および開口部31の底部および側面を含む絶縁膜26上に、導電性バリア膜18と同様の材料からなる導電性バリア膜32を同様の手法を用いて形成し、それから、導電性バリア膜32上に、開口部29および開口部31内を埋めるように、主導体膜19と同様の材料からなる主導体膜33を同様の手法を用いて形成し、そして、主導体膜33および導電性バリア膜32を例えばCMP法によって、絶縁膜26の上面が露出するまで研磨する。絶縁膜26上の不要な導電性バリア膜32および主導体膜33を除去し、配線開口部としての開口部29,31内に導電性バリア膜32および主導体膜33を残すことにより、図13に示されるように、相対的に薄い導電性バリア膜32と相対的に厚い主導体膜33とからなる配線(第2層配線)34を開口部29および開口部31内に形成する。開口部(配線溝)29に埋め込まれた導電性バリア膜32と主導体膜33とからなる配線部は、開口部(ビア)31に埋め込まれた導電性バリア膜32と主導体膜33とからなるビア部を介して下層配線である配線20と電気的に接続されている。
【0063】
本実施の形態では、低誘電率材料からなる絶縁膜15,23,25上には酸化シリコン(または酸窒化シリコン)などからなる絶縁膜16,24,26が形成されている。他の形態として、例えば絶縁膜15,23,25が酸素プラズマによりダメージを受け得る低誘電率材料からなる場合などは、絶縁膜15,23,25上に酸素(O)プラズマなどの酸化性プラズマを用いることなく形成した薄い絶縁膜、例えば炭化シリコン(SiC)膜を形成し、その上に絶縁膜16,24,26を形成することもできる。図14は、他の形態の半導体装置の製造工程中の要部断面図であり、図13に対応する。図14では、低誘電率材料からなる絶縁膜15,23,25上に、酸素(O)プラズマなどの酸化性プラズマを用いることなく形成した薄い絶縁膜、例えば炭化シリコン(SiC)膜からなる絶縁膜16a,24a,26aが形成され、絶縁膜16a,24a,26a上に酸化シリコン(または酸窒化シリコン)などからなる絶縁膜16,24,26が形成されている。これにより、絶縁膜15,23,25のダメージ(変質)を防止し、絶縁膜15,23,25と絶縁膜16,24,26との密着性を向上させることができる。
【0064】
図15および図16は、図13に続く半導体装置の製造工程中における要部断面図を示している。なお、図15および図16においても、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0065】
図13の構造が得られた後、半導体基板1をプラズマCVD装置の処理室内に配置し、アンモニアガスを導入してプラズマ電源を印加することにより、半導体基板1(特に配線34が露出するCMP面)に対して、アンモニア(NH)プラズマ処理を施す。あるいは、NガスおよびHガスを導入して、N/Hプラズマ処理を施す。このような還元性プラズマ処理により、CMPで酸化された銅配線表面の酸化銅(CuO、CuO、CuO)を銅(Cu)に還元し、更に、窒化銅(CuN)層が配線20の表面(ごく薄い領域)に形成される。
【0066】
それから、必要に応じて洗浄を行った後、図15に示されるように、半導体基板1の主面の全面上に、上記絶縁膜21および絶縁膜22と同様の材料からなり、同様の機能を有する絶縁膜(第1バリア絶縁膜)35および絶縁膜(第2バリア絶縁膜)36を、同様の手法を用いて順に形成する。すなわち、配線34の上面上を含む絶縁膜26上に、絶縁膜35および絶縁膜36を順に形成する。これにより、配線34の主導体膜33中の銅の拡散を的確に防止できるとともに、絶縁膜36上に形成される絶縁膜37(層間絶縁膜、低誘電率材料膜)との密着性を向上することができる。
【0067】
それから、図16に示されるように、絶縁膜36上に、絶縁膜23,24,25,26と同様にして絶縁膜(低誘電率材料膜)37、絶縁膜(酸化シリコン膜)38、絶縁膜(低誘電率材料膜)39および絶縁膜(酸化シリコン膜)40を形成し、開口部29および開口部31と同様にして絶縁膜38,39,40における開口部(配線溝)41と絶縁膜35,36,37における開口部(ビア)42とを形成し、配線34と同様にして、開口部41および開口部42を埋める、導電性バリア膜43および主導体膜(銅膜)44からなる配線(第3層配線)45を形成する。その後、配線45の上面上を含む絶縁膜40上に、上記絶縁膜21および絶縁膜22と同様にしてバリア絶縁膜を形成し、バリア絶縁膜上に層間絶縁膜(低誘電率材料膜)などが形成されて更に上層配線が形成され得るが、ここではその説明は省略する。
【0068】
本発明者の検討によれば、埋込銅配線を有する半導体装置においては、高温放置することなどにより、ストレスマイグレーションによって埋込銅配線の電気抵抗が上昇することが分かった。この際、下層埋込銅配線の上面と、上層埋込銅配線のビア部との間に、空隙またはボイドが形成される。このため、下層埋込銅配線と上層埋込銅配線の間の接続面積が低減し、電気抵抗の上昇が生じてしまう。また、ボイドが形成されたことにより下層埋込銅配線と上層埋込銅配線との間で断線が生じる恐れもある。これらは、配線の信頼性を低下させ、半導体装置の製造歩留まりを低減させ、製造コストを増大させる。
【0069】
また、埋込銅配線を有する半導体装置においては、埋込銅配線のTDDB(Time Dependence on Dielectric Breakdown)寿命を向上させることも要求される。なお、TDDB(Time Dependence on Dielectric Breakdown)寿命とは、絶縁破壊の時間的依存性を客観的に計る尺度であって、所定の温度(例えば140℃)の測定条件下で電極間に比較的高い電圧を加え、電圧印加から絶縁破壊までの時間を印加電界に対してプロットしたグラフを作成し、このグラフから実際の使用電界強度(例えば0.2MV/cm)に外挿して求めた時間(寿命)をいう。本発明者の検討によれば、TDDB寿命試験においては、同層の埋込銅配線における隣接する配線間で、配線中の銅イオンが配線間の電界によりドリフトされ、絶縁破壊が引き起こされることが分かった。
【0070】
従って、埋込銅配線のストレスマイグレーションによる劣化防止とTDDB寿命の向上の両立が求められる。
【0071】
本実施の形態では上層銅配線(例えば配線34)のビア部(例えば開口部31に埋め込まれた導電性バリア膜32と主導体膜33)の底部近傍において、下層銅配線(例えば配線20)の上面を覆うバリア絶縁膜として、第1バリア絶縁膜(例えば絶縁膜21)と第2バリア絶縁膜(例えば絶縁膜22)とを用いている。下層側の第1バリア絶縁膜(例えば絶縁膜21)として、銅のバリア性に優れた材料膜(例えば炭窒化シリコン(SiCN)膜)を用い、上層側の第2バリア絶縁膜(例えば絶縁膜22)として層間絶縁膜(低誘電率材料膜、例えば絶縁膜23)との密着性に優れた材料膜(例えば炭化シリコン(SiC)膜)を用いている。これにより、銅配線(例えば配線20)の銅の拡散を防止できるとともに、バリア絶縁膜(例えば絶縁膜21,22)と層間絶縁膜(低誘電率材料膜、例えば絶縁膜23)との密着性を向上することができる。
【0072】
図17は、埋込銅配線のTDDB寿命試験の結果を示すグラフである。図17のグラフの横軸は、配線間に印加した電界強度に対応し、縦軸は電圧印加から絶縁破壊までの時間に対応する。このグラフから実際の使用電界強度(例えば0.2MV/cm)に外挿して求めた時間(寿命)をTDDB寿命とすることができる。
【0073】
図17では、本実施の形態のように第1バリア絶縁膜(下層)として25nmの膜厚の炭窒化シリコン(SiCN)膜と第2バリア絶縁膜(上層)として25nmの膜厚の炭化シリコン(SiC)膜とを用いた場合(図17のグラフにおける白丸に対応)だけでなく、第1の比較例として、バリア絶縁膜として50nmの膜厚の炭窒化シリコン(SiCN)膜の単層を用いた場合(図17のグラフにおける白四角に対応し、本実施の形態と比較して第2バリア絶縁膜の形成を省略した場合に相当する)、第2の比較例としてバリア絶縁膜として50nmの膜厚の炭化シリコン(SiC)膜の単層を用いた場合(図17のグラフにおける黒四角に対応し、本実施の形態と比較して第1バリア絶縁膜の形成を省略した場合に相当する)、および第3の比較例として、第1バリア絶縁膜(下層)として25nmの膜厚の炭化シリコン(SiC)膜と第2バリア絶縁膜(上層)として25nmの膜厚の炭窒化シリコン(SiCN)膜とを用いた場合(図17のグラフにおける黒丸に対応し、本実施の形態と比較して第1バリア絶縁膜と第2バリア絶縁膜とが逆になった場合に相当する)についても記載してある。
【0074】
図17のグラフから分かるように、本実施の形態では、バリア絶縁膜を積層構造とし、埋込銅配線に接する第1バリア絶縁膜に銅のバリア性に優れた炭窒化シリコン(SiCN)膜を用いているので、配線中の銅の拡散を的確に抑制または防止でき、配線のTDDB寿命を比較的長く(良好に)することができる。一方、埋込銅配線に接するバリア絶縁膜に銅のバリア性が相対的に劣る炭化シリコン(SiC)膜を用いた場合(上記第2の比較例および第3の比較例に対応)では、配線中の銅が拡散しやすくなり、配線のTDDB寿命が劣化してしまう。このように、〔非特許文献1〕に示されるような、埋込銅配線に接するバリア絶縁膜に炭化シリコン(SiC)膜を用いた場合よりも、銅のバリア性が相対的に優れている窒化シリコン(SiCN)膜を用いることで、配線のTDDB寿命を向上させることができる。
【0075】
図18〜図20は、埋込銅配線の高温放置試験(例えば150℃で100時間放置)後の抵抗上昇率を示すグラフである。図18〜図20のグラフの横軸が高温放置試験後の埋込銅配線の電気抵抗の変化率または上昇率(高温放置試験前の電気抵抗を基準とした電気抵抗の増加率)に対応し、図18〜図20のグラフの縦軸が累積分布または累積確率(Cumulative Probability)に対応する。図18は、埋込銅配線のバリア絶縁膜として、本実施の形態のように、炭窒化シリコン(SiCN)膜(ここでは膜厚25nm)からなる第1バリア絶縁膜(下層)と、その上の炭化シリコン(SiC)膜(ここでは膜厚25nm)からなる第2バリア絶縁膜(上層)との積層膜を用いた場合に対応する。また、図19は、埋込銅配線のバリア絶縁膜として、50nmの膜厚の炭窒化シリコン(SiCN)膜の単層を用いた上記第1の比較例の場合に対応する。また、図20は、埋込銅配線のバリア絶縁膜として、50nmの膜厚の炭化シリコン(SiC)膜の単層を用いた上記第2の比較例の場合に対応する。また、図18〜図20のそれぞれでは、配線幅を種々の値に変えた場合について実験が行われ、結果がプロットされている。
【0076】
図18〜図20から分かるように、高温放置することにより埋込銅配線の電気抵抗が上昇している。また、図18〜図20のそれぞれにおいて、配線幅を大きくすることにより、埋込銅配線の電気抵抗の上昇率は大きくなる傾向にある。
【0077】
バリア絶縁膜として低誘電率材料膜との密着性が相対的に低い炭窒化シリコン(SiCN)膜を用い、炭窒化シリコン(SiCN)膜上に層間絶縁膜として低誘電率材料膜を形成した上記第1の比較例の場合は、図19に示されるように、高温放置による埋込銅配線の電気抵抗の上昇率が大きい。これは、バリア絶縁膜としての炭窒化シリコン(SiCN)膜上に層間絶縁膜としての低誘電率材料膜を形成すると、上層銅配線のビア部の底部近傍においてバリア絶縁膜と低誘電率材料膜との間の密着性(接着性)が低下し、ストレスマイグレーションによる不良が生じやすくなるためと考えられる。
【0078】
それに対して、本実施の形態では、図18に示されるように、高温放置による埋込銅配線の電気抵抗の上昇を抑制することができ、ストレスマイグレーションによる不良の発生を抑制または防止することができる。
【0079】
本実施の形態では、バリア絶縁膜を積層構造とし、低誘電率材料膜に接する側の第2バリア絶縁膜に低誘電率材料膜との密着性に優れた膜(炭化シリコン(SiC)膜)を用いることにより、バリア絶縁膜(例えば絶縁膜21,22)と層間絶縁膜(低誘電率材料膜、例えば絶縁膜23)との密着性を向上できるので、上層銅配線(例えば配線34)のビア部(例えば開口部31に埋め込まれた導電性バリア膜32および主導体膜33)の底部近傍におけるバリア絶縁膜(例えば絶縁膜21,22)と層間絶縁膜(例えば絶縁膜23)との間の密着性(接着強度)を向上でき、上記のようなストレスマイグレーションによる不具合、例えば上層銅配線(例えば配線34)のビア部と下層銅配線(例えば配線20)の上面との間における空隙またはボイドの発生や上層銅配線(例えば配線34)と下層銅配線(例えば配線20)との間の抵抗上昇など、を抑制または防止することができる。
【0080】
なお、上記のような効果は、下層銅配線として配線20を適用し上層銅配線として配線34を適用した場合、下層銅配線として配線34を適用し上層銅配線として配線45を適用した場合、および下層銅配線として配線45を適用し上層銅配線として配線45の更に上層の配線(図示せず)を適用した場合のいずれについても得ることができる。
【0081】
本実施の形態では、銅配線とバリア絶縁膜との間の銅のバリア性(銅の拡散防止)の向上と、バリア絶縁膜と層間絶縁膜(低誘電率材料膜)との密着性向上との両立が可能となり、配線のTDDB寿命の向上とストレスマイグレーション特性の向上とを実現できる。これにより、配線の信頼性を向上することができる。また、半導体装置の製造歩留まりを低減し、製造コストも低減できる。
【0082】
(実施の形態2)
図21および図22は、本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。図5までの製造工程は上記実施の形態1と同様であるので、ここではその説明は省略し、図5に続く製造工程について説明する。なお、図21および図22においても、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0083】
図5に示される構造が得られた後、上記実施の形態1と同様にして還元性プラズマ処理を施し、更に必要に応じて洗浄を行った後、図21に示されるように、半導体基板1の主面の全面上に絶縁膜(バリア絶縁膜)51を例えばプラズマCVD法などによって形成する。すなわち、配線20の上面上を含む絶縁膜16上に、絶縁膜51を形成する。
【0084】
絶縁膜51は、炭窒化シリコン(SiCN)膜により形成されるが、絶縁膜51中の厚み方向の窒素(N)濃度分布は後述するように均一ではない。
【0085】
それから、図22に示されるように、絶縁膜51上に、上記実施の形態1と同様に絶縁膜23,24,25,26,27を形成する。
【0086】
図23は、絶縁膜51の厚み方向(半導体基板1の主面に垂直な方向)における窒素(N)濃度分布を模式的に示すグラフである。図23のグラフの横軸は、絶縁膜51の下層である配線20(または絶縁膜16)の上部領域から絶縁膜51の上層である絶縁膜23の下部領域にかけての厚み(膜厚)方向の位置(arbitrary unit:任意単位)に対応し、図23のグラフの縦軸は、膜中の窒素(N)濃度(arbitrary unit:任意単位)に対応する。
【0087】
図23のグラフに示されるように、本実施の形態では、配線20と絶縁膜51との界面近傍領域における絶縁膜51の窒素(N)濃度は、絶縁膜51とその上層の絶縁膜23との界面近傍領域における絶縁膜51の窒素(N)濃度よりも大きい。例えば、絶縁膜51において、下部(配線20または絶縁膜16側)の高窒素(N)濃度領域51aとその上部(絶縁膜23側)の低窒素(N)濃度領域51bとを有している。
【0088】
絶縁膜51は、例えば窒素ガスを用いたCVD法によって連続的に形成することができる。例えば、絶縁膜51の成膜初期段階では成膜装置へ導入する窒素ガスの流量を相対的に多くして高窒素濃度領域51aの絶縁膜51を形成し、絶縁膜51の成膜後期段階では成膜装置へ導入する窒素ガスの流量を相対的に少なくして低窒素濃度領域51bの絶縁膜51を形成することができる。また、絶縁膜51の成膜後期段階では成膜装置への窒素ガスの導入を停止(流量をゼロに)して、絶縁膜51の低窒素濃度領域51bにおける窒素濃度を非常に小さなもの(あるいはゼロ)とすることもできる。
【0089】
炭窒化シリコン(SiCN)膜は、窒素濃度を高くすることで、銅のバリア性(銅の拡散を抑制または防止する機能)を向上させ、また耐圧を高めることができる。一方、炭窒化シリコン(SiCN)膜は、窒素濃度を低くすることで、低誘電率材料膜との密着性(接着性)を向上させることができる。窒素濃度が高い炭窒化シリコン(SiCN)膜上に低誘電率材料膜を形成すると、下地膜(窒素濃度が高い膜)と低誘電率材料膜との密着性(接着性)が低下する恐れがある。これは、膜間の剥離などを引き起こす恐れがあり、配線の信頼性を低下させる。このような現象は、上記低誘電率材料全般において生じ得るが、低誘電率材料として、シリコン(Si)と酸素(O)と炭素(C)とを含む材料を用いた場合に顕著であり、例えば、酸炭化シリコン(有機シリカガラス、SiOC系材料、例えば上記Black Diamondなど)、MSQ(メチルシルセスキオキサン;methyl silsesquioxane)系材料またはHSQ(水素シルセスキオキサン;hydrogen silsesquioxane)系材料(それらのポーラス材料も含む)を低誘電率材料として用いた場合により顕著となる。
【0090】
本実施の形態では、炭窒化シリコン(SiCN)からなる絶縁膜51の配線20と接する領域を高窒素(N)濃度領域51aとすることで、配線20の銅の拡散を絶縁膜51に的確に防止でき、絶縁膜51の絶縁膜(低誘電率材料膜)23と接する領域を低窒素(N)濃度領域51bとすることで、絶縁膜51と絶縁膜(低誘電率材料膜)23との密着性を向上することができる。このように、配線20と絶縁膜51との界面近傍領域における絶縁膜51の窒素(N)濃度を、絶縁膜51とその上層の絶縁膜23との界面近傍領域における絶縁膜51の窒素(N)濃度よりも大きくすることで、絶縁膜51における銅のバリア性と上層の低誘電率材料膜との密着性の両立を図ることが可能となる。また、絶縁膜51の絶縁膜(低誘電率材料膜)23と接する領域を、窒素を含まない炭化シリコンではなく、炭窒化シリコン(SiCN)によって構成しているので、バリア絶縁膜51全体の銅の拡散防止機能や耐圧性をより高めることができる。
【0091】
図24および図25は、図22に続く半導体装置の製造工程中における要部断面図を示している。なお、図24および図25においても、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0092】
図22の構造が得られた後、上記実施の形態1における図8〜図13に対応する工程と同様の工程などが行われて、開口部29および開口部31やそこに埋め込まれた配線34が形成され、図24の構造が得られる。それから、図25に示されるように、絶縁膜51と同様の材料からなり同様の窒素(N)濃度分布を有する絶縁膜52をバリア絶縁膜として配線34の上面上を含む絶縁膜26上に形成する。そして、バリア絶縁膜としての絶縁膜52上に、上記実施の形態1と同様にして絶縁膜37,38,39,40を形成し、開口部41,42を形成し、開口部41,42に埋め込まれた導電性バリア膜43および銅の主導体膜44からなる配線45を形成する。その後、配線45の上面上を含む絶縁膜40上に、絶縁膜51と同様にしてバリア絶縁膜を形成し、バリア絶縁膜上に層間絶縁膜(低誘電率材料膜)などが形成されて更に上層配線が形成され得るが、ここではその説明は省略する。
【0093】
本実施の形態では、上層銅配線(配線34)のビア部(開口部31に埋め込まれた導電性バリア膜32と主導体膜33)の底部近傍において、下層銅配線(配線20)の上面を覆うバリア絶縁膜として、絶縁膜51を用いている。絶縁膜51は、炭窒化シリコン(SiCN)膜からなるが、膜厚方向の窒素(N)濃度分布は均一ではない。本実施の形態では、配線20と絶縁膜51との界面近傍領域における絶縁膜51の窒素(N)濃度は、絶縁膜51とその上層の絶縁膜23との界面近傍領域における絶縁膜51の窒素(N)濃度よりも大きい。これにより、銅配線(配線20)とバリア絶縁膜(絶縁膜51)との間の銅のバリア性(銅の拡散防止)の向上と、バリア絶縁膜(絶縁膜51)と層間絶縁膜(低誘電率材料膜、絶縁膜23)との密着性向上との両立が可能となる。このような効果は、配線20のバリア絶縁膜としての絶縁膜51、配線34のバリア絶縁膜としての絶縁膜52、および配線45のバリア絶縁膜(図示せず)のいずれについても得ることができる。このため、配線のTDDB寿命の向上とストレスマイグレーション特性の向上とを実現できる。これにより、配線の信頼性を向上することができる。また、半導体装置の製造歩留まりを低減し、製造コストも低減できる。
【0094】
また、上記実施の形態1と本実施の形態とを組み合わせることも可能である。すなわち、上記実施の形態1のように絶縁膜21および絶縁膜22(または絶縁膜35および絶縁膜36)の積層膜からなるバリア絶縁膜を用いた配線(配線層)と、本実施の形態のような絶縁膜51(または絶縁膜52)からなるバリア絶縁膜を用いた配線(配線層)とを混在させることもできる。
【0095】
(実施の形態3)
図26〜図32は、本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。図9までの製造工程は上記実施の形態1と同様であるので、ここではその説明は省略し、図9に続く製造工程について説明する。なお、図26〜図32においても、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0096】
図9に示される構造が得られた後、図26に示されるように、第2のハードマスク層として、開口部29を埋めるように絶縁膜27上に、絶縁膜61を形成する。絶縁膜61は、例えば酸化シリコン(SiO)膜、酸窒化シリコン(SiON)膜または酸炭化シリコン(SiOC)膜などの、エッチングの選択比が絶縁膜24および絶縁膜27とは異なり、更に絶縁膜25とのエッチングの選択比も異なる材料により形成することができる。
【0097】
次に、図27に示されるように、絶縁膜61上にフォトレジスト膜を形成し、そのフォトレジスト膜を露光、現像してパターン化することにより、フォトレジストパターン62を形成する。それから、フォトレジストパターン62をエッチングマスクとして用いて絶縁膜61をドライエッチングして、絶縁膜61に開口部31を形成する。なお、開口部31はビアの形成予定領域に形成され、開口部(ビア)31の平面領域は、開口部(配線溝)29の平面領域に含まれる。その後、フォトレジストパターン62をアッシングなどにより除去する。
【0098】
それから、図28に示されるように、絶縁膜61をエッチングマスク(ハードマスク)として用いて、開口部31の底部において絶縁膜26および絶縁膜25をドライエッチングする。その後、絶縁膜61をドライエッチングなどにより除去する。
【0099】
次に、図29に示されるように、絶縁膜27をエッチングマスク(ハードマスク)として用いて、開口部29の底部において絶縁膜26および絶縁膜25をドライエッチングし、開口部31の底部において絶縁膜24および絶縁膜23をドライエッチングする。このドライエッチングの際、絶縁膜22(および絶縁膜21)がエッチングストッパ膜として機能し、開口部31の底部では絶縁膜21,22が存在(残存)するので、配線20がエッチングされるのを防止することができる。
【0100】
次に、図30に示されるように、開口部29の底部で絶縁膜24をドライエッチングにより除去し、開口部31の底部で絶縁膜22および絶縁膜21をドライエッチングにより除去する。これにより、開口部31の底部で配線20の上面が露出される。そして、絶縁膜27をドライエッチングにより除去する。絶縁膜27は、開口部31の底部で絶縁膜22および絶縁膜21を除去する工程と同じドライエッチング工程、あるいはそれとは別のドライエッチング工程によって除去することができる。絶縁膜27を除去した後に残存する絶縁膜26は、CMP工程の保護膜などとして機能することができる。
【0101】
次に、図31に示されるように、上記実施の形態1と同様にして、開口部29,31内に、導電性バリア膜32および銅の主導体膜33からなる配線34を形成する。その後、図32に示されるように、配線34の上面を含む絶縁膜26上に、上記実施の形態1と同様にして絶縁膜35,36,37,38,39,40が形成され、本実施の形態における開口部29,31の形成工程と同様の手法を用いて、絶縁膜35〜40に開口部41,42を形成し、上記実施の形態1と同様にして開口部41,42内に導電性バリア膜43および銅の主導体膜44からなる配線45を形成する。その後、配線45の上面上を含む絶縁膜40上に、上記絶縁膜21および絶縁膜22と同様にしてバリア絶縁膜を形成し、バリア絶縁膜上に層間絶縁膜(低誘電率材料膜)などが形成されて更に上層配線が形成され得るが、ここではその説明は省略する。
【0102】
本実施の形態では、上記実施の形態1と同様の効果を得ることができる。更に、本実施の形態では、開口部29,31を形成するために低誘電率材料からなる絶縁膜23,25をドライエッチングする際に、エッチングマスクとしてフォトレジストパターンを用いずに、ハードマスクとしての絶縁膜61と絶縁膜27とを用いている。このため、低誘電率材料からなる絶縁膜23,25が露出した状態でフォトレジストパターンを除去(アッシング)することがない。このため、フォトレジストパターンのアッシングなどにより低誘電率材料膜(絶縁膜23,25)が変質(またはダメージを受ける)するのを防止することができる。これにより、半導体装置の信頼性をより向上することができる。
【0103】
また、上記実施の形態2と本実施の形態とを組み合わせることも可能である。
【0104】
(実施の形態4)
図33〜図42は、本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。図6までの製造工程は上記実施の形態1と同様であるので、ここではその説明は省略し、図6に続く製造工程について説明する。なお、図33〜図42においても、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0105】
上記実施の形態1〜3では、いわゆるデュアルダマシン(Dual−Damascene)技術を用いて配線34(配線45)を形成した。本実施の形態では、いわゆるシングルダマシン(Single−Damascene)技術を用いて配線34(配線45)を形成する。
【0106】
図6の構造が得られた後、図33に示されるように、絶縁膜22上に、上記実施の形態1と同様にして絶縁膜23および絶縁膜24を形成する。それから、本実施の形態では、絶縁膜24上に、例えば窒化シリコン膜(SiN)、炭化シリコン(SiC)膜、炭窒化シリコン(SiCN)膜または酸化アルミニウム(AlO)膜などからなる絶縁膜(ハードマスク層)71を形成する。そして、絶縁膜71上にフォトリソグラフィ法を用いてフォトレジストパターン72を形成する。
【0107】
次に、図34に示されるように、フォトレジストパターン72をエッチングマスクとして用いて絶縁膜71をドライエッチングして、絶縁膜71に開口部31aを形成する。なお、開口部31aはビアの形成予定領域に形成され、上記実施の形態1の開口部31に対応する。その後、フォトレジストパターン72をアッシングなどにより除去する。
【0108】
次に、図35に示されるように、絶縁膜71をエッチングマスク(ハードマスク)として用いて絶縁膜24および絶縁膜23をドライエッチングする。低誘電率材料膜からなる絶縁膜23をドライエッチングする際にフォトレジストパターンを用いないので、フォトレジストパターンの除去(アッシング)による絶縁膜(低誘電率材料膜)23の変質(ダメージ)を防止できる。また、このドライエッチングの際には、絶縁膜22(および絶縁膜21)をエッチングストッパ膜として機能させることで、開口部31aの底部で絶縁膜22および絶縁膜21を残存させ、配線20がエッチングされるのを防止することができる。
【0109】
次に、図36に示されるように、開口部31aの底部で絶縁膜22および絶縁膜21をドライエッチングにより除去する。これにより、開口部31aの底部で配線20の上面が露出される。そして、絶縁膜71をドライエッチングにより除去する。絶縁膜71は、開口部31aの底部で絶縁膜22および絶縁膜21を除去する工程と同じドライエッチング工程、あるいはそれとは別のドライエッチング工程によって除去することができる。絶縁膜71を除去した後に残存する絶縁膜24は、実施の形態1における絶縁膜16,26と同様にCMP工程の保護膜などとして機能することができ、例えば酸化シリコン(SiO)膜で形成することができる。
【0110】
次に、図37に示されるように、配線20形成工程と同様にして、開口部31a内に、導電性バリア膜73および銅の主導体膜74からなるプラグ75を形成する。例えば、半導体基板1の主面上の全面(開口部31aの底部および側壁上を含む絶縁膜24上)に、例えば窒化チタン(TiN)などからなる導電性バリア膜73を形成し、導電性バリア膜73上に開口部31a内を満たす(埋める)ように銅(または銅合金)からなる主導体膜74を形成し、主導体膜74および導電性バリア膜73を例えばCMP法によって、絶縁膜24の上面が露出するまで研磨する。このようにして、絶縁膜24上の不要な導電性バリア膜73および主導体膜74を除去し、開口部31a内に導電性バリア膜73および主導体膜74を残すことにより、相対的に薄い導電性バリア膜73と相対的に厚い主導体膜74とからなるプラグ75を形成する。形成されたプラグ75は、配線20と電気的に接続されている。
【0111】
それから、還元性プラズマ処理や必要に応じて洗浄を行った後、図38に示されるように、半導体基板1の主面の全面上(プラグ75の上面を含む絶縁膜24上)に例えば窒化シリコン膜などからなる絶縁膜76を形成し、絶縁膜76上に、上記実施の形態1と同様にして絶縁膜25,26,27を形成する。不要であれば、絶縁膜76の形成を省略することもできる。そして、絶縁膜27上に、フォトリソグラフィ法を用いてフォトレジストパターン77を形成する。
【0112】
次に、図39に示されるように、フォトレジストパターン77をエッチングマスクとして用いて絶縁膜27をドライエッチングして、絶縁膜27に開口部29aを形成する。なお、開口部29aは配線溝の形成予定領域に形成され、上記実施の形態1の開口部29に対応する。従って、開口部29aの平面領域は開口部31aの平面領域を含む。その後、フォトレジストパターン77をアッシングなどにより除去する。
【0113】
次に、図40に示されるように、絶縁膜27をエッチングマスク(ハードマスク)として用いて絶縁膜26および絶縁膜25をドライエッチングする。低誘電率材料膜からなる絶縁膜25をドライエッチングする際にフォトレジストパターンを用いないので、フォトレジストパターンの除去(アッシング)による絶縁膜(低誘電率材料膜)25の変質(ダメージ)を防止できる。それから、開口部29aの底部で絶縁膜76をドライエッチングにより除去する。これにより、開口部29aの底部で配線20の上面が露出される。なお、絶縁膜76の形成を省略した場合は、上記絶縁膜26および絶縁膜25のドライエッチングにより、開口部29aの底部で配線20の上面が露出される。そして、絶縁膜27をドライエッチングにより除去する。絶縁膜27は、開口部29aの底部で絶縁膜76を除去する工程と同じドライエッチング工程、あるいはそれとは別のドライエッチング工程によって除去することができる。絶縁膜27を除去した後に残存する絶縁膜26は、CMP工程の保護膜などとして機能することができる。
【0114】
次に、図41に示されるように、配線20形成工程と同様にして、開口部29a内に、導電性バリア膜78および銅の主導体膜79からなる配線(第2層配線)80を形成する。例えば、半導体基板1の主面上の全面(開口部29aの底部および側壁上を含む絶縁膜26上)に、例えば窒化チタン(TiN)などからなる導電性バリア膜78を形成し、導電性バリア膜78上に開口部29a内を満たす(埋める)ように銅(または銅合金)からなる主導体膜79を形成し、主導体膜79および導電性バリア膜78を例えばCMP法によって、絶縁膜26の上面が露出するまで研磨する。このようにして、絶縁膜26上の不要な導電性バリア膜78および主導体膜79を除去し、開口部29a内に導電性バリア膜78および主導体膜79を残すことにより、相対的に薄い導電性バリア膜78と相対的に厚い主導体膜79とからなる配線80を形成する。形成された配線80は、プラグ75を介して配線20と電気的に接続されている。
【0115】
それから、図42に示されるように、配線80上に、バリア絶縁膜として上記実施の形態1と同様にして絶縁膜35および絶縁膜36が形成される。その後、本実施の形態のようなプラグ75および配線80の形成工程と同様にして、配線80に電気的に接続された更に上層のプラグおよび配線が形成され得るが、ここではその説明は省略する。
【0116】
本実施の形態では、上記実施の形態1と同様の効果を得ることができる。更に、本実施の形態では、開口部31a,29aを形成するために低誘電率材料からなる絶縁膜23,25をドライエッチングする際に、エッチングマスクとしてフォトレジストパターンを用いずに、ハードマスクとしての絶縁膜71と絶縁膜27とを用いている。このため、低誘電率材料からなる絶縁膜23,25が露出した状態でフォトレジストパターンを除去(アッシング)することがない。このため、フォトレジストパターンのアッシングなどにより低誘電率材料膜(絶縁膜23,25)が変質(またはダメージを受ける)するのを防止することができる。これにより、半導体装置の信頼性をより向上することができる。
【0117】
また、上記実施の形態2と本実施の形態とを組み合わせることも可能である。
【0118】
(実施の形態5)
図43〜図46は、本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。図5までの製造工程は上記実施の形態1と同様であるので、ここではその説明は省略し、図5に続く製造工程について説明する。なお、図43〜図46においても、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0119】
図5の構造が得られた後、図43に示されるように、配線20の主導体膜19の表面近傍(例えば20nm以下程度)に膜91を形成する。膜91は、銅(Cu)より拡散係数の小さい銅(Cu)化合物あるいは銅(Cu)以外の金属からなる。
【0120】
膜91は、例えば次のようにして形成することができる。図5の構造が得られた後、配線20の表面が露出した状態で、半導体基板1に対して、アンモニア(NH)プラズマ処理など施すことにより、配線20の表面に窒化銅(CuN)層を形成して、窒化銅(CuN)からなる膜91を形成することができる。この際、配線20の表面(および絶縁膜16の表面)を洗浄する処理を行って、配線20の表面を清浄化た後に、清浄化された配線20の表面に窒化銅(CuN)からなる膜91を形成すれば、より好ましい。
【0121】
あるいは、膜91は、次のようにして形成することもできる。配線20の表面が露出した状態で、半導体基板1に対して、アンモニア(NH)プラズマ処理などを施した後、モノシランガスを吹き付けることなどにより、微量(例えば1〜2原子%程度)のシリコン(Si)を含む(添加した)銅層(CuSi層)を配線20の表面に形成して、シリコンを添加した銅からなる膜91を形成することができる。また、少量のアルミニウム(Al)を添加した銅層(CuAl層)により膜91を形成することもできる。
【0122】
あるいは、膜91は、選択タングステンCVD法などを用いて形成することもできる。例えば、図5の構造が得られた後、六フッ化タングステン(WF)および水素(H)ガスを用いたCVD法により、絶縁膜16から露出した配線20の上面上にタングステン膜を選択的に堆積することにより、タングステンからなる膜91を形成することができる。
【0123】
あるいは、膜91は、選択めっき法などを用いて形成することもできる。例えば、図5の構造が得られた後、絶縁膜16から露出した配線20の上面上にCo膜またはWB膜などのめっき層を選択的に形成することにより、めっき層(例えばCoまたはWB膜)からなる膜91を形成することができる。
【0124】
膜91の形成後、上記実施の形態1における図6〜図13に対応する工程と同様の工程を行って、図44の構造が得られる。それから、図45に示されるように、配線34の主導体膜33の表面近傍(例えば20nm以下程度)に、膜91と同様の材料からなる膜92を同様の手法を用いて形成する。膜92の形成後、上記実施の形態1における図15および図16に対応する工程と同様の工程を行って、図46の構造を得ることができるが、本実施の形態では、配線45についても、配線45の主導体膜44の表面近傍に膜91と同様の材料からなる膜93を同様の手法を用いて形成する。
【0125】
本実施の形態では、配線20の表面に膜91を形成し、膜91上にバリア絶縁膜として絶縁膜21を形成している。膜91が存在しない場合の銅膜(主導体膜19)とバリア絶縁膜(絶縁膜21)との界面の結合状態に起因する銅(Cu)の拡散係数より低い拡散係数を有する銅化合物(または銅以外の金属)により膜91を形成し、そのような膜91を銅膜(主導体膜19)とバリア絶縁膜(絶縁膜21)との間に介在させる。配線34,45についても同様である。これにより、配線とバリア絶縁膜との間の界面における銅の拡散をより抑制することができ、配線のストレスマイグレーション特性などをより向上することが可能となる。
【0126】
また、上記実施の形態1〜4と本実施の形態とを組み合わせることも可能である。
【0127】
(実施の形態6)
図47は、本発明の他の実施の形態である半導体装置の要部断面図である。図47は、上記実施の形態1の図16に対応する。なお、図47においても、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0128】
図47に示される半導体装置は、図16の半導体装置と同様の構造を有している。本実施の形態では、埋込銅配線(例えば配線20)のバリア絶縁膜を第1バリア絶縁膜(例えば絶縁膜21)と第2バリア絶縁膜(例えば絶縁膜22)の積層膜により形成し、その積層膜の応力Sを−180MPa以上(S≧―180MPa)とする。なお、本実施の形態において、ある膜の応力(応力値)について言及するときは、シリコン基板からなる半導体基板1上に直接その膜を形成したときに生じる応力(膜応力)のことをいう。従って、第1バリア絶縁膜と第2バリア絶縁膜の積層膜の応力が−180MPa以上というときは、半導体基板1上に直接第1バリア絶縁膜と第2バリア絶縁膜とを形成したときに、その積層膜に生じる応力に対応する。また、積層膜の応力Sが−180MPa以上というときは、−180MPa≦S≦0および0≦Sを合わせたものに対応する。
【0129】
図48は、絶縁膜21を炭窒化シリコン(SiCN)膜により形成し、絶縁膜22を炭化シリコン(SiC)膜により形成し、絶縁膜21と絶縁膜22との積層膜の全体の膜厚を50nm(固定)とし、絶縁膜21と絶縁膜22との膜厚の比率を変化させたときの絶縁膜21と絶縁膜22との積層膜の応力(応力値)Sを示すグラフである。図48のグラフの横軸は、炭窒化シリコン膜(絶縁膜21)と炭化シリコン膜(絶縁膜22)との積層膜の全体の膜厚を50nm(固定)としたときの炭窒化シリコン膜(絶縁膜21)の膜厚に対応し、図48のグラフの縦軸は、その積層膜の応力(応力値)Sに対応する。
【0130】
図48からも分かるように、炭窒化シリコン(SiCN)膜の単独膜(図48の横軸の炭窒化シリコン(SiCN)膜の膜厚が50nmの場合に対応)は、その応力は負であり、圧縮応力が発生する。また、炭化シリコン(SiC)膜の単独膜(図48の横軸の炭窒化シリコン(SiCN)膜の膜厚が0nmの場合に対応)は、その応力は正であり、引張応力が発生する。炭窒化シリコン(SiCN)膜の単独膜ではかなり大きな負の応力が発生するが、図48に示されるように、炭窒化シリコン(SiCN)膜の膜厚を減らして炭化シリコン(SiC)膜の膜厚の割合を増やすことで、積層膜全体の応力が正の方向に移行する。
【0131】
本発明者の実験によれば、絶縁膜21の膜厚が40nm以下となり、絶縁膜21および絶縁膜22の積層膜の応力が−180MPa以上となると、良好なストレスマイグレーション特性を得られることが分かった。例えば、応力が−180MPa以上となる積層膜を下層銅配線と層間絶縁膜(低誘電率材料膜)との間のバリア絶縁膜として用いれば、150℃で100時間の放置試験で埋込銅配線の電気抵抗の変化率(上昇率)を2%以内に抑えることができた。一方、応力が−180MPaより小さな(S<−180MPa)積層膜を下層銅配線と層間絶縁膜(低誘電率材料膜)との間のバリア絶縁膜として用いた場合、150℃で100時間の放置試験で埋込銅配線の電気抵抗の変化率(上昇率)が2%を越えるものが生じた。下層銅配線と層間絶縁膜(低誘電率材料膜)との間のバリア絶縁膜に積層膜を用いた場合、その積層膜の応力が−180MPa以上であれば、高温放置によって引き起こされる応力の緩和が生じにくくなり、ストレスマイグレーションに起因した不具合の発生を防止することが可能となる。
【0132】
本実施の形態では、(絶縁膜22よりも)銅に対するバリア性に優れた絶縁膜21は、配線20のバリア絶縁膜(銅の拡散防止膜)として機能し、絶縁膜22は、応力を制御する膜として機能することができる。例えば、絶縁膜22は、絶縁膜21の発生させる応力を緩和させるように機能することができる。銅のバリア性に優れた絶縁膜21の単独膜では、応力が好ましい範囲内(応力が−180MPa以上)になかったとしても、絶縁膜21上に絶縁膜22を形成して積層膜全体の応力を制御することで、例えば絶縁膜21が発生する負の応力(圧縮応力)を絶縁膜22が生じ得る正の応力(引張応力)により緩和することで、積層膜(バリア絶縁膜)全体の応力を−180MPa以上に制御でき、積層膜(バリア絶縁膜)と層間絶縁膜(低誘電率材料膜)との間の密着性(接着性)を向上することができる。これにより、ストレスマイグレーションに起因する不良(例えば高温放置による下層埋込銅配線の上面と上層埋込銅配線のビア部との間のボイドの発生や、埋込銅配線の電気抵抗の上昇など)を抑制し、配線の信頼性を向上することができる。このため、半導体装置の信頼性を向上できる。また、半導体装置の製造歩留まりを向上し、製造コストを低減できる。
【0133】
また、上記実施の形態1〜5と本実施の形態とを組み合わせることも可能である。
【0134】
(実施の形態7)
図49〜図51は、実施の形態1とは別の製造工程を用いた場合の半導体装置の製造工程中の要部断面図である。図6までの製造工程は上記実施の形態1と同様であるので、ここではその説明は省略し、図6に続く製造工程について説明する。なお、図49〜図51においても、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0135】
図49に示されるように、絶縁膜22上に、絶縁膜(層間絶縁膜)23、絶縁膜(エッチングストッパ膜)24、絶縁膜(層間絶縁膜)25および絶縁膜(CMP保護膜)26を順に形成する。これらの絶縁膜23〜26は実施の形態1で示したものと同様の材料を用いて形成することができる。
【0136】
次に、絶縁膜26上にフォトレジスト膜を形成し、そのフォトレジスト膜を露光、現像してパターン化することにより、フォトレジストパターン101を形成する。それから、フォトレジストパターン101をエッチングマスクとして用いて絶縁膜26および絶縁膜25をドライエッチングする。これにより、絶縁膜25および絶縁膜26に開口部31が形成される。開口部31は、ビアの形成予定領域に形成される。従って、開口部31の平面領域は、以降に示す開口部29の平面領域に含まれる。また、このドライエッチングの際には、絶縁膜24はエッチングストッパ膜として機能する。
【0137】
次にフォトレジストパターン101を除去した後、図50に示されるように、絶縁膜26上にフォトレジスト膜を形成し、そのフォトレジスト膜を露光、現像してパターン化することにより、フォトレジストパターン102を形成する。それから、フォトレジストパターン102をエッチングマスクとして用いて、まず絶縁膜24および絶縁膜26をドライエッチングする。その後、絶縁膜25および開口部31内の絶縁膜23をドライエッチングすることにより絶縁膜23に開口部31を、また、絶縁膜25に開口部29を形成することができる。このドライエッチングの際には、開口部29においては絶縁膜24エッチングストッパ膜として機能し、開口部31においては絶縁膜22,21がエッチングストッパ膜として機能している。
【0138】
次に、図51に示されるように、開口部29の底部で絶縁膜24をドライエッチングにより除去し、開口部31の底部で絶縁膜22および絶縁膜21をドライエッチングにより除去する。その後にフォトレジストパターン102を除去する。これにより、開口部31の底部で配線20の上面が露出される。なお、残存する絶縁膜26は、後で行うCMP工程の保護膜などとして機能することができる。
【0139】
次に、開口部31の底部で露出する配線20(下層銅配線)の表面に形成された酸化銅を除去して配線20の露出した上面を清浄化(クリーニング)する処理を行う。これは、例えば水素(H)プラズマ処理のような還元性プラズマ処理により、銅配線表面の酸化銅(CuO、CuO、CuO)を銅(Cu)に還元することにより行うことができる。
【0140】
以降の製造工程は実施の形態1の図13以降の工程と同様にして、開口部29および開口部31内に、導電性バリア膜18と同様の材料からなる導電性バリア膜32および主導体膜19と同様の材料からなる主導体膜33を埋め込んで配線34を形成することができる。
【0141】
このように、本実施の形態に示したような製造工程を用いて形成した場合でも、実施の形態1と同様に、銅配線とバリア絶縁膜との間の銅のバリア性(銅の拡散防止)の向上と、バリア絶縁膜と層間絶縁膜(低誘電率材料膜)との密着性向上との両立が可能となり、配線のTDDB寿命の向上とストレスマイグレーション特性の向上とを実現できる。これにより、配線の信頼性を向上することができる。また、半導体装置の製造歩留まりを低減し、製造コストも低減できる。
【0142】
また、上記実施の形態2、5または6と本実施の形態とを組み合わせることも可能である。
【0143】
(実施の形態8)
図52〜図55は、実施の形態7で使用したマスク(フォトレジストパターン)を用いて別の製造工程を用いた場合の半導体装置の製造工程中の要部断面図である。実施の形態7と同様に、図6までの製造工程は上記実施の形態1と同様であるので、ここではその説明は省略し、図6に続く製造工程について説明する。なお、図52〜図55においても、図1の絶縁膜11より下の構造に対応する部分は図示を省略している。
【0144】
図52に示されるように、絶縁膜22上に、絶縁膜(層間絶縁膜)23および絶縁膜(CMP保護膜)26を順に形成する。これらの絶縁膜23および26は実施の形態1で示したものと同様の材料を用いて形成することができる。また、本実施の形態における絶縁膜23の厚みは、上記実施の形態1における絶縁膜23の厚みよりも相対的に厚く、例えば上記実施の形態1における絶縁膜23,25(または絶縁膜23〜25)の合計の厚みにほぼ対応する。本実施の形態においては、絶縁膜24の形成を省略している。これは、後に示すように配線間の容量低減を図るものである。すなわち、絶縁膜23は実施の形態1で示したような低誘電率材料膜であるが、絶縁膜24は酸化シリコン膜などから形成されるため、絶縁膜23よりも高い誘電率を有してしまう。従ってこの絶縁膜24の形成を省略することで配線間の容量低減を図ることができる。
【0145】
次に、絶縁膜26上にフォトレジスト膜を形成し、そのフォトレジスト膜を露光、現像してパターン化することにより、フォトレジストパターン101を形成する。それから、フォトレジストパターン101をエッチングマスクとして用いて絶縁膜26および絶縁膜23をドライエッチングする。これにより、絶縁膜23および絶縁膜26に、絶縁膜22まで貫通する(到達する)開口部31が形成される。このときエッチングガスの選択比が絶縁膜22と絶縁膜23とで異なるようなエッチングガスを使用することで、絶縁膜22がエッチングストッパとして機能し、配線20へのオーバーエッチングを防ぐことができる。
【0146】
次にフォトレジストパターン101を除去した後、図53に示されるように、絶縁膜26上にフォトレジスト膜を形成し、そのフォトレジスト膜を露光、現像してパターン化することにより、フォトレジストパターン102を形成する。それから、フォトレジストパターン102をエッチングマスクとして用いて、絶縁膜26および絶縁膜23をドライエッチングする。このときのエッチング時間を上述の開口部31の形成時よりも短く制御することで開口部29を形成することができる。また、このときも絶縁膜22がエッチングストッパとして機能している。
【0147】
次に、図54に示されるように、開口部31の底部で絶縁膜22および絶縁膜21をエッチング除去する。その後にフォトレジストパターン102を除去する。これにより、開口部31の底部で配線20の上面が露出される。なお、残存する絶縁膜26は、後で行うCMP工程の保護膜などとして機能することができる。
【0148】
次に、開口部31の底部で露出する配線20(下層銅配線)の表面に形成された酸化銅を除去して配線20の露出した上面を清浄化(クリーニング)する処理を行う。これは、例えば水素(H)プラズマ処理のような還元性プラズマ処理により、銅配線表面の酸化銅(CuO、CuO、CuO)を銅(Cu)に還元することにより行うことができる。
【0149】
以降の製造工程は実施の形態1の図13以降の工程と同様にして、開口部29および開口部31内に、導電性バリア膜18と同様の材料からなる導電性バリア膜32および主導体膜19と同様の材料からなる主導体膜33を埋め込むことで、図55に示すような配線34を形成することができる。
【0150】
このように、本実施の形態においては絶縁膜23よりも誘電率の高い絶縁膜24が形成されておらず、開口部29の形成をエッチングガスの時間制御により行なっているため、配線間の容量を低減することができる。また絶縁膜24の形成を省略することができるので、製造工程の簡略化を図ることができる。
【0151】
また、本実施の形態に示したような製造工程を用いて形成した場合でも、実施の形態1と同様に、銅配線とバリア絶縁膜との間の銅のバリア性(銅の拡散防止)の向上と、バリア絶縁膜と層間絶縁膜(低誘電率材料膜)との密着性向上との両立が可能となり、配線のTDDB寿命の向上とストレスマイグレーション特性の向上とを実現できる。これにより、配線の信頼性を向上することができる。また、半導体装置の製造歩留まりを低減し、製造コストも低減できる。
【0152】
また、本実施の形態の開口部29および31の形成に際し、実施の形態3で示したような絶縁膜27および61をマスクとして用いて形成することもできる。その場合、低誘電率材料からなる絶縁膜23が露出した状態でフォトレジストパターンを除去(アッシング)することがないため、フォトレジストパターンのアッシングなどにより低誘電率材料膜(絶縁膜23)が変質(またはダメージを受ける)するのを防止することができる。
【0153】
更に、上記実施の形態2、5または6と本実施の形態とを組み合わせることも可能である。
【0154】
以上、本発明者によってなされた発明をその実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。
【0155】
前記実施の形態では、MISFETを有する半導体装置について説明したが、本発明は、これに限定されるものではなく、銅を主成分とする主導体膜を含む配線を有する種々の半導体装置に適用することができる。
【0156】
本願において開示される発明の実施形態のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。
【0157】
埋込銅配線のバリア絶縁膜として、銅配線を埋め込んだ絶縁膜上に形成され、銅に対するバリア性に優れた第1バリア絶縁膜と、第1バリア絶縁膜上に形成され、低誘電率材料膜との密着性に優れた第2バリア絶縁膜との積層膜を用いることにより、銅配線の信頼性を向上することができる。更に、そのような第1、第2バリア絶縁膜を銅配線表面上に形成することで、TDDB寿命を向上することができる。
【0158】
また、銅配線とバリア絶縁膜との界面近傍におけるバリア絶縁膜の窒素濃度を、バリア絶縁膜の上層の低誘電率材料膜とバリア絶縁膜との界面近傍におけるバリア絶縁膜の窒素濃度よりも高くしたことにより、銅配線の信頼性を向上することができる。更に、そのようなバリア絶縁膜を銅配線表面上に形成することで、TDDB寿命を向上することができる。
【0159】
また、銅(Cu)の拡散係数より低い拡散係数を有する銅化合物(または銅以外の金属)により膜を形成し、そのような膜を銅膜とバリア絶縁膜との間に介在させる。これにより、配線とバリア絶縁膜との間の界面における銅の拡散をより抑制することができ、配線のストレスマイグレーション特性などをより向上することが可能となる。
【0160】
また、配線表面に形成する積層膜(バリア絶縁膜)全体の応力を−180MPa以上に制御することで、ストレスマイグレーションに起因する不良を抑制することができる。
【0161】
【発明の効果】
本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。
【0162】
銅を主成分とする主導体膜を含む配線の信頼性を向上させることができる。
【0163】
また、半導体装置の信頼性を向上させることができる。
【図面の簡単な説明】
【図1】本発明の一実施の形態である半導体装置の製造工程中の要部断面図である。
【図2】図1に続く半導体装置の製造工程中における要部断面図である。
【図3】図2に続く半導体装置の製造工程中における要部断面図である。
【図4】図3に続く半導体装置の製造工程中における要部断面図である。
【図5】図4に続く半導体装置の製造工程中における要部断面図である。
【図6】図5に続く半導体装置の製造工程中における要部断面図である。
【図7】図6に続く半導体装置の製造工程中における要部断面図である。
【図8】図7に続く半導体装置の製造工程中における要部断面図である。
【図9】図8に続く半導体装置の製造工程中における要部断面図である。
【図10】図9に続く半導体装置の製造工程中における要部断面図である。
【図11】図10に続く半導体装置の製造工程中における要部断面図である。
【図12】図11に続く半導体装置の製造工程中における要部断面図である。
【図13】図12に続く半導体装置の製造工程中における要部断面図である。
【図14】他の形態の半導体装置の製造工程中における要部断面図である。
【図15】図13に続く半導体装置の製造工程中における要部断面図である。
【図16】図15に続く半導体装置の製造工程中における要部断面図である。
【図17】埋込銅配線のTDDB寿命試験の結果を示すグラフである。
【図18】埋込銅配線の高温放置試験後の抵抗上昇率を示すグラフである。
【図19】埋込銅配線の高温放置試験後の抵抗上昇率を示すグラフである。
【図20】埋込銅配線の高温放置試験後の抵抗上昇率を示すグラフである。
【図21】本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。
【図22】図21に続く半導体装置の製造工程中における要部断面図である。
【図23】絶縁膜の厚み方向における窒素(N)濃度分布を示すグラフである。
【図24】図22に続く半導体装置の製造工程中における要部断面図である。
【図25】図24に続く半導体装置の製造工程中における要部断面図である。
【図26】本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。
【図27】図26に続く半導体装置の製造工程中における要部断面図である。
【図28】図27に続く半導体装置の製造工程中における要部断面図である。
【図29】図28に続く半導体装置の製造工程中における要部断面図である。
【図30】図29に続く半導体装置の製造工程中における要部断面図である。
【図31】図30に続く半導体装置の製造工程中における要部断面図である。
【図32】図31に続く半導体装置の製造工程中における要部断面図である。
【図33】本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。
【図34】図33に続く半導体装置の製造工程中における要部断面図である。
【図35】図34に続く半導体装置の製造工程中における要部断面図である。
【図36】図35に続く半導体装置の製造工程中における要部断面図である。
【図37】図36に続く半導体装置の製造工程中における要部断面図である。
【図38】図37に続く半導体装置の製造工程中における要部断面図である。
【図39】図38に続く半導体装置の製造工程中における要部断面図である。
【図40】図39に続く半導体装置の製造工程中における要部断面図である。
【図41】図40に続く半導体装置の製造工程中における要部断面図である。
【図42】図41に続く半導体装置の製造工程中における要部断面図である。
【図43】本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。
【図44】図43に続く半導体装置の製造工程中における要部断面図である。
【図45】図44に続く半導体装置の製造工程中における要部断面図である。
【図46】図45に続く半導体装置の製造工程中における要部断面図である。
【図47】本発明の他の実施の形態である半導体装置の要部断面図である。
【図48】積層膜の応力を示すグラフである。
【図49】本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。
【図50】図49に続く半導体装置の製造工程中における要部断面図である。
【図51】図50に続く半導体装置の製造工程中における要部断面図である。
【図52】本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。
【図53】図52に続く半導体装置の製造工程中における要部断面図である。
【図54】図53に続く半導体装置の製造工程中における要部断面図である。
【図55】図54に続く半導体装置の製造工程中における要部断面図である。
【符号の説明】
1 半導体基板
2 素子分離領域
3 p型ウエル
4 ゲート絶縁膜
5 ゲート電極
5a シリサイド膜
6 n型半導体領域
7 サイドウォール
8 n型半導体領域
8a シリサイド膜
9 nチャネル型MISFET
10 絶縁膜
11 絶縁膜
12 コンタクトホール
13 プラグ
13a 窒化チタン膜
14 絶縁膜
15 絶縁膜
16 絶縁膜
17 開口部
18 導電性バリア膜
19 主導体膜
20 配線
21 絶縁膜
22 絶縁膜
23 絶縁膜
24 絶縁膜
25 絶縁膜
26 絶縁膜
27 絶縁膜
28 フォトレジストパターン
29 開口部
29a 開口部
30 フォトレジストパターン
31 開口部
31a 開口部
32 導電性バリア膜
33 主導体膜
34 配線
35 絶縁膜
36 絶縁膜
37 絶縁膜
38 絶縁膜
39 絶縁膜
40 絶縁膜
41 開口部
42 開口部
43 導電性バリア膜
44 主導体膜
45 配線
51 絶縁膜
52 絶縁膜
61 絶縁膜
62 フォトレジストパターン
71 絶縁膜
72 フォトレジストパターン
73 導電性バリア膜
74 主導体膜
75 プラグ
76 絶縁膜
77 フォトレジストパターン
78 導電性バリア膜
79 主導体膜
80 配線
91 膜
92 膜
93 膜
101 フォトレジストパターン
102 フォトレジストパターン

Claims (39)

  1. 半導体基板と、
    前記半導体基板上に形成された第1絶縁膜と、
    前記第1絶縁膜に形成された配線開口部と、
    銅を主成分とする第1導体膜を有し、前記配線開口部に埋め込まれた配線と、
    前記配線上および前記第1絶縁膜上に形成された第1バリア絶縁膜と、
    前記第1バリア絶縁膜上に形成された第2バリア絶縁膜と、
    前記第2バリア絶縁膜上に形成され、酸化シリコン膜よりも低い誘電率を有する第2絶縁膜と、
    を有し、
    前記第1バリア絶縁膜の銅に対するバリア性は、前記第2バリア絶縁膜の銅に対するバリア性よりも大きく、
    前記第2バリア絶縁膜と前記第2絶縁膜との密着性が、前記第1バリア絶縁膜上に前記第2絶縁膜を形成したときの前記第1バリア絶縁膜と前記第2絶縁膜との密着性よりも大きいことを特徴とする半導体装置。
  2. 請求項1記載の半導体装置において、
    前記第1バリア絶縁膜の膜厚は、前記第2バリア絶縁膜の膜厚よりも厚いことを特徴とする半導体装置。
  3. 請求項1記載の半導体装置において、
    前記第1バリア絶縁膜の膜厚は40nm以下であることを特徴とする半導体装置。
  4. 請求項1記載の半導体装置において、
    前記第2絶縁膜は、塗布法またはCVD法によって形成された膜であることを特徴とする半導体装置。
  5. 請求項1記載の半導体装置において、
    前記配線は、前記配線開口部の底面および側面上に形成されたバリア導体膜と、前記バリア導体膜上に形成された前記第1導体膜とを有することを特徴とする半導体装置。
  6. 請求項1記載の半導体装置において、
    前記第1バリア絶縁膜は、シリコンと炭素とを含み、かつ窒素または酸素の少なくとも一方を含む材料からなることを特徴とする半導体装置。
  7. 請求項1記載の半導体装置において、
    前記第2バリア絶縁膜は、炭化シリコンからなることを特徴とする半導体装置。
  8. 請求項1記載の半導体装置において、
    前記第2絶縁膜は、シリコンと酸素と炭素とを含む材料からなることを特徴とする半導体装置。
  9. 請求項1記載の半導体装置において、
    前記配線の表面に、銅より拡散係数の小さい銅化合物膜または銅以外の金属膜が形成されていることを特徴とする半導体装置。
  10. 請求項1記載の半導体装置において、
    前記配線の表面は窒化されていることを特徴とする半導体装置。
  11. 請求項1記載の半導体装置において、
    前記第1絶縁膜と前記第1バリア絶縁膜との間に、第3絶縁膜が形成されていることを特徴とする半導体装置。
  12. 請求項11記載の半導体装置において、
    前記第1絶縁膜と前記第3絶縁膜との間に、第4絶縁膜が形成されていることを特徴とする半導体装置。
  13. 半導体基板と、
    前記半導体基板上に形成された第1絶縁膜と、
    前記第1絶縁膜に形成された配線開口部と、
    銅を主成分とする第1導体膜を有し、前記配線開口部に埋め込まれた配線と、
    前記配線上および前記第1絶縁膜上に形成され、シリコンと炭素とを含み、かつ窒素または酸素の少なくとも一方を含む材料からなる第2絶縁膜と、
    前記第2絶縁膜上に形成された炭化シリコンからなる第3絶縁膜と、
    前記第3絶縁膜上に形成され、酸化シリコン膜よりも低い誘電率を有する第4絶縁膜と、
    を有することを特徴とする半導体装置。
  14. 請求項13記載の半導体装置において、
    前記第4絶縁膜は、シリコンと酸素と炭素とを含む材料からなることを特徴とする半導体装置。
  15. 半導体基板と、
    前記半導体基板上に形成された第1絶縁膜と、
    前記第1絶縁膜に形成された配線開口部と、
    銅を主成分とする第1導体膜を有し、前記配線開口部に埋め込まれた配線と、
    前記配線上および前記第1絶縁膜上に形成されたバリア絶縁膜と、
    前記バリア絶縁膜上に形成され、酸化シリコン膜よりも低い誘電率を有する第2絶縁膜と、
    を有し、
    前記配線と前記バリア絶縁膜との界面近傍における前記バリア絶縁膜の窒素濃度が、前記第2絶縁膜と前記バリア絶縁膜との界面近傍における前記バリア絶縁膜の窒素濃度よりも高いことを特徴とする半導体装置。
  16. 請求項15記載の半導体装置において、
    前記バリア絶縁膜は、シリコンと炭素と窒素とを含む材料からなることを特徴とする半導体装置。
  17. 半導体基板と、
    前記半導体基板上に形成された第1絶縁膜と、
    前記第1絶縁膜に形成された配線開口部と、
    銅を主成分とする第1導体膜を有し、前記配線開口部に埋め込まれた配線と、
    前記配線上および前記第1絶縁膜上に形成され、銅の拡散を抑制または防止する機能を有する第2絶縁膜と、
    前記第2絶縁膜上に形成され、応力を制御する機能を有する第3絶縁膜と、
    を有し、
    前記第2絶縁膜と前記第3絶縁膜との積層膜の応力が、−180MPa以上であることを特徴とする半導体装置。
  18. 請求項17記載の半導体装置において、
    前記第3絶縁膜は、前記第2絶縁膜の発生させる応力を緩和させるように機能することを特徴とする半導体装置。
  19. 請求項17記載の半導体装置において、
    前記第2絶縁膜は圧縮応力を発生させる膜であり、前記第3絶縁膜は引張応力を発生させる膜であることを特徴とする半導体装置。
  20. 請求項17記載の半導体装置において、
    前記第2絶縁膜は、シリコンと炭素と窒素とを含む材料からなることを特徴とする半導体装置。
  21. 請求項17記載の半導体装置において、
    前記第3絶縁膜は、炭化シリコンからなることを特徴とする半導体装置。
  22. (a)半導体基板を準備する工程、
    (b)前記半導体基板上に第1絶縁膜を形成する工程、
    (c)前記第1絶縁膜に第1配線開口部を形成する工程、
    (d)前記第1配線開口部内に、銅を主成分とする第1導体膜を有する配線を形成する工程、
    (e)前記配線が埋め込まれた前記第1絶縁膜上に第1バリア絶縁膜を形成する工程、
    (f)前記第1バリア絶縁膜上に第2バリア絶縁膜を形成する工程、
    (g)前記第2バリア絶縁膜上に、酸化シリコン膜よりも低い誘電率を有する第2絶縁膜を形成する工程、
    を有し、
    前記第1バリア絶縁膜の銅に対するバリア性は、前記第2バリア絶縁膜の銅に対するバリア性よりも大きく、
    前記第2バリア絶縁膜と前記第2絶縁膜との密着性が、前記第1バリア絶縁膜上に前記第2絶縁膜を形成したときの前記第1バリア絶縁膜と前記第2絶縁膜との密着性よりも大きいことを特徴とする半導体装置の製造方法。
  23. 請求項22記載の半導体装置の製造方法において、
    前記(g)工程の後に、更に、
    (h)前記第1バリア絶縁膜、前記第2バリア絶縁膜および前記第2絶縁膜に、ドライエッチングにより第2開口部を形成する工程、
    (i)前記第2開口部に銅を主成分とする導体膜を埋め込む工程、
    を有し、
    前記第2バリア絶縁膜は、前記第2開口部を形成する際のエッチングストッパ膜として機能することを特徴とする半導体装置の製造方法。
  24. 請求項22記載の半導体装置の製造方法において、
    前記第2絶縁膜は塗布法またはCVD法によって形成されることを特徴とする半導体装置の製造方法。
  25. 請求項22記載の半導体装置の製造方法において、
    前記第1バリア絶縁膜の膜厚は、前記第2バリア絶縁膜の膜厚よりも厚いことを特徴とする半導体装置の製造方法。
  26. 請求項22記載の半導体装置の製造方法において、
    前記第1バリア絶縁膜の膜厚は40nm以下であることを特徴とする半導体装置の製造方法。
  27. 請求項22記載の半導体装置の製造方法において、
    前記第1バリア絶縁膜は、シリコンと炭素とを含み、かつ窒素または酸素の少なくとも一方を含む材料からなることを特徴とする半導体装置の製造方法。
  28. 請求項22記載の半導体装置の製造方法において、
    前記第2バリア絶縁膜は、炭化シリコンからなることを特徴とする半導体装置の製造方法。
  29. 請求項22記載の半導体装置の製造方法において、
    前記(d)工程の後で前記(e)工程の前に、
    前記配線の表面に、銅より拡散係数の小さい銅化合物膜または銅以外の金属膜を形成する工程を更に有することを特徴とする半導体装置の製造方法。
  30. 請求項22記載の半導体装置の製造方法において、
    前記(d)工程の後で前記(e)工程の前に、
    前記配線の表面を窒化させる工程を更に有することを特徴とする半導体装置の製造方法。
  31. 請求項22記載の半導体装置の製造方法において、
    前記(b)工程後に、更に前記第1絶縁膜上に第3絶縁膜を形成する工程を有し、且つ、
    前記(d)工程は、前記第1配線開口部内および前記第3絶縁膜上に前記第1導体膜を堆積する工程と、前記第1配線開口部内に埋め込まれた前記第1導体膜以外の前記第1導体膜を除去する工程とを有し、
    前記第1導体膜を除去する工程で前記第3絶縁膜は前記第1絶縁膜の保護膜としての機能を有することを特徴とする半導体装置の製造方法。
  32. 請求項22記載の半導体装置の製造方法において、
    (h)前記第2絶縁膜上に第3絶縁膜からなるマスクを形成する工程、
    (i)前記マスクによって前記第2絶縁膜をエッチングすることで前記配線に接続する孔を形成する工程、
    を更に有することを特徴とする半導体装置の製造方法。
  33. 請求項22記載の半導体装置の製造方法において、
    (h)前記第2絶縁膜上に第3絶縁膜からなる第1マスクおよび第4絶縁膜からなる第2マスクを形成する工程、
    (i)前記第1マスクによって前記第2絶縁膜をエッチングすることで前記配線に接続する孔を、前記第2マスクによって前記第2絶縁膜をエッチングすることで前記孔よりも口径の大きい配線溝を形成する工程、
    を更に有することを特徴とする半導体装置の製造方法。
  34. 以下の工程を有することを特徴とする半導体装置の製造方法;
    (a)半導体基板を準備する工程、
    (b)前記半導体基板上に第1絶縁膜を形成する工程、
    (c)前記第1絶縁膜に第1配線開口部を形成する工程、
    (d)前記第1配線開口部内に、銅を主成分とする第1導体膜を有する配線を形成する工程、
    (e)前記配線が埋め込まれた前記第1絶縁膜上に、シリコンと炭素とを含み、かつ窒素または酸素の少なくとも一方を含む材料からなる第2絶縁膜を形成する工程、
    (f)前記第2絶縁膜上に、炭化シリコンからなる第3絶縁膜を形成する工程、
    (g)前記第3絶縁膜上に、酸化シリコン膜よりも低い誘電率を有する第4絶縁膜を形成する工程。
  35. 請求項34記載の半導体装置の製造方法において、
    前記第4絶縁膜は、シリコンと酸素と炭素とを含む材料からなることを特徴とする半導体装置の製造方法。
  36. (a)半導体基板を準備する工程、
    (b)前記半導体基板上に第1絶縁膜を形成する工程、
    (c)前記第1絶縁膜に第1配線開口部を形成する工程、
    (d)前記第1配線開口部内に、銅を主成分とする第1導体膜を有する配線を形成する工程、
    (e)前記配線が埋め込まれた前記第1絶縁膜上にバリア絶縁膜を形成する工程、
    (f)前記バリア絶縁膜上に、酸化シリコン膜よりも低い誘電率を有する第2絶縁膜を形成する工程、
    を有し、
    前記配線と前記バリア絶縁膜との界面近傍における前記バリア絶縁膜の窒素濃度が、前記第2絶縁膜と前記バリア絶縁膜との界面近傍における前記バリア絶縁膜の窒素濃度よりも高いことを特徴とする半導体装置の製造方法。
  37. 請求項36記載の半導体装置の製造方法において、
    前記バリア絶縁膜は、シリコンと炭素と窒素とを含む材料からなることを特徴とする半導体装置の製造方法。
  38. 請求項36記載の半導体装置の製造方法において、
    前記(e)工程では、前記バリア絶縁膜は窒素ガスを用いたCVD法によって形成され、前記バリア絶縁膜の成膜初期段階において成膜装置へ導入される窒素ガスの流量が、前記バリア絶縁膜の成膜後期段階において成膜装置へ導入される窒素ガスの流量よりも多いことを特徴とする半導体装置の製造方法。
  39. 請求項36記載の半導体装置の製造方法において、
    前記(e)工程では、前記バリア絶縁膜は窒素ガスを用いたCVD法によって形成され、前記バリア絶縁膜の成膜後期段階では成膜装置への窒素ガスの導入が停止されることを特徴とする半導体装置の製造方法。
JP2003083348A 2003-03-25 2003-03-25 半導体装置およびその製造方法 Expired - Lifetime JP4454242B2 (ja)

Priority Applications (17)

Application Number Priority Date Filing Date Title
JP2003083348A JP4454242B2 (ja) 2003-03-25 2003-03-25 半導体装置およびその製造方法
TW093105725A TWI239592B (en) 2003-03-25 2004-03-04 Semiconductor device and its manufacturing method
KR1020040018874A KR101055451B1 (ko) 2003-03-25 2004-03-19 반도체 장치 및 그 제조 방법
US10/807,222 US7323781B2 (en) 2003-03-25 2004-03-24 Semiconductor device and manufacturing method thereof
CNB2004100297419A CN100373609C (zh) 2003-03-25 2004-03-24 半导体器件及其制造方法
US11/449,814 US7777343B2 (en) 2003-03-25 2006-06-09 Semiconductor device and manufacturing method thereof
US12/489,006 US8053893B2 (en) 2003-03-25 2009-06-22 Semiconductor device and manufacturing method thereof
US13/243,882 US8431480B2 (en) 2003-03-25 2011-09-23 Semiconductor device and manufacturing method thereof
US13/862,268 US8617981B2 (en) 2003-03-25 2013-04-12 Semiconductor device and manufacturing method thereof
US14/095,817 US8810034B2 (en) 2003-03-25 2013-12-03 Semiconductor device and manufacturing method thereof
US14/320,049 US9064870B2 (en) 2003-03-25 2014-06-30 Semiconductor device and manufacturing method thereof
US14/702,507 US9490213B2 (en) 2003-03-25 2015-05-01 Semiconductor device and manufacturing method thereof
US15/272,553 US9659867B2 (en) 2003-03-25 2016-09-22 Semiconductor device and manufacturing method thereof
US15/469,730 US9818639B2 (en) 2003-03-25 2017-03-27 Semiconductor device and manufacturing method thereof
US15/727,671 US10121693B2 (en) 2003-03-25 2017-10-09 Semiconductor device and manufacturing method thereof
US16/137,972 US10304726B2 (en) 2003-03-25 2018-09-21 Semiconductor device and manufacturing method thereof
US16/385,317 US20190244855A1 (en) 2003-03-25 2019-04-16 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003083348A JP4454242B2 (ja) 2003-03-25 2003-03-25 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
JP2004296515A true JP2004296515A (ja) 2004-10-21
JP4454242B2 JP4454242B2 (ja) 2010-04-21

Family

ID=33398846

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003083348A Expired - Lifetime JP4454242B2 (ja) 2003-03-25 2003-03-25 半導体装置およびその製造方法

Country Status (5)

Country Link
US (13) US7323781B2 (ja)
JP (1) JP4454242B2 (ja)
KR (1) KR101055451B1 (ja)
CN (1) CN100373609C (ja)
TW (1) TWI239592B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006140404A (ja) * 2004-11-15 2006-06-01 Renesas Technology Corp 半導体装置
JP2006237257A (ja) * 2005-02-24 2006-09-07 Nec Electronics Corp 半導体装置およびその製造方法
JP2006286878A (ja) * 2005-03-31 2006-10-19 Consortium For Advanced Semiconductor Materials & Related Technologies 半導体装置製造方法
JP2006287022A (ja) * 2005-04-01 2006-10-19 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
JP2006303403A (ja) * 2005-04-22 2006-11-02 Hynix Semiconductor Inc フラッシュメモリ素子の製造方法
JP2007220967A (ja) * 2006-02-17 2007-08-30 Sumitomo Bakelite Co Ltd 配線構造とその製造方法及び半導体装置
JP2008235644A (ja) * 2007-03-22 2008-10-02 Renesas Technology Corp 半導体装置およびその製造方法
JP2008277859A (ja) * 2008-07-03 2008-11-13 Renesas Technology Corp 半導体集積回路装置の製造方法および半導体集積回路装置
US7811912B2 (en) 2008-01-22 2010-10-12 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
WO2012020689A1 (ja) * 2010-08-10 2012-02-16 国立大学法人東北大学 半導体装置の製造方法および半導体装置
KR101150605B1 (ko) * 2010-04-07 2012-06-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
JP2012156356A (ja) * 2011-01-27 2012-08-16 Elpida Memory Inc 半導体装置の製造方法
US8487412B2 (en) 2005-07-06 2013-07-16 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US20170263851A1 (en) * 2016-03-10 2017-09-14 Kabushiki Kaisha Toshiba Magnetic memory device
JP2022535444A (ja) * 2019-06-07 2022-08-08 アプライド マテリアルズ インコーポレイテッド 充填による選択的金属用のプロセス統合アプローチ

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100352036C (zh) * 2002-10-17 2007-11-28 株式会社瑞萨科技 半导体器件及其制造方法
JP4454242B2 (ja) 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20050269709A1 (en) * 2004-06-03 2005-12-08 Agere Systems Inc. Interconnect structure including tungsten nitride and a method of manufacture therefor
JP4683865B2 (ja) * 2004-06-15 2011-05-18 富士通セミコンダクター株式会社 半導体基板の製造方法
JP2006005190A (ja) * 2004-06-18 2006-01-05 Renesas Technology Corp 半導体装置
US7166543B2 (en) * 2004-08-30 2007-01-23 Micron Technology, Inc. Methods for forming an enriched metal oxide surface for use in a semiconductor device
JP2006202852A (ja) * 2005-01-18 2006-08-03 Toshiba Corp 半導体装置
KR100641364B1 (ko) * 2005-01-25 2006-10-31 삼성전자주식회사 스크라이브 라인들 및 그 형성방법들
US7638859B2 (en) * 2005-06-06 2009-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with harmonized stress and methods for fabricating the same
JP4738178B2 (ja) * 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100790237B1 (ko) * 2005-12-29 2008-01-02 매그나칩 반도체 유한회사 이미지 센서의 금속배선 형성방법
KR100771370B1 (ko) * 2005-12-29 2007-10-30 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 및 그 형성 방법
JP4666308B2 (ja) * 2006-02-24 2011-04-06 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5305599B2 (ja) * 2007-02-19 2013-10-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
KR100907890B1 (ko) * 2007-12-03 2009-07-15 주식회사 동부하이텍 반도체 소자의 제조 방법
KR100922559B1 (ko) * 2007-12-31 2009-10-21 주식회사 동부하이텍 반도체 소자와 그의 제조방법
US8278205B2 (en) * 2008-03-12 2012-10-02 Tokyo Electron Limited Semiconductor device and method for manufacturing the same
JP2010056156A (ja) * 2008-08-26 2010-03-11 Renesas Technology Corp 半導体装置およびその製造方法
US8994179B2 (en) 2008-08-29 2015-03-31 Infineon Technologies Ag Semiconductor device and method for making same
DE102008054069B4 (de) * 2008-10-31 2016-11-10 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Reduzierte Scheibendurchbiegung in Halbleitern durch Verspannungstechniken im Metallisierungssystem
JP2010153543A (ja) * 2008-12-25 2010-07-08 Fujitsu Ltd 半導体装置およびその製造方法
US7776757B2 (en) * 2009-01-15 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating high-k metal gate devices
US20120032323A1 (en) * 2009-04-30 2012-02-09 Masahiro Matsumoto Semiconductor device and method of manufacturing the same
KR100953742B1 (ko) * 2009-06-17 2010-04-19 주식회사 아토 반도체 소자 및 그 제조 방법
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102324427B (zh) * 2011-10-20 2016-03-16 上海集成电路研发中心有限公司 一种金属薄膜电阻结构及其制造方法
US9190323B2 (en) * 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
CN102881640A (zh) * 2012-09-17 2013-01-16 上海华力微电子有限公司 一种双大马士革铜互连结构的制造方法
JP6128787B2 (ja) * 2012-09-28 2017-05-17 キヤノン株式会社 半導体装置
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9082828B2 (en) * 2012-10-24 2015-07-14 Applied Materials, Inc. Al bond pad clean method
US20140167265A1 (en) * 2012-12-14 2014-06-19 Globalfoundries Inc. Methods of forming a bi-layer cap layer on copper-based conductive structures and devices with such a cap layer
US8889491B2 (en) 2013-01-28 2014-11-18 International Business Machines Corporation Method of forming electronic fuse line with modified cap
US8999767B2 (en) * 2013-01-31 2015-04-07 International Business Machines Corporation Electronic fuse having an insulation layer
US9972524B2 (en) 2013-03-11 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a semiconductor device
US9349636B2 (en) * 2013-09-26 2016-05-24 Intel Corporation Interconnect wires including relatively low resistivity cores
US9723716B2 (en) * 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
EP3809451A1 (en) * 2013-11-08 2021-04-21 Renesas Electronics Corporation Semiconductor device
KR20150070749A (ko) * 2013-12-17 2015-06-25 삼성전자주식회사 반도체 패키지 및 이의 제조 방법
WO2015195080A1 (en) * 2014-06-16 2015-12-23 Intel Corporation Selective diffusion barrier between metals of an integrated circuit device
CN106716606B (zh) * 2014-09-26 2022-09-13 英特尔公司 用于氧化等离子体后处理以减少光刻中毒的技术及相关结构
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
KR102399345B1 (ko) 2014-11-12 2022-05-19 삼성전자주식회사 반도체 장치의 제조 방법
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9449915B2 (en) * 2014-12-24 2016-09-20 Macronix International Co., Ltd. Semiconductor device and method of manufacturing the same
US20160276156A1 (en) * 2015-03-16 2016-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing process thereof
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
JP6230573B2 (ja) * 2015-07-06 2017-11-15 株式会社日立国際電気 半導体装置の製造方法、プログラム、基板処理システム及び基板処理装置
US20170040257A1 (en) 2015-08-04 2017-02-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
WO2017064937A1 (ja) * 2015-10-16 2017-04-20 ソニー株式会社 半導体装置、および半導体装置の製造方法
US9984967B2 (en) * 2015-12-21 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US9536780B1 (en) * 2016-04-15 2017-01-03 International Business Machines Corporation Method and apparatus for single chamber treatment
US9691733B1 (en) * 2016-07-28 2017-06-27 United Microelectronics Corp. Bonded semiconductor structure and method for forming the same
US10658296B2 (en) * 2016-09-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric film for semiconductor fabrication
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
CN106898578B (zh) * 2017-03-30 2019-08-06 合肥鑫晟光电科技有限公司 一种显示基板的制备方法、阵列基板及显示装置
US10304772B2 (en) 2017-05-19 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with resistive element
US10679936B2 (en) 2017-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. MIM structure
KR20190044196A (ko) 2017-10-20 2019-04-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10985011B2 (en) * 2017-11-09 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with resistive elements
US10858544B2 (en) * 2018-05-24 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Chemical mechanical polishing slurry and chemical mechanical polishing process using the same
KR20200093100A (ko) 2019-01-25 2020-08-05 삼성디스플레이 주식회사 표시 장치용 도전선, 이를 포함하는 표시 장치, 및 이를 포함하는 표시 장치의 제조 방법
JP7297516B2 (ja) 2019-04-25 2023-06-26 キヤノン株式会社 半導体装置および機器
US11411160B2 (en) * 2020-01-21 2022-08-09 International Business Machines Corporation Silicon-based Josephson junction for qubit devices
TWI752464B (zh) * 2020-04-14 2022-01-11 華邦電子股份有限公司 半導體結構及其形成方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US87513A (en) * 1869-03-02 Improvement in water-meter
US100984A (en) * 1870-03-22 Improvement in dirt-gages for plows
US45651A (en) * 1864-12-27 Improvement in the manufacture of shot
US30146A (en) * 1860-09-25 livingston
US30367A (en) * 1860-10-09 Improvement in compositions for tanning
US183940A (en) * 1876-10-31 Improvement in sheet-metal doors
US42193A (en) * 1864-04-05 Improved mode of connecting trucks to locomotives
US127843A (en) * 1872-06-11 Improvement in detachable horseshoe-calks
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
JP4207285B2 (ja) * 1999-02-10 2009-01-14 ソニー株式会社 半導体装置の製造方法
JP4094174B2 (ja) 1999-06-04 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
DE10006964C2 (de) * 2000-02-16 2002-01-31 Infineon Technologies Ag Elektronisches Bauelement mit einer leitenden Verbindung zwischen zwei leitenden Schichten und Verfahren zum Herstellen eines elektronischen Bauelements
US6440878B1 (en) * 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
JP2001291720A (ja) 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP2001319928A (ja) 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP3600507B2 (ja) 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP4425432B2 (ja) * 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2002009152A (ja) * 2000-06-21 2002-01-11 Nec Corp 半導体装置及びその製造方法
JP4377040B2 (ja) 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法
US6660631B1 (en) * 2000-08-31 2003-12-09 Micron Technology, Inc. Devices containing platinum-iridium films and methods of preparing such films and devices
JP2002110679A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP4698813B2 (ja) 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2002164428A (ja) 2000-11-29 2002-06-07 Hitachi Ltd 半導体装置およびその製造方法
JP2002170882A (ja) 2000-12-01 2002-06-14 Nec Corp 配線構造の製造方法
JP3643533B2 (ja) * 2000-12-27 2005-04-27 株式会社東芝 半導体装置およびその製造方法
JP2002203899A (ja) 2000-12-28 2002-07-19 Matsushita Electric Ind Co Ltd 銅相互接続構造の形成方法
KR100399597B1 (ko) * 2001-03-08 2003-09-26 삼성전자주식회사 오버레이 키 및 그의 제조방법과 이를 이용한 오버레이측정방법
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
JP2002319551A (ja) * 2001-04-23 2002-10-31 Nec Corp 半導体装置およびその製造方法
JP2002324837A (ja) 2001-04-25 2002-11-08 Hitachi Ltd 半導体装置の製造方法
US7084507B2 (en) * 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
KR100416596B1 (ko) 2001-05-10 2004-02-05 삼성전자주식회사 반도체 소자의 연결 배선 형성 방법
JP2002353307A (ja) 2001-05-25 2002-12-06 Toshiba Corp 半導体装置
JP2002373936A (ja) 2001-06-14 2002-12-26 Nec Corp デュアルダマシン法による配線形成方法
US20020197852A1 (en) * 2001-06-21 2002-12-26 Ming-Shi Yeh Method of fabricating a barrier layer with high tensile strength
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US20030008493A1 (en) * 2001-07-03 2003-01-09 Shyh-Dar Lee Interconnect structure manufacturing
JP2003017564A (ja) * 2001-07-04 2003-01-17 Fujitsu Ltd 半導体装置およびその製造方法
KR100442863B1 (ko) 2001-08-01 2004-08-02 삼성전자주식회사 금속-절연체-금속 커패시터 및 다마신 배선 구조를 갖는반도체 소자의 제조 방법
JP4257051B2 (ja) 2001-08-10 2009-04-22 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3745257B2 (ja) 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP2003142579A (ja) 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP4152619B2 (ja) 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2002270691A (ja) 2002-02-07 2002-09-20 Nec Corp 配線構造
US20030155657A1 (en) * 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
JP2003258085A (ja) * 2002-02-27 2003-09-12 Fujitsu Ltd 配線構造及びその形成方法
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2003282535A (ja) * 2002-03-20 2003-10-03 Sanyo Electric Co Ltd 半導体装置の製造方法
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7042095B2 (en) 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
JP4068868B2 (ja) 2002-03-29 2008-03-26 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4040363B2 (ja) * 2002-05-20 2008-01-30 富士通株式会社 半導体装置
US20040048468A1 (en) * 2002-09-10 2004-03-11 Chartered Semiconductor Manufacturing Ltd. Barrier metal cap structure on copper lines and vias
JP2004128050A (ja) 2002-09-30 2004-04-22 Toshiba Corp 半導体装置およびその製造方法
US6756321B2 (en) * 2002-10-05 2004-06-29 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
CN100352036C (zh) 2002-10-17 2007-11-28 株式会社瑞萨科技 半导体器件及其制造方法
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7135773B2 (en) * 2004-02-26 2006-11-14 International Business Machines Corporation Integrated circuit chip utilizing carbon nanotube composite interconnection vias

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006140404A (ja) * 2004-11-15 2006-06-01 Renesas Technology Corp 半導体装置
US7745937B2 (en) 2005-02-24 2010-06-29 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
JP2006237257A (ja) * 2005-02-24 2006-09-07 Nec Electronics Corp 半導体装置およびその製造方法
JP4516447B2 (ja) * 2005-02-24 2010-08-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2006286878A (ja) * 2005-03-31 2006-10-19 Consortium For Advanced Semiconductor Materials & Related Technologies 半導体装置製造方法
JP2006287022A (ja) * 2005-04-01 2006-10-19 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
JP4655725B2 (ja) * 2005-04-01 2011-03-23 パナソニック株式会社 半導体装置の製造方法
JP2006303403A (ja) * 2005-04-22 2006-11-02 Hynix Semiconductor Inc フラッシュメモリ素子の製造方法
US10600683B2 (en) 2005-07-06 2020-03-24 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US9899316B2 (en) 2005-07-06 2018-02-20 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US9391022B2 (en) 2005-07-06 2016-07-12 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US10141257B2 (en) 2005-07-06 2018-11-27 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US11600522B2 (en) 2005-07-06 2023-03-07 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US11062938B2 (en) 2005-07-06 2021-07-13 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8704373B2 (en) 2005-07-06 2014-04-22 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US10796953B2 (en) 2005-07-06 2020-10-06 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8487412B2 (en) 2005-07-06 2013-07-16 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8518821B2 (en) 2005-07-06 2013-08-27 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8581415B2 (en) 2005-07-06 2013-11-12 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
JP2007220967A (ja) * 2006-02-17 2007-08-30 Sumitomo Bakelite Co Ltd 配線構造とその製造方法及び半導体装置
JP2008235644A (ja) * 2007-03-22 2008-10-02 Renesas Technology Corp 半導体装置およびその製造方法
US7811912B2 (en) 2008-01-22 2010-10-12 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
JP2008277859A (ja) * 2008-07-03 2008-11-13 Renesas Technology Corp 半導体集積回路装置の製造方法および半導体集積回路装置
KR101150605B1 (ko) * 2010-04-07 2012-06-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
JP2012038996A (ja) * 2010-08-10 2012-02-23 Tohoku Univ 半導体装置の製造方法および半導体装置
WO2012020689A1 (ja) * 2010-08-10 2012-02-16 国立大学法人東北大学 半導体装置の製造方法および半導体装置
JP2012156356A (ja) * 2011-01-27 2012-08-16 Elpida Memory Inc 半導体装置の製造方法
US20170263851A1 (en) * 2016-03-10 2017-09-14 Kabushiki Kaisha Toshiba Magnetic memory device
US10923532B2 (en) * 2016-03-10 2021-02-16 Toshiba Memory Corporation Magnetic memory device
JP2022535444A (ja) * 2019-06-07 2022-08-08 アプライド マテリアルズ インコーポレイテッド 充填による選択的金属用のプロセス統合アプローチ
JP7343619B2 (ja) 2019-06-07 2023-09-12 アプライド マテリアルズ インコーポレイテッド 充填による選択的金属用のプロセス統合アプローチ

Also Published As

Publication number Publication date
US9064870B2 (en) 2015-06-23
US20060226555A1 (en) 2006-10-12
US20090256261A1 (en) 2009-10-15
US20190035678A1 (en) 2019-01-31
TW200425404A (en) 2004-11-16
CN1536660A (zh) 2004-10-13
US9659867B2 (en) 2017-05-23
US20180047620A1 (en) 2018-02-15
US20170200637A1 (en) 2017-07-13
KR20040084668A (ko) 2004-10-06
TWI239592B (en) 2005-09-11
US10304726B2 (en) 2019-05-28
US7777343B2 (en) 2010-08-17
KR101055451B1 (ko) 2011-08-08
US9818639B2 (en) 2017-11-14
US8431480B2 (en) 2013-04-30
JP4454242B2 (ja) 2010-04-21
US20040227242A1 (en) 2004-11-18
US20130224947A1 (en) 2013-08-29
US20190244855A1 (en) 2019-08-08
US8810034B2 (en) 2014-08-19
CN100373609C (zh) 2008-03-05
US20120015514A1 (en) 2012-01-19
US20150235962A1 (en) 2015-08-20
US8053893B2 (en) 2011-11-08
US10121693B2 (en) 2018-11-06
US9490213B2 (en) 2016-11-08
US7323781B2 (en) 2008-01-29
US20170011994A1 (en) 2017-01-12
US20140091468A1 (en) 2014-04-03
US8617981B2 (en) 2013-12-31
US20140312499A1 (en) 2014-10-23

Similar Documents

Publication Publication Date Title
US10304726B2 (en) Semiconductor device and manufacturing method thereof
JP4173374B2 (ja) 半導体装置の製造方法
JP4956919B2 (ja) 半導体装置およびその製造方法
US8420528B2 (en) Manufacturing method of a semiconductor device having wirings
US7042095B2 (en) Semiconductor device including an interconnect having copper as a main component
JP4068868B2 (ja) 半導体装置の製造方法
JP2004193431A (ja) 半導体装置およびその製造方法
JP5326949B2 (ja) 半導体装置
JP2003332340A (ja) 半導体装置の製造方法
JP2004111795A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091020

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100105

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100202

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4454242

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140212

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term