TW441003B - Structure of dielectric layer and method for making the same - Google Patents

Structure of dielectric layer and method for making the same Download PDF

Info

Publication number
TW441003B
TW441003B TW89106047A TW89106047A TW441003B TW 441003 B TW441003 B TW 441003B TW 89106047 A TW89106047 A TW 89106047A TW 89106047 A TW89106047 A TW 89106047A TW 441003 B TW441003 B TW 441003B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
patent application
dielectric
layer structure
Prior art date
Application number
TW89106047A
Other languages
Chinese (zh)
Inventor
Min-Yi Lin
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW89106047A priority Critical patent/TW441003B/en
Application granted granted Critical
Publication of TW441003B publication Critical patent/TW441003B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present invention provides a structure of a dielectric layer and a method for making the same. The structure of the dielectric layer comprises a silicon oxide installed between two adjacent metal wires on a semiconductor chip. The two adjacent metal wires are formed by a dual damascene process. The structure of the dielectric layer according to the present invention comprises at least a trench installed on the surface of the dielectric layer, an insulation layer installed in the trench, and at least a void formed in the trench by using the insulation layer, in which the void is used to reduce the effective dielectric constant of the dielectric layer and the parasitic capacitance between the adjacent metal wires.

Description

441 0 U 3 i五、發明說明(l) j I發明之領域 , i : ! j ! I 本發明提供一種介電層的結構,尤指一種能降低金屬 | !導線間寄生電容(parasitic capacitance)的介電層莊 丨 丨構。 …丨 ! ! 4景說明 |441 0 U 3 i V. Description of the invention (l) The field of invention of i, i:! J! I The present invention provides a structure of a dielectric layer, in particular a method that can reduce the parasitic capacitance between metal and wires. Dielectric layer structure. … 丨!! 4 Scene Descriptions |

i I I | ! 隨著半導體元件的尺寸不斷縮小以及積趙電路密度不 丨 |斷的提高,各金屬導線間所產生的時間延遲(RC time ί :de 1 ay )業已嚴重地影響到積體電路的運作效能,尤其當製| 丨程線寬(line width)降到0.25微米’甚至0.1 5微米以下的 ! 丨半導體製程時,時間延遲所造成的影響將更為明顯。 丨 !i II |! With the continuous reduction in the size of semiconductor components and the continuous increase in the density of the product circuit, the time delay (RC time ί: de 1 ay) between the metal wires has seriously affected the integrated circuit Operating efficiency, especially when the production | 丨 the line width (line width) is reduced to 0.25 microns' or even 0.1 5 microns or less!丨 The impact of time delay will be more obvious during semiconductor manufacturing.丨!

I 由於在金屬内連線間所產生的時間延遲是由金屬導線| 丨的電阻值(R)與金屬導線間之介電層的寄生電容(c)的相乘| 積。因此若要減少半導體晶片之金屬内連線所造成的時間| i延遲有兩種方法:第一個方法是使用電阻值軚低的金屬做| i為金屬導線’第二個方法則是降低金屬導線間的介電層的| ,寄生電容。 丨 ^ | . ! ; ! I 所以目前利用鋁銅合金(A丨:Cu(0_ 5% ))為主要材料的| 户 ΐί 屬化製程(multilevel metallizati〇n Process)6 丨 丨逐漸無法滿足極大型積體電路Ultra large scaleI Because the time delay between the metal interconnects is the product of the product of the resistance (R) of the metal wire | 丨 and the parasitic capacitance (c) of the dielectric layer between the metal wires. Therefore, to reduce the time caused by the metal interconnects of semiconductor wafers | i delay has two methods: the first method is to use a metal with a low resistance value | i is a metal wire 'the second method is to reduce the metal | Of the dielectric layer between the wires, parasitic capacitance.丨 ^ |.!;! I Therefore, the current use of aluminum-copper alloy (A 丨: Cu (0_ 5%)) as the main material | ΐΐ Multilevel metallizati〇n Process 6 丨 丨 Gradually unable to meet very large Integrated circuit Ultra large scale

441003 丨五、發明說明(2) j : I integrated circuits, ULSi circuits)的設計準貝丨j i(design rule),取而代之的是以純銅作為導線材料之銅 丨連結線技術(copper interconnect technology)» 由於銅 i本身具有較低的電阻率(1·67舡Ω -cm)加上可承載較高之 j I電流密度而不致產生有鋁銅合金的電致遷移(electro !441003 丨 V. Description of the invention (2) j: Design rule for I integrated circuits, ULSi circuits, ji (design rule), replaced by copper with pure copper as the wire material 丨 copper interconnect technology » Copper i itself has a lower resistivity (1 · 67 舡 Ω-cm) and can carry a higher current density of j I without causing electromigration of aluminum-copper alloy (electro!

Migration)之虞,因此可以減少金屬導線間的寄生電容以 | 及金屬導線的連結層數β然而單單以銅連結線技術仍然無 | 丨法將金屬導線間所產生的時間延遲大幅降減低,而且銅連 丨 1結線技術亦有一些製程上的問題尚待解決。 ί : i I Η 第二個方法是降低各金屬導線間之介電層的寄生電 容。由於介電層的寄生電容與介電層的介電常數Migration), so it can reduce the parasitic capacitance between the metal wires and the number of connection layers β of the metal wires. However, the copper connection technology alone is still not available. There are also some process problems in copper connection and 1-junction technology that need to be resolved. ί: i I Η The second method is to reduce the parasitic capacitance of the dielectric layer between the metal wires. Due to the parasitic capacitance of the dielectric layer and the dielectric constant of the dielectric layer

I (dielectric constant)有關,所以介電層的介電常數越 ;低’形成於介電層中的寄生電容就相對的越低。雖然目前 已有一些低介電常數(low-dielectric constant)材料, 例如聚醯亞胺(polyimUe, PI)、HSQ (hydrogen silsesquioxane)等,被用來作為内金屬介電層 (inter-metal dielectric layer, IMD layer),但是這 些低介電常數材料卻大部份著有附著性不佳以及熱穩定性 丨 丨不足等之仍待改進的缺點。 | : r j jI (dielectric constant) is related, so the lower the dielectric constant of the dielectric layer; the lower the parasitic capacitance formed in the dielectric layer is, the lower is. Although there are currently some low-dielectric constant materials, such as polyimide (PI), HSQ (hydrogen silsesquioxane), etc., are used as inter-metal dielectric layer (inter-metal dielectric layer) , IMD layer), but most of these low dielectric constant materials have the disadvantages of poor adhesion and insufficient thermal stability. |: r j j

丨發明概述 I ^ ! 本發明之主要目的在於提供一種能降低金屬導線間寄丨 Summary of the invention I ^! The main purpose of the present invention is to provide a method capable of reducing

第5頁 -441003 丨五、發明說明(3) !生電容的介電層結構。 j 丨 本發明係提供一種介電層(dielectric layer)的結 i構。該介電層結構係由矽氧化物所構成,設於一半導體晶 | 丨片上之兩相鄰金屬導線wire)之間,而該兩相鄰金 | 丨屬導線係利用一雙报入(dual damascene)製程所形成。本 | |發明之介電層結構包含至少有一溝渠(trench)設於該介電| i層表面’ 一絕緣層設於該溝渠中’以及至少一利用該絕緣 層所形成之孔洞(v 〇 i d )形成於該溝渠之中。其中該孔洞係 | 丨用來降低該介電層的有效介電常數(effective dielectric constant)以及該相鄰金屬導線間的寄生電 1 丨容。Page 5 -441003 丨 V. Description of the invention (3)! The dielectric layer structure of the capacitor. j 丨 The present invention provides a structure of a dielectric layer. The dielectric layer structure is made of silicon oxide, and is located between two adjacent metal wires on a semiconductor chip. The two adjacent gold wires are made of a double entry (dual damascene) process. The || invented dielectric layer structure includes at least one trench provided on the dielectric | i-layer surface, 'an insulating layer provided in the trench', and at least one hole (v oid) formed using the insulating layer ) Is formed in the trench. The hole system | 丨 is used to reduce the effective dielectric constant of the dielectric layer and the parasitic capacitance 1 between the adjacent metal wires.

I 本發明之介電層結構具有至少一高寬比大於3.5之溝 渠,且於該溝渠中形成至少一孔洞。由於包含在孔洞中之 :1空氣之介電常數小於介電層之介電常數,因此能夠有效地 3少兩相鄰金屬導線之間介電層的有效介電常數’進而降 |I The dielectric layer structure of the present invention has at least one trench having an aspect ratio greater than 3.5, and at least one hole is formed in the trench. Because the dielectric constant of: 1 contained in the hole is smaller than the dielectric constant of the dielectric layer, it can effectively reduce the effective dielectric constant of the dielectric layer between two adjacent metal wires ’, thereby reducing |

i低兩相鄰金屬導線之間的寄生電容以及因寄生電容所造成 I ;的時間延遲。 ! I | 丨發明之詳細說明 1 i i i j I 請參考圖一至圖八,圖一至圖八為於一半導體晶另 丨上製作一能降低金屬導線9卜92、93間寄生電容的介電層i low the parasitic capacitance between two adjacent metal wires and the time delay of I; caused by the parasitic capacitance. I | 丨 Detailed description of the invention 1 i i i j I Please refer to FIGS. 1 to 8. FIGS. 1 to 8 are fabricated on a semiconductor crystal and a dielectric layer capable of reducing parasitic capacitance between metal wires 92 and 92 and 93 is formed.

第6頁 441003 I五、發明說明(4) | 6 0結構的製程示意圖。半導體晶片4 0包含有一矽基底(未 i顯示),一介電層52覆蓋於該矽基底表面,複數個金屬導 |線61設於介電層52的表面,一氮化矽層54覆蓋於介電層52 丨以及複數個金屬導線61表面,一堆疊式(stacked)介電層 | 6 2覆蓋於氮化矽層5 4之上。 iPage 6 441003 I. V. Description of the invention (4) | The semiconductor wafer 40 includes a silicon substrate (not shown), a dielectric layer 52 covers the surface of the silicon substrate, a plurality of metal conductive lines 61 are provided on the surface of the dielectric layer 52, and a silicon nitride layer 54 is covered on The dielectric layer 52 丨 and the surface of the plurality of metal wires 61, a stacked dielectric layer | 6 2 covers the silicon nitride layer 5 4. i

I ; 介電層5 2係利用一加強型電漿化學氣相沉積 ;(plasma-enhanced chemical vapor deposition, PECVD) I製程於半導體晶片4 0表面沈積一二氧化矽所形成。另外, |介電層52亦可以由鱗矽玻璃(phosphosilicate glass, ipSG)或蝴碟矽玻璃(borophosphosi 1 i cate glass, BPSG) 1所構成。I; The dielectric layer 52 is formed by depositing silicon dioxide on the surface of the semiconductor wafer 40 with a plasma-enhanced chemical vapor deposition (PECVD) process. In addition, the dielectric layer 52 may also be composed of phosphosilicate glass (ipSG) or borophosphosi 1 i cate glass (BPSG) 1.

II

I ! 金屬導線61係由銅或鋁銅合金所構成。形成金屬導線 1 61的方法係利用一傳統的黃光(1 i thography)製程以及一 :非等向性乾蝕刻(anisotropic dry etching)製程先於介 :電層5 2表面定義出金屬導線61之溝渠圖案(pattern)’接 i著再利用一銅電解沈積技術(Cu electro-deposition ;technique)於介電層52表面以及溝渠圖案中沈積一銅金屬 i層。最後再進行一化學機械研磨(chemical mechanical ^〇1丨311丨1^,〇||1?)製程以完成表面約略與介電層52表面齊 j平之嵌入式銅金屬導線61。 由於銅離子(cupric ion )極易在石夕與二氧化石夕中擴 441003 I五、發明說明(5) |散’而且銅極易發生氧化現象,因此完成金屬導線61之 I後,通常會於金屬導線6 1以及介電層5 2表面利用化學氣相 |/尤積(CVD)法·形成一氮化秒層54當作保護層(passivation | layer)。此外’銅離子較不易擴散至緻密的氮化矽層54 |中’因此氮化碎層54可有效阻隔銅離子的擴散。然而高介 |電常數的氮化矽層5 4亦同時會提高金屬層間的寄生電容, :因此氮化矽層不宜過厚。 丨 : !I! The metal wire 61 is made of copper or aluminum-copper alloy. The method of forming the metal wire 1 61 is to use a traditional yellow light process and an: anisotropic dry etching process before the dielectric: the electrical layer 5 2 defines the surface of the metal wire 61 The trench pattern is followed by a copper electro-deposition technique (Cu electro-deposition; technology) to deposit a copper metal layer on the surface of the dielectric layer 52 and the trench pattern. Finally, a chemical mechanical polishing (chemical mechanical ^ 〇1 丨 311 丨 1 ^, 〇 || 1?) Process is performed to complete the embedded copper metal wire 61 whose surface is approximately flush with the surface of the dielectric layer 52. Because copper ions (cupric ions) can easily expand in Shixi and Shijixi 441003 I. 5. Description of the invention (5) | scattered 'and copper is prone to oxidation, so after the completion of I A chemical vapor phase / CVD method is used on the surfaces of the metal wires 61 and the dielectric layer 52 to form a nitrided second layer 54 as a passivation layer. In addition, 'copper ions are less likely to diffuse into the dense silicon nitride layer 54 |', so the broken nitride layer 54 can effectively block the diffusion of copper ions. However, the high dielectric constant silicon nitride layer 5 4 will also increase the parasitic capacitance between the metal layers, so the silicon nitride layer should not be too thick.丨:!

. I. I

I I ! 如圖一所示’堆疊式介電層62的表面已經預先利用傳 丨 統的黃光製程在光阻層4 2中定義出介層窗(via hole)的位| i置63、6 4以及溝渠的位置7卜堆疊式介電層6 2具有一類似 |二明治結構(oxide-nitride-oxide , 0N0 structure),II! As shown in Figure 1, 'The surface of the stacked dielectric layer 62 has been pre-defined by the traditional yellow light process in the photoresist layer 4 2 to define the position of the via hole | i63, 6 4 and the position of the trench 7 and the stacked dielectric layer 6 2 has a similar | II Meiji structure (oxide-nitride-oxide, 0N0 structure),

i為銅金屬雙喪入製程中常用之内金屬介電層(inter_metal ^dielectric layer’ IMD Uyer)結構,其包含有一厚度約 :為7000ά的矽氧化物層56,一厚度約為300各之蝕刻停止 丨層5 8覆蓋於石夕氧化物層5 6之上,以及一由石夕氧化物 j(silicon oxide)所構成厚度約為5000在的介電層6〇覆蓋 ;於蝕刻停止層5 8之上。矽氧化物層5 6、蝕刻停止屉w以;5 I介電層6。皆可用傳統之化學氣相沈積(CVD^V^58以及 I ; ! i 如圖二所不’接著進行一乾蝕刻製程,分別利用光阻丨 |層42與蝕刻終止層58的表面來當作硬罩幕(hard ^4)以 I及該乾蝕刻的終點(end-point),以將光阻層42中的圖案 |轉移到介電層60之中’於介電層60表面會形成介層窗的圖i is an inter_metal ^ dielectric layer 'IMD Uyer structure commonly used in the copper-metal double entry process, which includes a silicon oxide layer 56 having a thickness of about 7000, and an etching of about 300 each. The stop layer 5 8 covers the Shi Xi oxide layer 56, and a dielectric layer 60 with a thickness of about 5000 Å is formed by the Shi Xi oxide j (silicon oxide); the etch stop layer 5 8 Above. Silicon oxide layer 5 6. Etch stop; 5 I dielectric layer 6. Both can be used conventional chemical vapor deposition (CVD ^ V ^ 58 and I;! I as shown in Figure 2) and then a dry etching process is used, using the photoresist layer 42 and the surface of the etching stop layer 58 as hard The mask (hard ^ 4) uses I and the end-point of the dry etching to transfer the pattern in the photoresist layer 42 into the dielectric layer 60. A dielectric layer will be formed on the surface of the dielectric layer 60 Figure of window

441003 i五、發明說明(6) 丨案65、66,以及複數個高寬比大於3, 5之溝渠72。其中介 層窗的圖案65、6 6的位置約在金屬導線61的上方。 接下來對半導體晶片40表面進行一連串的清洗與乾燥 程序,以將乾蝕刻製程殘留於半導體晶片40表面之微粒子 I以及有機污染物去除。如圖三所示,隨後進行一化學氣相 i沈積法,以於介電層6 0表面以及介層窗圖案6 5、6 6與溝渠 1 7 2内均勻沈積一絕緣層8 2。其中絕緣層8 2是由一低介電常 丨數材料(low-dielectric constant materials)> 例如二 I氧化矽、磷矽玻璃(PSG)、硼磷矽玻璃(BPSG)、含氟二氧 化石夕(fluorinated silicon dioxide, FxSiOy)、聚對二曱 I苯類高分子(parylene)、鐵氟龍(Teflon)、或氟碳化合物 “amorphous carbon, a-C:F)等所構成。 由於溝渠72的高寬比大於3.5,所以在進行化學氣相 |沈積製程時,利用調整該化學氣相沈積製程的製程參數以 | 丨及薄膜厚度可以產生一階梯覆蓋性(step coverage)較差 丨 |之絕緣層82,進而在溝渠72開口附近的介電層60角落 丨(c 〇 r n e r)上形成懸突(〇 v e r h a n g ),封閉溝渠7 2的開口 ,以 i於溝渠72内形成孔洞73。 i ί ; 如圖四所示,接著進行一 RCA標準清洗溶液對半導體 i晶片40表面進行一連串的清洗程序,並利用一去水供烤 丨(dehydration)步驟使半導體晶片40表面乾燥。然後進行441003 i V. Description of the Invention (6) Cases 65 and 66, and a plurality of trenches 72 with aspect ratios greater than 3,5. The positions of the patterns 65 and 66 of the interlayer window are approximately above the metal wires 61. Next, a series of cleaning and drying procedures are performed on the surface of the semiconductor wafer 40 to remove the fine particles I and organic pollutants remaining on the surface of the semiconductor wafer 40 in the dry etching process. As shown in FIG. 3, a chemical vapor deposition method is subsequently performed to uniformly deposit an insulating layer 82 on the surface of the dielectric layer 60 and the dielectric window patterns 65, 66 and the trenches 172. The insulating layer 82 is made of a low-dielectric constant materials > such as silicon dioxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), and fluorinated dioxide. It is composed of fluorinated silicon dioxide (FxSiOy), parylene, Teflon, or “amorphous carbon (aC: F)”. Due to the high height of the trench 72 The aspect ratio is greater than 3.5, so when performing a chemical vapor deposition process, using the process parameters of the chemical vapor deposition process to adjust the thickness of the film and the thickness of the film can produce an insulating layer with poor step coverage. || Further, overhangs (overver) are formed on the corners of the dielectric layer 60 near the opening of the trench 72 (coverner), and the opening of the trench 72 is closed to form a hole 73 in the trench 72 i. As shown in FIG. As shown in FIG. 4, a series of cleaning procedures are performed on the surface of the semiconductor i-chip 40 using an RCA standard cleaning solution, and the surface of the semiconductor wafer 40 is dried by using a dehydration step. Then, the surface is dried.

f 441 0 03 五、發明說明(7) 一光阻塗佈製程’於半導體晶片40表面形成一正型 (positive, P-type)光阻層44。其中在塗佈光阻層44之 |後,半導體晶片40需再經過一約60秒、9〇至U(rc的軟烤 |(soft bake)步驟以去除光阻層44中之溶劑。接著再利用 | 一黃光製程於光阻層44中定義出金屬導線之溝渠圖案43。 | 如圖五所示’以三氟曱烧(fluoroforra,CHF 3)為主要 | j反應氣體’進行反應性離子姓刻(reactive ion etching, jRIE)製程’向下去除未被光阻層44覆蓋之絕緣層82以及介 i電層6 0 ’直到蝕刻停止層5 8表面,以將光阻層4 4中的金屬 i導線溝渠圖案43轉移到介電層60中,同時於介電層60内形 |成金屬導線的溝渠圖案67、68、69。而原先形成於介電層 ;60中的介層窗圖案65、6 6則在此乾餘刻過程中被轉移至石夕 |氧化物層56中’並將金屬導線61的表面暴露出來》最後於 :一氧氣電漿(oxygen plasma)中進行光阻剝離(ph〇t〇 resist stripping)製程,以去除光阻層44。 I 由於在雙嵌入銅連結線製程中之介層窗姓刻以及光阻 丨剝離過程中’若該乾钱刻不先停在氮化石夕層5 4表面而直接 丨蚀刻到金屬導線6 1的表面’則會導致底部的銅金屬導線6 1 I被濺鍍到介層窗側壁’產生氟化銅(cupric nu〇ride, i CuF 2)以及氧化銅(cupr i c oxi de,CuO)等的銅微粒污染 丨 i物。而且隨後的去光阻製程中所使用之氧氣電漿亦會將銅 |金屬導線表面氧化成氧化銅’大幅提高接觸電阻。因此,f 441 0 03 V. Description of the invention (7) A photoresist coating process' forms a positive (P-type) photoresist layer 44 on the surface of the semiconductor wafer 40. Wherein, after coating the photoresist layer 44, the semiconductor wafer 40 needs to go through a soft bake step of about 90 seconds to 90 ° to remove the solvent in the photoresist layer 44. Then, A yellow light process is used to define the trench pattern 43 of the metal wire in the photoresist layer 44. | As shown in Figure 5, 'Through fluoroforra (CHF 3) as the main | j reactive gas' for reactive ions The reactive ion etching (jRIE) process 'removes the insulating layer 82 and the dielectric layer 6 0' that are not covered by the photoresist layer 44 down to the surface of the etching stop layer 5 8 to remove the photoresist layer 4 4 The metal i-conductor trench pattern 43 is transferred to the dielectric layer 60, and at the same time, the trench pattern 67, 68, 69 of the metal conductive line is formed in the dielectric layer 60. The dielectric layer pattern originally formed in the dielectric layer; 60 65, 6 and 6 were transferred to Shi Xi in this dry process | the oxide layer 56 'and exposed the surface of the metal wire 61. Finally, the photoresist was peeled off in an oxygen plasma. (Ph〇t〇resist stripping) process to remove the photoresist layer 44. I Because of the intermediary in the process of double embedded copper connection line Layer window engraving and photoresistation 丨 In the peeling process, 'If the dry money engraving does not stop on the surface of the nitride layer 5 4 and etch directly to the surface of the metal wire 6 1', it will cause the copper metal wire 6 1 at the bottom I was sputtered to the side wall of the interlayer window to produce copper fluoride (cupric nuoride, CuF 2) and copper oxide (cupr oxi de, CuO) and other copper particles contaminated. I and subsequent photoresist removal The oxygen plasma used in the process will also oxidize the surface of copper | metal wires to copper oxide ', which greatly increases the contact resistance. Therefore,

第10頁 ^41〇〇3 I五、發明說明(8) i本發明之方法是調整適當的蝕刻選擇比,先蝕刻蝕刻停止' 丨層5 8以及矽氧化物層5 6直到氮化矽層5 4的表面。接著進行 I一去光阻製程與一蝕刻後清洗步驟,去除光阻層44與高分 !子殘留物,最後再利用一軟性蝕刻來去除介層窗底部的氮 丨化碎層54’元成介層囪圖案65、6 6的轉移。 i 如圖六所示,接著進行一銅金屬層84的沈積製程。如 丨前面所述,由於銅極易在矽或二氧化矽中擴散,而且銅與 I |石夕氧化層56的附著性不佳,因此在沈積銅金屬層之前須先j 丨沈積一金屬障礙層90。金屬障礙層9 0必須具有良好的擴散 j |障礙特性’而且金屬障礙層90與矽氧化物層5 6以及銅金屬 !層8 4亦必須較佳的附著力。目前較常用來作為障礙層9 〇的 !材料為组金屬(tantalum, 了a)或氮化组(tantalum i nitride,TaN)。钽金屬障礙層90是利用一化學氣相沈積 i法形成。沈積反應以五氣化鉅(TaC 15)以及氩氣做為一反 丨應氣體*反應溫度高於700C以上。 隨後進行一銅晶種層(seed layer)物理氣相沈積 丨(physical vapor deposition, PVD)製程,以於障礙層 90 i表面先形成一銅晶種層(未顯示),然後利用一濕式銅電鍍 丨沈積製程,以於銅晶種層表面沈積一銅金屬層84,並完全 丨填滿金屬導線溝渠圖案67、68、69以及介層窗圖案65、 | |66。在電鍍銅的過程中,可經由添加化學藥劑 丨(additives)來達到底部優先成長(bottom-up fill)的效Page 10 ^ 41〇〇3 I. Description of the invention (8) i The method of the present invention is to adjust the appropriate etching selection ratio, and the etching is stopped first. The layer 5 8 and the silicon oxide layer 5 6 are up to the silicon nitride layer. 5 4 surface. Next, a photoresist removal process and a post-etching cleaning step are performed to remove the photoresist layer 44 and high-resistance sub-residues. Finally, a soft etch is used to remove the nitrogen fragmentation layer 54 ′ at the bottom of the via window Transfer of the mesas pattern 65, 66. i As shown in FIG. 6, a copper metal layer 84 is deposited. As mentioned earlier, because copper easily diffuses in silicon or silicon dioxide, and the adhesion between copper and I | Shi Xi oxide layer 56 is not good, a metal barrier must be deposited before depositing a copper metal layer. Layer 90. The metal barrier layer 90 must have good diffusion j | barrier characteristics' and the metal barrier layer 90 and the silicon oxide layer 56 and the copper metal! Layer 8 4 must also have better adhesion. Currently, the materials commonly used as the barrier layer 90 are group metals (tantalum, a) or nitride group (tantalum nitride) (TaN). The tantalum barrier layer 90 is formed by a chemical vapor deposition method. The deposition reaction uses five gasification giants (TaC 15) and argon as the reaction gas. The reaction temperature is higher than 700C. A copper seed layer physical vapor deposition (PVD) process is subsequently performed to form a copper seed layer (not shown) on the surface of the barrier layer 90i, and then a wet copper is used. The electroplating and deposition process is used to deposit a copper metal layer 84 on the surface of the copper seed layer and completely fill the metal wire trench patterns 67, 68, 69 and the interlayer window patterns 65, | | 66. In the process of electroplating copper, the effect of bottom-up fill can be achieved by adding chemicals.

44彳 Ο Ο 3 j 五、發明說明(9) ~~~~———— ~~一-一^-一~^ 'TO j44 彳 Ο Ο 3 j V. Description of the invention (9) ~~~~ ———— ~~ 一-一 ^-一 ~ ^ 'TO j

丨’使"層窗底部的銅)尤積速率高於側壁的銅沈積速率,I I使銅可選擇性地向上填滿介層窗以及溝渠而不致於產生i丨 ’Make the copper at the bottom of the layer window higher than the copper deposition rate on the side wall. I I allows copper to selectively fill up the interlayer window and the trenches without causing i

j孔洞(void)或接合縫隙(seam)。 Ij void or seam. I

I I 如圖七所示,接著進行一表面平坦化製程,例如CMP ! 丨製程,以在金屬導線溝渠圖案67、68、6 9以及介層窗圖案 i I 65、66中形成銅金屬導線91、92、93以及銅金屬插塞94、 | 丨95。 j !II. As shown in FIG. 7, a surface planarization process, such as a CMP process, is then performed to form copper metal wires 91, 67, 68, 69 in the metal wire trench patterns 67, 66, and 66, 66, 66. 92, 93 and copper metal plugs 94, | 丨 95. j!

I 一般鋼連結線之雙嵌入製程的CMP製程會遇到兩個問 丨題:(1)銅金屬層8 4較軟’因此微刮痕(scratch)與淺碟現 1象(dishing)會較為嚴重;(2)銅金屬層8 4與障礙層9 0之間 |的研磨速率有很大的差異,因而更形加重了淺碟現象,甚 I丨至導致銅金屬導線9卜92、93表面發生侵蝕(er〇si〇n)的 丨情形。然而利用本發明之方法卻可以有效解決習知問題β 1因為在進行銅金属層8 4的CMP製程時,吾人可藉由提高絕 j |緣層8 2的厚度來當作一犧牲層,進而完全去除位於絕緣層 | 丨:8 2上方之銅金屬層84,以大幅改善金屬導線91、92、9 3^ 丨 丨面的淺碟現象。 I I 最後’如圖八所示’進打第一次的CMP製程,去除位 |於介電層6 0上方之絕緣層82,完成鋼連線製程的平坦化以 I及金屬導線9 1、9 2、9 3之間的介電層6 0結構。I The CMP process of the dual-embedding process of general steel connecting lines will encounter two problems: (1) the copper metal layer 8 4 is softer, so micro-scratch and shallow dish will be more like a dish. Severe; (2) There is a large difference in the grinding rate between the copper metal layer 84 and the barrier layer 90, which further aggravates the shallow dish phenomenon, and even leads to the surface of copper metal wires 9 and 92, 93. Erosion occurred. However, the method of the present invention can effectively solve the conventional problem β 1 because when the CMP process of the copper metal layer 8 4 is performed, we can increase the thickness of the insulating layer j 2 as a sacrificial layer, and further The copper metal layer 84 above the insulating layer | 丨: 8 2 is completely removed to greatly improve the shallow dishing phenomenon on the metal wires 91, 92, 9 3 ^ 丨 丨. II Finally, the first CMP process is performed 'as shown in Figure 8', removing the insulating layer 82 located above the dielectric layer 60 to complete the planarization of the steel connection process. I and metal wires 9 1, 9 Dielectric layer 60 structure between 2, 9 and 3.

'4 41 0 0 3 丨五、發明說明(10) ! 1 由於利用雙嵌入製程所形成之金屬導線9卜92、9 3間 i的介電層6 0係由一具低介電常數的矽氧化物所構成,而且 i介電層6 0至少包含有一個以上填充有絕緣層8 2的溝渠7 2, |以及至少一利用絕緣層8 2所形成之迴73設於溝渠72中, | 丨所以能大幅減少介電層6 0的有效介電常數(effective ! {dielectric constant),進而降低金屬導線91、92、93間 丨'4 41 0 0 3 丨 V. Description of the invention (10)! 1 Due to the metal wires 9b 92, 9 formed by the dual-embedding process, the dielectric layer 60 between i is made of silicon with a low dielectric constant. Made of oxide, and the i dielectric layer 60 includes at least one trench 72 2 filled with an insulating layer 8 2, and at least one trench 73 formed using the insulating layer 8 2 is provided in the trench 72, | 丨Therefore, the effective dielectric constant of the dielectric layer 60 (effective! {Dielectric constant) can be greatly reduced, thereby reducing the interval between the metal wires 91, 92, and 93 丨

丨的寄生電容。也就是說,本發明是先利用高寬比大於3.5 i之溝渠72來形成孔洞73,進而藉由包含於孔洞73中之空氣 丨的介電常數必定小於介電層60之介電常數的原理’來有效 I I | i減低相鄰金屬導線9卜9 2、9 3之間的有效介電常數,達到 !降低相鄰金屬導線9卜9 2、9 3間之寄生電容以及時間延遲 丨的目的。丨 parasitic capacitance. That is, the present invention first uses the trench 72 having an aspect ratio greater than 3.5 i to form the hole 73, and then the principle that the dielectric constant of the air contained in the hole 73 must be smaller than that of the dielectric layer 60 'Effective II | i reduces the effective dielectric constant between adjacent metal wires 9b 9 2, 9 3, to achieve! The purpose of reducing parasitic capacitance and time delay between adjacent metal wires 9b 9 2, 9 3 丨.

II

I | 在上述揭露的實施例中,吾人是利用先做介層窗(via 丨f i r s t)雙嵌入製程來作一詳細說明,但是本發明之介電層 :結構實可應用於各種雙嵌入製程之金屬導線(metal wire) * I間’甚至可推廣至半導體晶片上任何兩相鄰導體間的介電 i |層結構。 丨I | In the above-disclosed embodiment, I used the via-first dual-embedding process to make a detailed description, but the dielectric layer of the present invention: the structure can be applied to various dual-embedding processes. Metal wire (metal wire) * I can even be extended to the dielectric i | layer structure between any two adjacent conductors on a semiconductor wafer.丨

1 I ί ! ! ! j ! I 相較於習知降低金屬内連線間之時間延遲的方法’本i |發明不但提供一種能降低金屬導線91、92、93間寄生電容 j |的介電層6 0結構及其製作方法,而且同時解決了銅連結線 丨之雙嵌入製程的製程問題。1 I ί!! J! I Compared with the conventional method to reduce the time delay between the metal interconnects, the present invention not only provides a dielectric that can reduce the parasitic capacitance j | between the metal wires 91, 92, and 93 The layer 60 structure and the manufacturing method thereof, and simultaneously solve the manufacturing problem of the dual-embedding process of the copper connection line.

:五、發明說明(11) | 以上所述僅為本發明之較佳實施例,凡依本發明申請 I專利範圍所做之均等變化與修飾,皆應屬本發明專利之涵 i蓋範圍。: V. Description of the invention (11) | The above description is only a preferred embodiment of the present invention. Any equivalent changes and modifications made in accordance with the scope of the patent application of the present invention shall fall within the scope of the invention patent.

第14頁 441003 圖式簡單說明 圖示之簡單說明 圖一 至 圖 八 為 本 發明之介 電層 的製 程 示 意 圖 〇 圖示之符 號 說 明 40 半 導 體 晶 片 42 光 阻 層 43 金 屬 導 線 之 溝渠圖案 44 光 阻 層 52 介 電 層 54 氮 化 矽 層 56 矽 氧 化 物 層 58 钱 刻 停 止 層 60 介 電 層 61 金 屬 導 線 62 堆 疊 式 介 電 層 63 介 層 窗 位 置 64 介 層 窗 位 置 65 介 層 窗 圖 案 66 介 層 窗 圖 案 67' 68' 69 金 屬 導 線 溝渠圖案 71 溝 渠 位 置 72 溝 渠 73 孔 洞 82 絕 緣 層 90 金 屬 障 礙 層 91 ' 92' 93 銅 金 屬 導 線 94、 95 銅 金 屬 插 塞Page 14 441003 Brief description of the diagrams Brief description of the diagrams Figures 1 to 8 are schematic diagrams of the dielectric layer manufacturing process of the present invention. 0 Symbol descriptions 40 Semiconductor wafer 42 Photoresist layer 43 Channel pattern of metal wires 44 Photoresist layer 52 Dielectric layer 54 Silicon nitride layer 56 Silicon oxide layer 58 Carved stop layer 60 Dielectric layer 61 Metal wire 62 Stacked dielectric layer 63 Interlayer window position 64 Interlayer window position 65 Interlayer window pattern 66 Interlayer Window pattern 67 '68' 69 Metal wire trench pattern 71 Trench position 72 Trench 73 Hole 82 Insulation layer 90 Metal barrier layer 91 '92' 93 Copper metal wire 94, 95 Copper metal plug

Claims (1)

A A] 0 0 3 丨六、申諳專利範圍 ! 1. 一種設於一半導體晶片上之兩相鄰導體(conductor) j間之介電層(dielectric layer)結構,包含有: | 至少一溝渠(trench)設於該介電層表面; 1 一絕緣層設於該溝渠中;以及 1 至少一利用該絕緣層所形成之孔洞(v〇 i d )設於該溝渠 丨中; ! 其中該孔洞係用來降低該介電層之有效介電常數 I (effective dielectric constani;)0 i ;2. 如申請專利範圍第1項之介電層結構,其中該介電層 |係具有一近似平坦的表面,且該介電層表面係約略與各該 !導體的頂面齊平。 ! 3. 如申請專利範圍第2項之介電層結構,其中該介電層 丨係由矽氧化物(s i I i c ο η ο X i d e )所構成。 導 個 兩 該 中 其 構 結 層 0 電} 6 介 Γ i 之W 項1 11a 第 e 圍 C 範線 利導 專屬 請金 申一 如為 係 4體 ;5. 如申請專利範圍第4項之介電層結構,其中該金屬導 丨線係利用一雙敌入(duel damascene)製程形成。AA] 0 0 3 丨 VI. Patent scope! 1. A dielectric layer structure provided between two adjacent conductors j on a semiconductor wafer, including: | at least one trench ( trench) is provided on the surface of the dielectric layer; 1 an insulating layer is provided in the trench; and 1 at least one hole (v〇id) formed by using the insulating layer is provided in the trench;! wherein the hole is used for To reduce the effective dielectric constant I (effective dielectric constani;) 0 i of the dielectric layer; 2. For example, the dielectric layer structure of the first patent application range, wherein the dielectric layer | has an approximately flat surface, And the surface of the dielectric layer is approximately flush with the top surface of each of the! Conductors. 3. The dielectric layer structure according to item 2 of the patent application scope, wherein the dielectric layer 丨 is composed of silicon oxide (s i I i c ο η ο X i d e). Introduce the structure layer 0 of the electric current} 6 mediation Γ i of the W item 1 11a e e C Fan Lili exclusive guidance, please apply for Jinshenyi as the 4th body; 5. As for the 4th scope of the scope of patent application The dielectric layer structure, wherein the metal conductive line is formed by a dual damascene process. j六、申請專利範圍 ί I I 7 · 如申請專利範圍第1項之介電層結構,其中該絕緣層 |係、由一低介電常數材料(low-dielectric constant 丨materials)所構成、 ί |8 ‘ 如申請專利範圍第7項之介電層結構,其中該低介電 丨常數材料係包含有二氧化梦、碟石夕玻璃(phosphosilicate ;g 1 ass,PSG)、硼填矽玻璃(borophosphos i 1 i cate g 1 ass, j jBPSG)' 含氟二氧化石夕(fiUQrinated silicon dioxide, |FJiOy)、聚對二甲苯類高分子(paryiene)、鐵氟龍 丨(Teflon)、或氟碳化合物(amorphous carbon, a-C:F)。 : ;9· 一種設於一半導體晶片上之兩相鄰金屬導線(metal |wi re)間之介電層結構,該金屬導線係利用一雙嵌入製程 :形成’該介電層包含有複數個孔洞(void)用來降低該兩金 丨屬導線間之寄生電容。 | ; I ! ] |10·如申請專利範圍第9項之介電層結構,其中該介電層 i 係由矽氧化物所構成》 • ! i ! ! ;11_如申請專利範圍第9項之介電層結構,其中該介電層 | 丨另包含有複數個高寬比大於3· 5之溝渠,而該複數個孔洞 i係在該複數個溝渠中形成。j 六 、 Application scope of patent ί II 7 · If the dielectric layer structure of the first scope of patent application, the insulating layer | is composed of a low-dielectric constant 丨 materials, ί | 8 'As in the dielectric layer structure of the 7th scope of the patent application, wherein the low dielectric constant material includes a dream of dioxide, phosphosilicate (g 1 ass, PSG), borophosphos i 1 i cate g 1 ass, j jBPSG) 'fiUQrinated silicon dioxide (FJiOy), paryiene, Teflon, or fluorocarbon (Amorphous carbon, aC: F). :; 9 · A dielectric layer structure provided between two adjacent metal wires (metal | wi re) on a semiconductor wafer, the metal wires are formed by a double embedding process: forming 'the dielectric layer contains a plurality of A void is used to reduce the parasitic capacitance between the two metal wires. ; I!] | 10 · If the dielectric layer structure of the patent application scope item 9, where the dielectric layer i is composed of silicon oxide "•! I!!; 11_ If the patent application scope item 9 The dielectric layer structure, wherein the dielectric layer | 丨 further includes a plurality of trenches having an aspect ratio greater than 3.5, and the plurality of holes i are formed in the plurality of trenches. 第17頁 i六、申請專利範圍 I il2.如申請專利範圍第11項之介電層結構’其中形成該複 | 丨數個孔洞的方法係包含有下列步驟: | I進行一化學氣相沈積(chemical vapor deposition,CVD) | 丨製程以於該複數個溝渠表面沈積一絕緣層; | |其中該絕緣層會於該複數個溝渠開口處形成懸突 丨 (overhang)’並封閉該複數個溝渠開口,形成該複數個孔 ! 丨洞。 丨 I i ;1 3 •如申請專利範圍第i 2項之介電層結構,其中該絕缘層 丨係由一低介電常數材料所構 i 丨^4·如申請專利範圍第13項之介電層結構,其中該低介電 丨常數材料係包含有二氧化矽、磷矽玻璃(PSG)、硼磷矽玻 丨,(BPSG)、含氟二氧化矽(FxSi〇y)、聚對二甲苯類高分子 (parylene)、鐵氣龍(Teflon)、或氟碳化合物U-C:F)。Page 17i VI. Patent application scope I il2. The dielectric layer structure of item 11 of the patent application scope 'wherein the complex is formed | The method of forming several holes includes the following steps: | I perform a chemical vapor deposition (Chemical vapor deposition, CVD) | 丨 a process for depositing an insulating layer on the surfaces of the plurality of trenches; | | wherein the insulating layer forms overhangs at the openings of the plurality of trenches and closes the plurality of trenches Open up to form the holes!丨 hole.丨 I i; 1 3 • If the dielectric layer structure of item i 2 of the patent application scope, wherein the insulating layer 丨 is composed of a low dielectric constant material i ^ 4 · As described in the patent application scope of item 13 Electrical layer structure, where the low-dielectric constant material system includes silicon dioxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorine-containing silicon dioxide (FxSi〇y), Toluene polymer (parylene), Teflon, or fluorocarbon UC: F). 第18頁Page 18
TW89106047A 2000-03-31 2000-03-31 Structure of dielectric layer and method for making the same TW441003B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89106047A TW441003B (en) 2000-03-31 2000-03-31 Structure of dielectric layer and method for making the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89106047A TW441003B (en) 2000-03-31 2000-03-31 Structure of dielectric layer and method for making the same

Publications (1)

Publication Number Publication Date
TW441003B true TW441003B (en) 2001-06-16

Family

ID=21659278

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89106047A TW441003B (en) 2000-03-31 2000-03-31 Structure of dielectric layer and method for making the same

Country Status (1)

Country Link
TW (1) TW441003B (en)

Similar Documents

Publication Publication Date Title
US6297554B1 (en) Dual damascene interconnect structure with reduced parasitic capacitance
TWI239592B (en) Semiconductor device and its manufacturing method
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US6734096B2 (en) Fine-pitch device lithography using a sacrificial hardmask
US6756672B1 (en) Use of sic for preventing copper contamination of low-k dielectric layers
KR20030040169A (en) Semiconductor device and method of manufacturing the same
JP2008135758A (en) Method of manufacturing electronic structure
TWI321346B (en) Method of forming metal line in semiconductor device
KR100860133B1 (en) Locally increasing sidewall density by ion implantation
JP3586605B2 (en) Method for etching silicon nitride film and method for manufacturing semiconductor device
US7056826B2 (en) Method of forming copper interconnects
US6677679B1 (en) Use of SiO2/Sin for preventing copper contamination of low-k dielectric layers
US20040121583A1 (en) Method for forming capping barrier layer over copper feature
US6663787B1 (en) Use of ta/tan for preventing copper contamination of low-k dielectric layers
US6037250A (en) Process for forming multilevel interconnection structure
US6426558B1 (en) Metallurgy for semiconductor devices
US6503835B1 (en) Method of making an organic copper diffusion barrier layer
US6492257B1 (en) Water vapor plasma for effective low-k dielectric resist stripping
US6576545B1 (en) Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
KR19980071288A (en) Copper interconnect technology
JP2003303880A (en) Wiring structure using insulating film structure between laminated layers and manufacturing method therefor
WO1991010261A1 (en) Semiconductor interconnect structure utilizing a polyimide insulator
US6794298B2 (en) CF4+H2O plasma ashing for reduction of contact/via resistance
JP2000174019A (en) Semiconductor device and manufacture thereof
US9852991B2 (en) Semiconductor structure and fabrication method thereof

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees