TW200423250A - Plasma processing device, electrode plate for the same, and manufacturing method for electrode plate - Google Patents

Plasma processing device, electrode plate for the same, and manufacturing method for electrode plate Download PDF

Info

Publication number
TW200423250A
TW200423250A TW093102429A TW93102429A TW200423250A TW 200423250 A TW200423250 A TW 200423250A TW 093102429 A TW093102429 A TW 093102429A TW 93102429 A TW93102429 A TW 93102429A TW 200423250 A TW200423250 A TW 200423250A
Authority
TW
Taiwan
Prior art keywords
electrode
plasma
main surface
dielectric
plasma processing
Prior art date
Application number
TW093102429A
Other languages
English (en)
Other versions
TWI334173B (zh
Inventor
Katsuya Okumura
Shinji Himori
Kazuya Nagaseki
Hiroki Matsumaru
Shoichiro Matsuyama
Toshiki Takahashi
Original Assignee
Octec Inc
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Octec Inc, Tokyo Electron Ltd filed Critical Octec Inc
Publication of TW200423250A publication Critical patent/TW200423250A/zh
Application granted granted Critical
Publication of TWI334173B publication Critical patent/TWI334173B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape

Description

200423250 Π) 玖、發明說明 【發明所屬之技術領域】 本發明是關於在被處理基板實施電漿處理的技術,特 別是關於將高頻波供給至電極,來生成電漿的高頻波放電 方式的電漿處理技術 '本發明是特別關於在製造半導體裝 置的半導體處理所利用的電漿處理技術。在此,所謂半導 體處理’意指爲了藉由在半導體晶圓、LCD ( Liquid crystal display)或 FPD( Flat Panel Display)用的玻璃 基板等的被處理基板上以預定的圖案形成半導體層、絕緣 層 '導電層等,來在該被處理基板上製造半導體裝置、或 €含連接於半導體裝置的配線、電極等的構造物而實施的 各種處理。 【先前技術】 在半導體裝置或FPD的製造過程之蝕刻、堆積、氧 化、濺射等的處理,爲了在處理氣體以較低溫來進行良好 的反應,而多數利用電漿來進行。一般,電漿處理裝置是 作爲生成電漿的方式,大致分成利用輝光放電或高頻波放 電者、與利用微波者。 在高頻波放電方式的電漿處理裝置,在處理容器或反 應室內平行地配置上部電極與下部電極。在下部電極上載 置(承載)被處理基板(半導體晶圓、玻璃基板等),在 上部電極或下部電極,經由整合器來施加電漿生成用的高 頻波電壓。藉由以此高頻波電壓所生成的高頻波電場,使 -5- (2) (2)200423250 電子加速,藉由電子與處理氣體的衝突電離來產生電漿。 最近,隨著製造過程之設計規則的細微化,而對電漿 處理要求在低壓下的高密度電漿。因此,在上述之高頻波 放電方式的電漿處理裝置,逐漸形成使用較以往(一般爲 27MHz)更高之高頻區域(5〇mHz)之頻率。但,當高頻 波放電的頻率變高時,則由高頻電源通過供電棒後施加於 電極背面的高頻電力受到表面效應而傳達於電極表面,由 電極主面(與電漿相對向的面)的邊緣部朝中心部流動。 當在一樣的電極主面高頻電流由邊緣部朝中央部流動時, 則電極主面的中心部之電場強度變得較邊緣部之電場強度 側高。在電漿密度高的電極中心部,電漿的阻抗率變低, 在相對向的電極,電流也集中於中心部,使得電漿密度的 不均等性進一步增加。 爲了解決此問題,以高阻抗構件構成高頻電極的主面 中心部者爲眾所皆知(例如日本特開2000-3 23 45 6號公報 )。在此技術,以高阻抗構件構成連接於高頻電源側的電 極之主面(電漿接觸面)的中央部。受到在此高阻抗構件 使更多的高頻電力作爲焦耳熱所消耗,使得比起電極外周 部,在電極中心部相對地使電極的主面之電場強度降低。 藉此,補正上述電漿密度的不均等性。 但,在上述高頻放電方式的電漿處理裝置,以高阻抗 構件構成高頻電極的主面中心部者會有因焦耳熱所引起的 高頻電力的消耗(能量損失)變多之可能性。 (3) (3)200423250 【發明內容】 本發明是有鑒於上述以往技術的問題點而開發完成的 發明,其目的在於提供:能夠有效率地達到電漿密度的均 等化之高頻放電方式的電漿處理裝置及電漿處理裝置用的 電極板。 本發明之另一目的在於提供:能夠有效率地製造在本 發明之電漿處理裝置用的電極板上一體地設置靜電夾的構 造之電極板製造方法。 爲了達到上述目的,本發明的第1電漿處理裝置,是 在可減壓的處理容器內設置第1電極,在前述處理容器內 形成高頻電場,並且流入處理氣體來形成前述處理氣體的 電漿,在前述電漿下,於被處理基板實施期望的電漿處理 之電漿處理裝置,其特徵爲:在前述第1電極的主面,分 散地設置朝向生成前述電漿的空間突出之多數個凸部。在 此裝置結構,若能夠在第1電極施加電漿生成用的高頻波 的話,則也能夠在其他電極,例如在平行平板型,於與第 1電極相對向的第2電極施加高頻波。在第1電極施加高 頻波的情況時,由與第1電極的主面相反側的裏面供給高 頻波爲佳。 在由裏面側將高頻波施加於第1電極的情況時,高頻 電流藉由表面效應,於第1電極的主面上由電極邊緣部朝 電極中心部流動之際,流動於凸部的表面層。凸部是因朝 電漿空間側突出,所以,以較凸部以外的部分也就是主面 底面部更低的阻抗來與電漿電氣結合。因此,受到流動於 -7- (4) (4)200423250 電極的主面的表面層之高頻電流所搬運的高頻電力主要由 凸部的頂面朝電漿放出。如此,分散地設置於第1電極的 主面上之多數個凸部是分別作爲對於電漿供給高頻電離的 小電極來發揮功能。藉由適宜選擇該凸部的屬性(形狀、 尺寸、間隔、密度等),能夠將對於電漿之第1電極的高 頻電力供給特性控制於期望的特性。 例如,爲了保證上述凸部之高頻電力供給功能,而在 第1電極的主面上將凸部的高度及電極徑方向的寬度作成 以下述(1 )的方程式所表示之表皮深度(skin depth ) (5 之3倍以上爲佳。 δ — ( 2 / 6〇 〇 ji ) 1/2 ...... ( 1 ) (其中,u=2;rf(f:頻率)、σ :導電率、:透 磁率) 又,爲了使電極徑方向之電場強度及電漿密度的均等 性提昇,理想是作成:在第1電極的主面上由電極中心部 朝電極邊緣部逐漸增大凸部的面積密度之結構。例如,在 將凸部形成一定尺寸的情況時,凸部的個數密度由電極中 心部朝電極邊緣部逐漸增大之分佈特性爲佳。 又,作爲一適當的形態,將凸部形成圓柱狀爲佳。或 亦可作成:將凸部形成環狀,在全體配置成同心圓狀之結 構。 又,爲了提高根據凸部之高頻電力放出功能,理想是 作成:在第1電極的主面上,於至少凸部以外的部分上設 置介電質之結構。 -8- (5) (5)200423250 本發明的第2電漿處理裝置,是在可減壓的處理容器 內設置第1電極,在前述處理容器內形成高頻電場,並且 流入處理氣體來形成前述處理氣體的電漿,在前述電漿下 ,於被處理基板實施期望的電漿處理之電漿處理裝置,其 特徵爲:在前述第1電極的主面分散地設置與生成前述電 漿的空間相對而凹陷之多數個凹部。在此裝置結構,也若 能夠在第1電極施加電漿生成用的高頻波的話,則也能夠 在其他電極,例如在平行平板型,於與第1電極相對向的 第2電極施加高頻波。在第1電極施加高頻波的情況時, 由與第1電極的主面相反側的裏面供給高頻波爲佳。 因第1電極的主面上的凹部是與電漿空間側相對凹陷 ,所以以較凹部以外的部分(電極主面的頂面部)更高之 阻抗來與電漿電氣結合。因此,受到流動於電極的主面的 表面層之高頻電流所搬運的高頻電力主要由凹部以外的部 分(電極主面的頂面部)朝電漿放出。如此,在第1電極 的主面上分散地配置之多數個凹部是分別作爲抑制對於電 漿之高頻電力的供給之電極隔離部來發揮功能。藉由適宜 選擇該凹部的屬性(形狀、尺寸、間隔、密度等),能夠 將對於電漿之第1電極的作用控制於期望的特性。 例如,爲了保證根據上述凹部之高頻電力供給功能, 而在第1電極的主面上將凹部的高度及電極徑方向的寬度 作成前述表皮深度(skin depth ) 6之3倍以上爲佳。 又,爲了使電極徑方向之電場強度及電漿密度的均等 性提昇,理想是作成:在第1電極的主面上由電極中心部 -9- (6) (6)200423250 朝電極邊緣部逐漸縮小凹部的面積密度之結構。例如’在 將凹部形成一定尺寸的情況時’凹部的個數密度由電極中 心部朝電極邊緣部逐漸縮小之分佈特性爲佳。 又,作爲一適當的形態’將凹邰形成圓柱狀爲佳。又 ,爲了提高根據凹部之高頻電力隔離功能’理想是作成: 在第1電極的主面上’於至少凹部內設置介電質之結構。 本發明的第3電漿處理裝置’是在可減壓的處理容器 內設置第1電極’在前述處理容器內形成高頻電場’並且 流入處理氣體來形成前述處理氣體的電漿’在前述電漿下 ,於被處理基板實施期望的電漿處理之電漿處理裝置,其 特徵爲:在前述第1電極的主面設置介電質,將前述第1 電極的中心部側之前述介電質的厚度作成較電極邊緣部側 之前述介電質的厚度厚。在此裝置結構,也若能夠在第1 電極施加電漿生成用的高頻波的話,則也能夠在其他電極 ,例如在平行平板型,於與第1電極相對向的第2電極施 加高頻波。在第1電極施加高頻波的情況時,由與第1電 極的主面相反側的裏面供給高頻波爲佳。 在上述裝置結構,由於對於電漿空間側,相對地電極 中心部側的阻抗大,而電極邊緣部側的阻抗小,故電極邊 緣部側之高頻電場增強,另一方面電極中心部側的高頻電 場減弱’藉此,改善電場強度及電漿密度的均等性。 在上述結構,介電質之理想剖面是介電質的厚度由第 1電極的電極中心部側朝電極邊緣部側逐漸(理想爲拱型 )減少的結構。又,其結構是在包含電極中心部的第1直 (7) (7)200423250 徑的內側介電質的厚度大致一定爲佳。在此情況時,在第 1直徑的外側,介電質的厚度亦可爲朝電極邊緣部減少成 錐狀,或亦可爲在較第1直徑更大的第2直徑的內側大致 一定,且在第2直徑的外側朝電極邊緣部減少成錐狀者。 介電質的面積尺寸是能夠因應被處理基板的尺寸來任意設 定,但典型上能夠設定成大致相同尺寸。即,介電質的厚 度形成最小的邊緣部之位置是能夠設定於與被處理基板的 邊緣部相對向之位置附近。又,由於在賦予良好的面內均 等性的介電質之介電率與電極中心部之介電質的厚度之間 具有一定的相關關係,故對應所使用的介電質的介電率, 設定電極中心部之介電質的厚度即可。 又,作爲理想的一形態,在第1電極的主面上設置覆 蓋介電質的一部分例如邊緣附近之導電性的密封構件爲佳 。若根據該結構的話,則在受到密封構件所覆蓋的區域, 能夠減弱介電質的電場強度減低效果,能夠藉由改變密封 構件的開口部之形狀及/或尺寸,來調整電場強度分布。 此密封構件是安裝成可裝卸也就是可交換爲佳。 又,作爲理想的一形態,也能夠作成:在第1電極的 主面上,由較介電質的外周邊緣更接近朝徑方向外側遠離 期望的距離之位置,使外側的電極部分朝電漿生成空間突 出期望的突出量之結構。在該電極構造,藉由在介電質的 徑方向外側設置突出部,能在被處理基板的邊緣部附近的 區域提高電場強度的方向來控制或調整電場強度分布.特性 。根據此哭出邰電場強度分布控制是能夠藉由突出部的突 -11 - (8) (8)200423250 出量或圖初階差部的位置來加減或改變。 又,作爲另一理想的一形態,也能夠作成:在第1電 極的主面上,將介電質朝電漿生成空間突出期望的突出量 之結構。在該電極構造,在與介電質相對向的電漿生成空 間的各位置,僅以使介電質突出的部分來在提高電場強度 的方向控制或調整電場強度分布特性。 又,若跟另一理想的形態,在第1電極的主面,於介 電質的內部設置空洞,在此空洞中注入流動性的介電性物 質(理想爲有機溶劑)。在此結構,可藉由適宜選定或設 定注入空洞中的介電性物質的量或佔有空間形狀,來任意 調整介電質全體的介電率或阻抗。該空洞也能夠形成於固 體的介電質中,也能夠以固體的介電質構成第1電極的主 面之至少表面,而以電極母材(導電體)構成內部的壁面 或凹部。 在上述第1、第2或第3的電漿處理裝置,於在設有 上述凸部、凹部或介電質的第1電極不施加高頻波的情況 時,例如即使在將第1電極接地於接地電位的情況,也能 夠對於電漿生成空間達到與上述同樣的作用。 在本發明的電漿處理裝置,亦可在高頻電源側的第1 電極的主面上設置用來藉由庫倫力(coulomb force )來吸 著保持被處理基板的靜電夾,或亦可設置於對向電極也就 是第2電極的主面。於在第1電極的主面上設置靜電夾的 情況時,經由處理容器來將第2電極連接於接地電位,使 電漿內的高頻電流通過處理容器後流至地面爲佳。 (9) (9)200423250 本發明的電漿處理裝置用的第1電極板是在高頻放電 方式的電漿處理裝置,爲了生成電漿而配置於處理容器內 之電極板,其特徵爲:在與電漿相對向的主面分散地設置 多數個凸部。該結構的電極板是能夠達到與上述第1電漿 處理裝置之第1電極或第2電極相同的作用。 用來製造此第1電極板之本發明的電極板製造方法, 是具有:在電極本體的主面披覆具有對應於前述凸部的開 口之光罩的工序;由前述光罩上朝前述電極本體的主面上 熔融射出導電性的金屬或半導體後,在前述開口內形成前 述凸部的工序;及由前述電極本體的主面上除去前述光罩 的工序。 本發明的電漿處理裝置用的第2電極板,是在高頻放 電方式的電漿處理裝置,爲了生成電漿而配置於處理容器 內的電極板,其特徵爲:在與電漿相對向的主面上分散地 設置多數個凹部。該結構的電極板是能夠達到與上述第2 電漿處理裝置之第1或第2電極相同的作用。 用來製造此第2電極板的本發明之電極板製造方法, 是具有:在電極本體的主面披覆具有對應於前述凹部的開 口之光罩的工序;由前述光罩上朝前述電極本體的主面上 噴出固體粒子或液體,物理性地除去前述電極基板的前述 開口內的部分後形成前述凹部的工序;及由前述電極基板 的主面上除去前述光罩的工序。 在本發明的電極板製造方法,具有:在除去了光罩後 的電極基板的主面上熔融射出介電質,來形成第1介電質 -13- (10) (10)200423250 膜的工序爲佳。藉此’能夠在第1電極板,於凸部以外的 部分設置用來提高阻抗比的介電質;而在第2電極板,於 凹部內設置用來提高阻抗比的介電質。 又爲了在第1電極板或第2電極板一體地設置靜電夾 ,理想爲:將第1介電質膜形成覆蓋電極基板的主面全體 之厚度,在第1介電質膜上熔融射出電極材料來形成靜電 夾用的電極膜,在電極膜上熔融射出介電質來形成第2介 電質膜。若根據此方法的話,能夠在第1或第2電極板的 主面上,同時一體形成用來提高阻抗比的介電質與靜電夾 用的下部絕緣膜。 本發明的電漿處理裝置用的第3電極板,是在高頻放 電方式的電漿處理裝置’爲了生成電漿而配置於處理容器 內之電極板,其特徵爲:在與電漿相對向的主面設置介電 質,將前述第1電極的中心部之前述介電質的厚度作成較 電極邊緣部之前述介電質的厚度厚。該結構的電極板是能 夠達到與上述第3電漿處理裝置之第1或第2電極相同的 作用。 【實施方式】 以下參照圖面說明關於本發明的實施形態。再者,在 以下的說明,針對具有大致相同的功能或結構的構成要素 ,賦予相同圖號’僅在必要的情況進行重複說明。 第1圖是顯示本發明的一實施形態之電漿蝕刻裝置的 結構之縱斷面圖。此電漿處理裝置’是作爲RIE型電漿蝕 -14- (11) (11)200423250 刻裝置來加以構成的。電漿處理裝置是具有例如鋁或不銹 鋼等的金屬製圓筒型室(處理容器)1 〇。室丨〇被保全接 地。 在室1 〇內,配設有載置作爲被處理基板之例如半導 體晶圓W的圓板狀下部電極或承受器1 2。此承受器1 2是 由例如鋁所構成,經由絕緣性的筒狀保持部1 4來支承於 由室1 〇的底部朝垂直方向延伸的筒狀支承部1 6。在筒狀 保持部1 4的上面,配置將承受器1 2的上面包圍成環狀之 例如由石英所構成的聚焦環1 8。 在室1 〇的側壁與筒狀支承部1 6之間形成排氣通路 20。在此排氣通路20的入口或途中,安裝有環狀的擋板 22,並且在底部配設排氣口 24。在此排氣口 24經由排氣 管26連接排氣裝置28。排氣裝置28是具有真空泵,能 夠將室1 0內的處理空間減壓至預定的真空度。在室1 0的 側壁,安裝有用來開關半導體晶圓W的閘閥3 0。 在承受器1 2,經由整合器3 4及供電棒3 6電連接著 電漿生成用的高頻電源32。此高頻電源32是將預定的高 頻率例如60MHz的高頻電波施加於下部電極也就是承受 器1 2。再者,在室1 0的頂部,配設有作爲接地電位的上 部電極之後述的噴淋頭3 8。因此,來自於高頻電源3 2的 高頻電壓是容量性地施加於承受器1 2與噴淋頭3 8之間。 在承受器1 2的上面,配設有用來以靜電吸著力保持 半導體晶圓W的靜電夾40。此靜電夾40是將由導電膜所 構成的電極40a夾入至一對絕緣膜40b、40c間者。在電 -15- (12) (12)200423250 極40a經由開關43電連接著直流電源42。藉由來自於直 流電源4 2的直流電壓,能夠以輝光力來將半導體晶圓W 基著保持於夾上。 在承受器1 2的內部,配設有例如延伸於圓周方向的 冷媒室44。在此冷媒室44,由冷卻器組46經由配管48 、5 0循環供給預定溫度的冷媒例如冷卻水。能夠藉由冷 媒的溫度來控制靜電夾40上的半導體晶圓W之處理溫度 。且,來自於傳熱氣體供給部5 2的傳熱氣體例如氨氣He 經由氣體供給管54供給至靜電夾40的上面與半導體晶圓 W的裏面之間。 頂部的噴淋頭38是具備:具有多數的氣體通氣孔 5 6 a的下面之電極板5 6 ;與可裝卸地支承此電極板5 6之 電極支承體58。在電極支承體58的內部設置緩衝室60, 在此緩衝室6 0的氣體導入口 6 0 a連接有來自於處理氣體 供給部62之氣體供給配管64。 在室1 0的周圍,配置延伸成環狀或同心狀的磁鐵66 。在室1 0內,於噴淋頭3 8與承受器1 2之間的空間,藉 由高頻電源32來形成垂直方向的RF電場。可藉由高頻 波的放電,來在承受器1 2的表面附近生成高密度的電漿 〇 爲了控制此電漿蝕刻裝置內的各部例如排氣裝置2 8 、高頻電源3 2、靜電夾用的開關4 3、冷卻器組4 6、傳熱 氣體供給部5 2及處理氣體供給部62等的動作,而配設有 控制部6 8。控制部6 8亦與主電腦連接。 -16- (13) (13)200423250 在此電漿鈾刻裝置,進行鈾刻的情況時’進行以下的 操作。即,首先將閘閥3 〇作成打開狀態,將加工對象的 半導體晶圓w搬入室1 〇內,承載於靜電夾4 0上。然後 ,以預定的流量及流量比,由處理氣體供給部6 2將触刻 氣體(一般爲混合氣體)導入至室10內,藉由排氣裝置 2 8來將室1 0內的壓力作成設定値。進一步’由局頻電源 3 2以預定的動力來將高頻電力供給至承受器1 2 °又’由 直流電源4 2將直流電壓施加於靜電夾4 0的電極4 0 a ’而 將半導體晶圓W固定於靜電夾4 0上。由噴淋頭3 8所噴 出的蝕刻氣體是在兩電極1 2、3 8之間以高頻波的放電來 加以電漿化’藉由在此電漿所生成的自由基或離子來將半 導體晶圓W的主面蝕刻。 在此電漿蝕刻裝置,對於承受器(下部電極)1 2施 加較以往(一般爲27MHz以下)更高之頻率區域( 5 0MHz以上)的高頻波。藉此,在以理想的解離狀態下 將電漿高密度化,即使在更低壓的條件下也能夠形成高密 度電漿。 第2、3及4圖是分別顯示本發明的第1實施形態之 承受器構造(承受器1 2 )的平面圖、顯示同構造的部分 擴大縱斷面圖、及顯示同構造之凸部的個數密度分布特性 的一例之圖。在承受器1 2的主面(在此實施形態爲承受 器1 2的上面也就是電漿生成空間側的面),分散地配設 多數個由導電體或半導體所構成的一定尺寸之圓柱形凸部 7 0。這些的凸部7 〇是分別構成用來對於電漿賦予高頻電 (14) (14)200423250 力或高頻電場之小電極。理想是如第4圖所示,以由電極 中心部朝電極邊緣部逐漸變大之個數密度分布或面積密度 分布來配置於承受器1 2的主面上。 第5圖是示意顯示第1圖所示的電漿鈾刻裝置之高頻 放電的構造之圖。如第5圖所示,當來自於高頻電源3 2 的高頻電波被供給至承受器1 2時,則藉由承受器(下部 電極)1 2與上部電極3 8之間的高頻放電來在半導體晶圓 W附近生成蝕刻氣體的電漿PZ。所生成的電漿PZ是朝四 方特別是朝上方及半徑方向外側擴散。電漿PZ中的電子 電流及離子電流是通過噴淋頭3 8及室側壁後流至地面。 第6圖是顯示如第1圖所示的電漿鈾刻裝置之流動於 高頻電極的主面之高頻電流的方向性之平面圖。在承受器 1 2,由高頻電源3 2經由供電棒3 6施加至承受器裏面或背 面之高頻電力是藉由表面效應來傳播於電極表面層。如第 6圖所示,在承受器1 2的主面上,高頻電流i呈倒放射狀 地由邊緣部朝中心部流動。 第7圖是顯示第1實施形態之承受器構造之高頻電流 的流動與高頻電力(電場)的放射之大致縱斷面圖。如第 7圖所示,在此實施形態,高頻電流i在承受器1 2的主面 上流動於凸部7 〇的表面層。凸部7 0是因朝上部電極3 8 側也就是電漿P Z側突出’所以以較主面的底面部1 2 a更 低的阻抗來與電漿P Z電氣結合。因此’受到流動於承受 器12的主面之表面層所搬運的高頻電力主要由凸部7〇的 頂面朝電漿PZ放出。 -18- (15) (15)200423250 再者,如第3圖所示,在凸部70的周圍(底面部 1 2 a )上設置介電質7 2爲佳。藉此,能夠在承受器1 2的 主面上增大凸部7 0與底面部1 2 a的阻抗比Z ! 2 a/Z 7 〇。即, 能夠提高通過凸部7 0賦予電漿P Z的高頻電力的比率或電 力供給率。 如此,在本實施形態,在承受器1 2的主面上分散地 設置的多數個凸部7 0分別作爲用來將高頻電力供給至電 漿PZ之小電極來發揮功能。藉由選擇該凸部70的屬性( 形狀、尺寸、間隔、密度等),能夠將小電極的集合體之 承受器1 2的高頻電力供給特性設定成期望的特性。 例如,如上述(第4圖)所示,能夠作成:使凸部 70的個數密度由電極中心部朝電極邊緣部逐漸變大之分 布特性。藉此,如第9圖所示,能夠改善:由承受器j 2 賦予電漿P Z之高頻電力或高頻電場的均等性(特別是電 極半徑方向的均等性)。 第9圖是顯示在第1實施形態之以電極中心部與變緣 部的凸部個數密度的比率作爲參數時的電極半徑方向之電 場強度分布特性的圖。在第9圖的例子,將承受器1 2的 半徑設爲1 5 0 nim,顯示承受器1 2上的半徑方向之電場強 度分布。在此,將電極中心部之凸部7 0的個數密度N c與 電極邊緣部之凸部70的個數密度Ne之比率Ne/Nc變更 成1 (倍)、2 (倍)、4 (倍)、6 (倍)、8 (倍)。越 增大比率Ne/Nc,則月可改善電場強度的均等性,進而改 善電漿密度的均等性。 -19- (16) (16)200423250 凸部70的其他屬性中特別重要的是尺寸。當凸部70 的高度過低,更正確是指較表皮深度(skin depth ) δ更 小時,則在承受器1 2的主面上高頻電流i的一部分或大 部分會通過凸部7 〇下。因此,該部分會減弱由凸部7 〇供 給至電漿P Z的高頻電場。在此,表皮深度6是流動於導 體的表面層的高頻電流之振幅以深度6衰減成1 /e之因素 ,以下述方程式(1 )來賦予。 δ = ( 2 / ω σ β ) 1/2 ...... ( 1 ) (其中,=2 ;rf(f:頻率)、σ:導電率、//:透 磁率) 第8圖是顯示流動於導體的電磁波(高頻電流)的深 度方向之衰減特性之特性圖。如第8圖所示,流動於導體 的表面層之電磁波(高頻電流)受到表皮效應而在導體的 深度方向衰減,在表皮深度(5的3倍深度衰減至大約5 % 。因此,藉由將凸部70的高度設定成表皮深度6的3倍 以上的高度,能夠使高頻電流i的大部分(大約90%以上 )流入凸部70,能夠將高頻電力由凸部70朝電漿PZ有 效地放出。例如,在以鋁作爲承受器1 2即凸部70的材質 ,而高頻電源32的頻率作爲100MHz的情況時,表皮深 度(5爲8 μιη。因此,將凸部70的高度設定在24 μηι以上 即可。 凸部7 0的寬度尺寸,特別是電極半徑方向的寬度尺 寸也重要。爲了使高頻電流i充分地流入至凸部7 0的頂 面,而電極半徑方向的寬度尺寸越大越好。此寬度尺寸是 -20- (17) (17)200423250 設定成表皮深度δ的3倍以上,理想爲在頻率1 00MHz時 設定在3〇Km〜501^的範圍內。 凸部7 0間之距離間隔也能夠選擇在將凸部7 0與底面 部12a之阻抗比Z12a/Z7G加以最適當化的値。此間隔是例 如在1 0 0 Μ Η z時,設定在1 〇 〇 μ m〜1 ηιηι的範圍內爲佳。 第1 〇圖是顯示在第1實施形態之承受器一體地設置 靜電夾的結構之部分縱斷面圖。如第1 0圖所示,在承受 器12的主面上,更正確而言,是在凸部70即介電質72 上形成靜電夾40的下部絕緣膜40b。在下部絕緣膜40b 上形成電極膜40a,進一步在電極膜40a上形成上部絕緣 膜 40c。 第11圖是顯不如第10圖之具有靜電夾的承受器構造 之凸部與底面部的阻抗比特性的圖。第1 1圖的橫軸的參 數是在承受器12的主面上之凸部70 (正確爲凸部頂面) 的總面積S7G與底面部12a的總面積S12a的比率S12a/S70 。第1 1圖的縱軸是顯示由凸部70的頂面至電極膜40a爲 止的距離(D1)與承受器底面部12a至電極膜40a爲止的 距離(D2 )之比率D2/D1。第1 1圖的參數値是顯示承受 器12的主面上之凸部70的阻抗Z7G與底面部的阻抗Z12a 之比率Z12a/Z70。 在第1 〇圖所示的積層構造,靜電夾4 0的下部絕緣膜 40b的膜厚D2爲重要的。在其他的條件容許的範圍下相 對地縮小此膜厚D 1爲佳。如第1 1圖所示,越增大d 2 / D 1 ,則越能增大Z12a/Z7G。由第1 1圖可得知,此比率D2/D1 -21 - 70 (18) 200423250 選擇在2 (倍)以上的値爲佳。 又,即使藉由縮小S12a/S7()的方法也就是提高凸部 的佔有面積,能夠增大阻抗比Z12a/Z7G (第1 1圖的函 値)◦如上所述,越增大阻抗比Z12a/Z70,則越能夠提 對於電漿PZ之來自於凸部70的高頻電力供給率。由 11圖可得知,比率S12a/S7Q選擇在4 (倍)以下爲佳。 第12A〜12F圖是以工序順序顯示如第1〇圖之具 靜電夾的承受器構造的製造方法的圖。 首先,如第1 2 A圖所示,在例如由鋁所構成的承 器主體(電極基板)12的主面上,披覆具有對應於凸 7 〇的開口部7 4 a之例如樹脂製的光罩7 4。在此光罩7 4 開口部7 4 a的平面形狀及平面尺寸是規定凸部7 0的平 形狀及平面尺寸。開口部74a的深度是規定凸部70的 度尺寸(D 2 - D 1 :例如1 5 0 μ m )。 其次,如第1 2 B圖所示,由光罩7 4上對於承受器 體1 2的主面全體熔融射出凸部7 0的材料例如鋁(A1 ) 藉此,在光罩74的開口部74a內將銘塡充至光罩上面 高度。 其次,由承受器主體1 2的主面上以例如藥液融化 去光罩74。藉此,如第12C圖所不,在承受器主體 主面上,預定尺寸的多數個凸部70以預定的分布圖案 散地殘留。 其次,如第12D圖所示,在承受器主體12的主面 體熔融射出介電質材料例如氧化鋁(Al2〇3 )。藉此, 數 局 第 有 受 部 面 局 主 〇 的 除 的 分 全 在 -22- (19) (19)200423250 由凸部7 0的頂面到達預定的高度(D 1 :例如5 0 μιη )之膜 厚形成介電質膜(72、40b)。 其次,如第12E圖所示,在承受器主體12的主面全 體範圍,於介電質膜40b上熔融射出靜電夾40的電極膜 40a的材料例如鎢(W )。藉此,形成於預定膜厚(D3 : 例如50μηι)的電極膜40a。 其次,如第12F圖所示,於承受器主體12的主面全 體’於電極膜4 0 a上熔融射出介電質材料例如氧化鋁。藉 此’將靜電夾40上的上部絕緣膜40c形成在預定的膜厚 (D 4 :例如 2 0 0 μ m )。 在此實施形態,在承受器主體1 2的主面上能夠以一 次的熔融射出工序同時地一體形成用來掩埋凸部70的周 圍(覆蓋底面部12a)的介電質膜72與構成靜電夾40的 一部分之下部絕緣膜40b。 上述實施形態的承受器1 2是在主面上形成圓柱狀的 凸部7 0者,但對於凸部7 0,能夠賦予凸部7 0任意的形 狀。第1 3圖是顯示第1實施形態之承受器構造的一變形 例的圖。在第1 3圖所示的變形例,同心圓狀地配設多數 個環狀凸部70。即,即使在第1 3圖的承受器構造,在高 頻電流由電極邊緣部朝中心部流動之際,高頻電力也有效 率地由較底面部12a的阻抗更低之凸部70朝電漿PZ側被 放出。凸部7 0的面積密度是作成由電極中心部朝電極邊 緣部逐漸變大之分布特性。藉此,能夠改善電極半徑方向 之電場強度的均等性,進而達到電漿密度之均等性。 -23- (20) (20)200423250 第1 4圖是顯示第1實施形態之電極凸部構造適用於 上部電極的結構例之縱斷面圖。即’如上述實施形態’在 電極的主面分散地設置作爲小電極來發揮功能之多數個凸 部7 0的結構也能夠如第1 4圖所示,適用於對向電極也就 是上部電極3 8。 在第1 4圖的構成例,在噴淋頭3 8的電極板5 6之主 面(下面也就是電漿生成空間側的面)配設凸部7〇 ’在 凸部70的周圍(底面部56b上)配設介電質膜72。氣體 通氣孔56a是能夠將凸部70朝垂直方向貫通而加以配設 。若根據該結構的話,上部電極3 8是以來自於電漿PZ之 高頻電流爲主,通過凸部70來加以接收。因此,即使在 上部電極3 8,也能夠藉由適宜選擇凸部70的屬性,使電 漿密度的均等性進一步提昇。例如能夠將凸部70的面積 密度作成由電極中心部朝電極邊緣部逐漸變大之分布特性 〇 第1 5、1 6、及1 7圖是分別顯示本發明的第2實施形 態之承受器構造(承受器1 2 )的平面圖、顯示同構造的 部分擴大縱斷面圖、及顯示同構造之凹部的個數密度分布 特性的一例之圖。在承受器主體1 2的主面,分散地配設 多數個一定尺寸的圓柱形凹部8 0。這些凹部8 0是因與對 向電極側也就是電漿PZ側相對而凹陷,所以以較主面的 頂面部12a更高的阻抗來與電漿PZ電氣結合。因此,受 到在流動於承受器主體1 2的主面的表面層之高頻電流i 所搬運的局頻電力是主要由頂面邰12a朝電槳PZ被放出 -24- (21) (21)200423250 再者,如第16圖所示,在凹部80中配設介電質82 爲佳。藉此,在承受器主體1 2的主面上能夠增大凹部8 0 與頂面部12a的阻抗比Z8〇/Z12a。也就是能夠提高由頂面 部1 2a賦予電漿PZ之高頻波電力的比例。 如此’在此實施形態,在承受器主體1 2的主面上分 散地設置之多數個凹部8 0分別作爲抑制對於電漿PZ之高 頻電力的供給之電極隔離部來發揮功能。能夠藉由適宜選 擇該凹部8 0的屬性(形狀、尺寸、間隔、密度等)將承 受器主體1 2之高頻電力供給特性控制於期望的特性。 例如,如第1 7圖所示,能夠將凹部8 0的個數密度作 成由電極中心部朝電極邊緣部逐漸變小之分布特性。藉此 ,能夠改善由承受器主體12賦予電漿PZ之高頻電力或高 頻電場的均等性(特別是半徑方向的均等性),進而改善 電漿密度的均等性。凹部8 0的其他屬性基本上亦可與第 1實施形態之凸部7 0同樣,例如能夠將凹部8 0的深度及 寬度尺寸設定在表皮深度(5的3倍以上之値。 第18A〜18F圖是以工序順序顯示在第2實施形態之 電結構造一體地設置靜電夾的構造之製造方法的圖。 首先,如第1 8 A圖所示,在例如由鋁所構成的承受 器主體(電極基板)1 2的主面上,披覆具有對應於凹部 80的開口部84a之例如樹脂製的光罩84。在此光罩84, 開口部84a的平面形狀及平面尺寸規定凹部的平面形 狀及平面尺寸。 -25- (22) (22)200423250 其次’如第18B圖所示,藉由噴霧法,由光罩84上 朝承受器主體1 2的主面全體噴附固體粒子(例如乾冰粒 )或流體(高壓噴射水)。藉此,物理性地除去光罩84 的開口部8 4 a內之構件(鋁),在該處形成期望的深度之 凹部8 0。 其次’由承受器主體1 2的主面上除去光罩84。藉此 ,如第18C圖所示,在承受器主體12的主面上,預定尺 寸的多數個凹部8 0以預定的分布圖案分散地殘留。 其次’如第1 8 D圖所示,在承受器主體1 2的主面全 體溶射出介電質材料例如氧化鋁(ai2o3 )。藉此,在由 承受器頂面部1 2a達到預定的高度之膜厚形成介電質膜( 82、40b )。 其次’如第1 8 E圖所示,在承受器主體1 2的主面全 體範圍,於介電質膜4 0 b上熔融射出靜電夾用的電極材料 例如鎢(W )。藉此,形成於預定膜厚的電極膜4〇a。 其次’如第18F圖所示,於承受器主體12的主面全 體,於電極膜40a上熔融射出介電質材料例如氧化鋁。藉 此,將靜電夾40上的上部絕緣膜40c形成在預定的膜厚 〇 在此實施形態,也可在承受器主體1 2的主面上能夠 以一次的熔融射出工序同時地一體形成用來掩埋凹部8 〇 的周圍的介電質膜8 2與構成靜電夾4 0的一部分之下部絕 緣膜4 0 b。 在此實施形態,雖未圖示,但亦可將在電極的主面上 •26- (23) (23)200423250 分散地設置作爲電極隔離部來發揮功能的多數個凹部80 ,適用於對向電極也就是上部電極3 8。因此,也能夠作 成:在承受器1 2側設置凸部7 0,而在上部電極3 8側設 置凹部8 0之結構、或在承受器1 2側設置主齒輪軸8,而 在上部電極3 8側設置凸部7 0之結構。 第1 9及20圖是分別顯示第3實施形態之下部電極構 造及上部電極構造之平面圖。即,第19圖是顯不將第3 實施形態適用於承受器1 2之構成例。第20圖是顯示將第 3實施形態適用於上部電極3 8 (正確是電極板5 6 )之構 成例。 在此實施形態,在電極的主面也就是電漿生成空間側 (上部電極3 8的情況爲下面、承受器1 2的情況爲上面) 配設介電質膜或介電質層90。電極中心部之介電質膜90 的膜厚是構成:變成較電極邊緣部之介電質膜90的膜厚 更大。介電質膜90的表面(電漿生成空間側的面)是大 致形成相同面。此介電質膜或介電質層90是能夠藉由將 由氧化鋁(ai2o3 )所構成的陶瓷熔融射出至由例如鋁所 構成的電極基板來形成。 若根據該電極構造,對於電漿PZ,相對地電極中心 部側的阻抗大,而電極邊緣部側的阻抗低。因此,電極邊 緣部側之高頻電場增強,而電極中心部側的高頻電場減弱 。其結果,改善電場強度及電漿密度的均等性。特別是在 如第1 9圖的構成例,藉由表皮效應而由電極的裏面側回 到主面側之電流是當流入至介電質膜90時,則容易由膜 -27- (24) (24)200423250 厚小的部分(介電質層薄的部分)朝電漿側通過。因此, 能夠增強電極邊緣部側之高頻電力的放出與電漿密度。 第2 1圖是顯示第3實施形態之平行平板電極構造的 一例的圖。第22圖是顯示在第2 1圖的平行平板電極構造 ,以上部電極中心的膜厚作爲參數的電極間之徑方向的電 場強度分布的圖。在介電質膜9 0的膜厚分布特性中,重 要的參數之一是電極中心部的膜厚。如第2 1圖所示,針 對在上部電極3 8設置圓盤狀的介電質膜90之平行平板電 極構造,以上部電極中心部的膜厚Dc作爲參數,以模擬 來求得電極間的徑方向之電場強度分布。 此模擬是設想3 00腿口徑的半導體晶圓作爲被處理基 板。分別設想上部電極3 8爲鋁、介電質膜90爲氧化鋁( A1203 )、下部電極12爲鋁。如第22圖所示,在0.5 mm 〜1 0 mm的範圍內,電極中心部的膜厚越大則越提昇電場 強度的面內均等性,8 mm〜1 〇醒的膜厚特別理想。再者, 在第2 2圖的橫軸上,「0」的位置是顯示電極中心點的位 置。 又,介電質膜9 0的膜厚由電極中心部至電源邊緣部 減少變化之剖面也重要。第23 A〜23D圖是顯示關於第3 實施形態之上部電極的介電質膜的膜厚剖面的更具體之實 施例的圖。第24A及24B圖是顯示藉由第23A〜23D圖的 實施例及理想剖面所分別獲得的電極間之徑方向的電場強 度分布特性的圖。 在如第23 A圖所示的實施例〔1〕,關於介電質膜90 -28- (25) 200423250 的膜厚D,在0 (直徑)〇〜3 0 mm設定成d = 9 mm (水 就是一定)、在0 3 0〜1 6 0 ηιηι設定成d = 8 mm (水平 在0 1 6 0〜2 5 4 mm設定成D = 8〜3 mm (錐形)。在如第 圖所示的實施例〔2〕,在0 〇〜3 0 mm設定成D = 9 nim 平)、在0 3 0〜8 0 mm設定成 D= 8 mm (水平)、0 1 6 0 mm設定成D = 8〜3 mm (錐形)。在如第2 3 C圖所 實施例〔3〕,在0 〇〜3 0腿1設定成D = 9 mm (水平) 0 3〇〜16〇腿設定成〇 = 8 11皿(水平)、016〇〜33〇 定成D = 8〜3 mm (錐形)。 在第2 3 D圖,以曲線簡明地顯示上述實施例〔1 〔2〕、〔 3〕的剖面。並且雖省略斷面形狀,但也顯 0 0〜1 5 0 mm設定成D = 0 · 5 mm (水平)之實施例〔4〕 面,進一步也顯示理想的剖面。在此,所謂理想的剖 指在0 0〜3 0 0 mm設定成D = 9〜0 _ (拱型)者。 如第24A及24B圖所示,根據理想剖面之電場 分布特性具有最優良的面內均等性。在實施例〔1〕、 〕' 〔3〕、〔 4〕,接近理想剖面之實施例〔1〕及| 的面內均等性優良。 再者,在上部電極3 8 (電極板5 6 ),由於承受 於已擴散的電漿PZ之高頻電流,故作成較被處理基 口徑更大直徑,而將邊緣部朝半徑方向外側延長爲佳 此,亦可在上部電極38的主面,於介電質膜90的周 徑方向外側的部分形成例如20μιη的膜厚之熔融射出 膜92。雖省略圖示,在室1〇的內壁面亦可形成同樣 平也 )^ 23Β (水 80〜 示的 、在 ηιηι 設 示在 的剖 面是 強度 〔2 :3 ] 來自 板的 。在 圍或 披覆 的熔 -29 - (26) (26)200423250 融射出披覆膜92。能夠使例如a1203、Y2〇3作爲熔融射 出披覆膜92。又,介電質膜90及熔融射出披覆膜92之 各自的表面也就是曝露於電漿的面是大致形成相同面。 第2 5 Α〜2 5 D圖是顯示關於第3實施形態之上部電極 的介電質膜的膜厚剖面的更具體之其他實施例的圖。第 26A及26B圖是顯示藉由第25A〜25D圖的實施例及理想 剖面所分別獲得的電極間之徑方向的電場強度分布特性的 圖。 在第25A圖所示的實施例〔5〕,關於介電質膜90 的膜厚,設定成在0 〇〜25〇 mm,D = 5 mm (水平)。在第 2 5 B圖所示的實施例〔6〕,設定成:在0 〇〜3 0 mm,D = 9 mm (水平);在0 3 0〜2 5 0 n]m,D = 8〜3 mm (水平)。在 第2 5 C圖所示的實施例〔7〕,設定成:在0 0〜3 0 mm, D = 9 mm (水平);在 0 3 0 〜2 5 0 mm,D = 5 〜3 mm (錐形) 。在第2 5 D圖,以曲線簡明地顯示實施例〔5〕、 〔 6〕 、〔7〕之剖面。 如第26A及26B圖所示,在這些實施例〔5〕、 〔 6 〕、〔7〕中,最接近理想剖面之實施例〔6〕在面內均等 性上最優良。又,實施例〔5〕也充分具有實用性。即, 如實施例〔6〕所示,即使在介電質膜90的膜厚由電極中 心部至電極邊緣部大致呈直線或錐形狀地減少之剖面,也 能夠獲得接近拱型之理想剖面的面內均等性。又,如實施 例〔5〕所示,即使由電極中心部至電極邊緣部,介電質 膜9 0的膜厚D大致呈一定(水平)之剖面,也可獲得具 -30- (27) (27)200423250 有實用性的面內均等性。 桌27A〜27C圖是顯示關於第3實施形態之上部電極 的介電質膜的膜厚剖面的更具體之其他實施例的圖。第 28A及28B圖是顯示藉由第27A〜27C圖的實施例及理想 剖面所分別獲得的電極間之徑方向的電場強度分布特性的 圖。在第2 7 A圖所示的實施例〔8〕,關於介電質膜9 0 的月旲厚’設疋成在0 〇〜3 0 mm,D = 9 mm (水平);在0 3 0〜2 5 0 mm,D = 8〜3 mm (錐形)。在第2 7 B圖所示的實 施例〔9〕 ’設定成:在0 〇〜3 0 mm,D = 5 mm (水平); 在03〇〜25〇臟,〇 = 5〜3腿(錐形)。在第27(:圖,以 曲線簡明地顯示實施例〔8〕、〔 9〕的剖面。 在此,以介電率ε作爲參數,實施例〔8〕分成:介 電質膜90的材質爲介電率ε=8.5的氧化鋁(Α12〇3)之實 施例〔8〕-Α ;與ε =3.5的氧化矽(Si02 )之實施例〔8 〕-B。又,實施例〔9〕也分成:ε = 8.5的氧化鋁(A12 〇 3 )之貫施例〔9〕-A;與£=3.5的氧化砂(Si〇2)之實施 例〔9〕·Β。 如第28Α及28Β圖所示,£=8.5的實施例〔8〕-Α、 〔9〕-Α之間,電極中心部的膜厚Dc大之〔8〕-Α比起 〔9〕- A,具有優良電場強度E之面內均等性。ε = 3 · 5的 實施例〔8〕- Β、〔 9〕- Β之間,電極中心部的膜厚D c小 之〔9〕-Β比起〔8〕-Β,具有優良電場強度Ε之面內均 等性。 第2 9圖是顯示賦予由第2 8 Α及2 8 Β圖的資料點所作 -31 - (28) (28)200423250 成的實用上充分之面內均等性之介電質膜90的介電率ε 與電極中心部的膜厚Dc之相關關係的圖。如此圖表所示 ,對應介電質膜9 0的介電率ε ,設定中心部的膜厚D c即 可 〇 第3 0 Α及3 0Β圖是針對有機膜蝕刻的蝕刻速度分布 特性,對比顯示將第3實施形態適用於上部電極的實施例 A與比較例B之圖。在此,顯示使用實施形態之電漿蝕刻 裝置(第1圖)的有機膜鈾刻的鈾刻速度分布特性(X方 向、Y方向)。在實施例A,在上部電極38設置第3實 施形態之介電質膜90。在比較例B,上部電極3 8不設置 介電質膜9 0。再者,實施例A是相當於上述實施例〔1〕 者。主要的蝕刻條件如下述。
晶圓口徑:3 0 0 mm 蝕刻氣體:NH3 氣體流量:245sccm 氣體壓力:30mTorr PF電力:下部=2.4kW 晶圓裏面壓力(中心部/邊緣部):20/3 0Torr (氦氣
He )
溫度(室側壁/上部電極/下部電極)=60/60/20°C 由第30A及3 0B圖可得知,與電場強度分布特性相 呼應地,即使在蝕刻速度的面內均等性,實施例 A也較 比較例優良。 -32- (29) (29)200423250 第3 1 A及3 1 B圖是對比顯示將第3實施形態適用於 下部電極的構造之實施例與比較例之圖。在第3 1 A圖的 實施例A ’對於口徑3 0 0 ππη的半導體晶圓W ’將承受器 1 2之介電質膜9 0的膜厚D在電極中心部設爲4 nini、而在 電極邊緣部設爲20 Ομιη來加以設置。在第3 1B圖的比較 例Β,在承受器1 2的上面設置一樣膜厚〇. 5 mm之介電質 膜94。介電質膜90、94的材質均可作成氧化鋁(A120 3 )° 第32 A及32B圖是針對有機膜蝕刻的蝕刻速度分布 特性,對比顯示第3 1 A圖的實施例與第3 1 B圖的比較例 之圖。在此,顯示使用實施形態之電漿蝕刻裝置(第1圖 )的有機膜蝕刻的蝕刻速度分布特性(X方向、Y方向) 。蝕刻條件與第3 0 A及3 0B圖者相同。 如第32A及32B圖所示,在承受器(下部電極)12 的情況時,實施例A比起比較例B,其蝕刻速度的面內均 等性也格外優良。又,在蝕刻速度本身,實施例 A也較 比較例B大1 〇 %。再者,在實施例a,雖將電極中心部之 介電質膜90的膜厚D設定在4麵,但即使設定到9 mni大 小爲止也可獲得相同的效果。 第3 3 A及3 3 B圖是顯示本發明的其他實施形態之上 部電極構造的實施例之部分斷面圖。此實施形態是特別適 用於在上部電極3 8設置介電質膜90的結構。 如第33A及33B圖所示,在上部電極38的主面上設 置覆蓋介電質膜90的一部分(通常爲邊緣部周邊)之導 -33- (30) (30)200423250 電性的密封板l 〇〇。此密封板i 〇〇是由例如表面進行有氧 化鋁處理(92 )之鋁板所構成,以螺絲1 02可裝卸也就是 能交換地安裝於上部電極3 8爲佳。在密封板1 〇〇的中心 部,形成有與介電質膜9 0同軸且使介電質膜9 0的至少中 心部露出之期望口徑0的開口部1 〇〇a。密封板1 00的板 厚是能夠選定在例如5醒左右。 作爲具體例,在第3 3 A圖所示的實施例A,設定成0 =2 0 0 mm,而在第3 3 B圖所示的實施例B,設定成0 = 1 5 0 mm。兩實施例A、B均將介電質膜9 0形成直徑2 5 0醒的 圓盤型,將其膜厚剖面設定成:在0 0〜160 mm,D = 8 irnn (水平)、在 0 1 6 0 〜2 5 0 mm,D = 8 〜3 mm (錐形)。 第3 4圖是顯示藉由第3 3 A及3 3 B圖所獲得的電極間 之徑方向的電場強度分布特性的圖。如第3 4圖所示,藉 由以具有導電性的密封板100覆蓋介電質膜90的一部分 ,能夠顯著地減低或取消該所覆蓋的區域之介電質膜90 的作用也就是電場強度減低效果。因此,藉由改變密封板 1 0 0的開口部1 0 0 a的口徑0 (藉由密封板][〇 〇的零件交 換),能夠調整兩電極1 2、3 8間之電場強度分布特性。 第3 5 Α〜3 5 C圖是分別顯示本發明的其他實施形態之 上部電極構造的實施例、比較例、及參考例的部分斷面圖 。此實施形態也特別適用於在上部電極3 8設置上部電極 3 8之結構。 如第3 5 A圖所示,在此實施形態,於上部電極3 8的 主面上,使外側的電極部分f由較介電質膜9 0更大的徑 -34 - (31) (31)200423250 方向位置(口徑ω的位置)朝承受器1 2側或電漿生成空 間側僅突出期望的突出量。在此,比起介電質膜90之電 極間的間隙Go,電極部分3 8f之電極間的間隙Gf小° 在第3 5 A圖所示的實施例A,在介電質膜9 0的直徑 爲8 0 mm、膜厚剖面爲在0 0〜6 0 mm,D = 3 mm (水平)、 在0 60〜80 nini,D = 3〜1 mm (錐形),來設定成0=260 腿。對於介電質膜90之電極間的間隙 Go = 40 mm ’設定 h= 1 0醒,而將外側電極突出部3 8 f之電極間的間隙Gf設 爲Gf=3 0 mni。再者,使外側電極突出部3 8 f的突出階差部 傾斜在大約60°。在傾斜角度可選擇於任意大小。 在第35B圖,作爲比較例,顯示在上部電極38不設 置突出部3 8 f而設置與實施例A相同徑尺寸與相同膜厚剖 面之介電質膜9 0的結構。又,在第3 5 C圖,作爲參考例 C,顯示在上部電極3 8均不設置突出部3 8 f及介電質膜 9 〇的結構。第3 5 B及3 5 C圖的電極間的間隙均在徑方向 爲一定,Go = 40 ηιηι。 第36圖是顯示藉由第35A〜35C圖的實施例、比較 例、及參考例所獲得的電極間之徑方向的電場強度分布特 性的圖。如第3 6圖所示,在實施例A,藉由在介電質膜 90的徑方向外側設置突出部3 8f,能夠於半導體晶圓W 的邊緣部附近的區域(在圖示的例子,由中心起半徑大約 90醒〜1 5 0 mm之區域),在提高電場強度E的方向控制或 調整電場強度分布特性。根據此突出部38f之電場強度分 布控制的加減量是能夠以突出量h來調整,理想爲作成 -35- (32) 200423250 h = 1 0腿以上。 外側突出部3 8f之突出階差部的位置(口徑ω的 能夠任意選定。第37Α〜37C圖是分別顯示上部電極 的其他2個實施例與比較例之部分斷面圖。 在弟3 7 Α圖的實施例,設定成ω = 3 5 0麵,在第 圖的實施例,設定成ω =4〇0 mm。又,兩實施例a、β 介電質膜9 0的膜厚剖面爲在0 〇〜8 0 mm,D = 8 mm ( )、在 0 80〜16〇111111,〇 = 8〜3 111111(錐形)。於介電 90之電極間的間隙Go = 30 mm,設定突出量h= 1〇 mi, 外側電極突出部38f之電極間的間隙Gf設爲Gf=20 又,使外側電極突出部3 8 f的突出階差部傾斜在大約 〇 在第37C圖,作爲比較例C,顯示在上部電極3 設置突出部3 8f而設置與實施例A、B相同徑尺寸與 膜厚剖面之介電質膜90的結構。電極間的間隙均在 向爲一定,G 〇 = 3 0 mm。 第38圖是顯示藉由第37A〜37C圖的實施例及 例所獲得的氧化膜蝕刻的鈾刻速度(規格化値)分布 的圖。作爲主要的蝕刻條件,使用晶圓口徑爲3 0 0 mm 力爲15m Torr、處理氣體爲C4F6/Ar/02。在第35A及 圖的實施例A、B,在上部電極3 8的主面上,將外側 突出部3 8f的突出階差部設置於比起半導體晶圓W 緣更接近徑方向外側的結構。在此結構,使突出階差 置越接近晶圓邊緣(將ω作成越小)則於晶圓邊緣附 値) 構造 37Β 均是 水平 質膜 而將 mm ° 60° 8不 相同 徑方 比較 特性 、壓 3 5B 電極 的邊 部位 近的 -36- (33) (33)200423250 區域(在圖示的例子,由中心起半徑大約70麵〜1 50腿 的區域)使蝕刻速度(也就是電場強度或電漿電子密度) 增大的效果變得越大。 在參照第3 5 A〜3 8圖說明過的實施形態,如上所述 ,作成在上部電極3 8的主面上使徑方向外側的電極部分 由介電質膜90朝電漿生成空間突出之結構。相反地,如 第39A圖所示,也能夠作成:在上部電極38的主面上, 使介電質膜90朝電漿生成空間僅突出期望的突出量k之 結構。第39A〜3 9C圖是分別顯示本發明的其他實施形態 之上部電極構造的實施例、比較例、及參考例的部分斷面 圖。 在第39A圖的實施例A,介電質膜90的直徑爲250 mm,而其膜厚剖面爲在% 0〜1 6 0 mm,D = 8 mm (水平)、 在0 1 6 0〜2 5 0 mm,D = 8〜3 mm (錐形)。將錐形面9 0 a朝 承受器1 2側設定成k = 5 nim,而將介電質膜90之電極間的 間隙Gm設爲Gm = 3 5腿。比起介電質膜90,徑方向外側 的電極部分爲水平面,而電極間的間隙Go爲Go = 40腿。 在第3 9B圖,顯示作爲比較例b,在上部電極3 8不 使與實施例A相同膜厚剖面的介電質膜9〇突出而以相反 的方向(將錐形面90a朝向裏面側)加以設置的結構。又 ’在第39C圖,顯示作爲參考例c,在上部電極38不設 置介電質膜9 0的結構。第3 9 b及3 9 C圖的電極間的間隙 均在徑方向爲一定,Go = 40 mm。 第40圖是顯示藉由第39A〜39C圖的實施例、比較 -37- (34) (34)200423250 例、及參考例所獲得的電極間之徑方向的電場強度分布特 性的圖。如第4 0圖所示,在實施例a,藉由使介電質膜 9 〇突出,比起不使介電質膜9 〇突出之比較例b,能夠於 徑方向的各位置,在增強電場強度E的方向控制或調整電 場強度分布特性。根據此突出部3 8 f之電場強度分布控制 的加減量是能夠以突出量k來調整,理想爲作成k = 5 ηιηι以 上。 第4 1圖是顯示第3 5 A〜3 8圖的實施形態的變形例之 上部電極構造的部分斷面圖。如第4 1圖所示,在上部電 極3 8的主面上,於介電質膜9 0的徑方向外側設置突出部 3 8f。如此,能夠作成:使介電質膜9〇的邊緣部連續於外 側電極突出部3 8f,或使介電質膜90的邊緣部與外側電 極突出部38f —起突出之結構。 第42A〜42D圖是顯示本發明的其他實施形態之上部 電極構造的部分斷面圖。如第42 A〜42D圖所示,在此實 施形態,爲將設在上部電極3 8的主面之介電質膜9 0於內 部具有空洞1 〇 4的中空介電質例如中空陶瓷的結構。在此 實施形態,在中空介電質9 0將徑方向中心部側的厚度作 爲較邊緣部側的厚度厚之剖面爲佳。空洞1 04內的介電性 流動體NZ是因應其佔有體積,形成介電質90的一部分 。作爲如此的介電性流動體NZ,也可使用粉體等,但一 般有機溶劑(例如全氟聚醚類液體;Galden)爲佳。 作爲用來將介電性流動體NZ取出置入到空洞1 〇 4之 埠,例如將複數支的管1 〇 6、1 0 8由電極3 8的裏面側連接 -38- (35) (35)200423250 於空洞1 04不同之處(例如中心部與邊緣部)所爲佳。當 在中空介電質90的空洞1 〇4置入介電性流動體NZ時, 如第42B圖所不,一邊由其中一方的管106導入介電性流 動體NZ,一邊由另一方的管108除去空洞1〇4內的空氣 。當減少空洞1 〇4內的介電性流動體N Z之量時,如第 42C圖所示,一邊由其中一方的管106送入空氣,一邊由 另一方的管1 〇 8除去空洞1 〇 4內的介電性流動體N Z即可 〇 第43圖是顯不第42A〜42D圖所不的實施形態之具 體實施例的部分斷面圖。在此實施例,中空介電質90全 體形成直徑2 1 0 mm的圓盤,厚度爲在0 〇〜6 0 mm,D = 6 mm (水平)、在分 60〜210 mm,D = 6〜3 mm (錐形)。中空 介電質90的空洞104是厚度α爲2 mm、直徑/5爲180 mm 〇 第44圖是顯示藉由第43圖的實施例所獲得的電極間 的徑方向的電場強度分布特性的圖。在第44圖中,ε = 1 的分布特性Α是在第42Α圖的狀態也就是將中空介電質 90的空洞1 〇4淨空後以空氣塡滿的狀態下所獲得者。又 ,ε =2的分布特性B是在第42C圖的狀態也就是在中空 介電質90的空洞丨04塡滿了全氟聚醚類液體的狀態下所 獲得者。藉由調整置入於空洞1 04之全氟聚醚類液體的量 ,能夠獲得兩特性A、B間的任意特性。 如此’在此實施形態,藉由改變置入於中空介電質 90的空洞1 04之流動性介電性物質NZ的種類及量,能夠 -39- (36) (36)200423250 可變控制介電質90全體之介電率及介電性阻抗。 第4 5 A〜4 5 D圖是顯示第4 2 A〜4 2 D圖的實施形態的 變形例之上部電極構造的部分斷面圖。 在第4 5 A圖的變形例,以陶瓷板9 1形成介電質9 0 的表面,在內側的空洞1 〇4,以上部電極3 8的母材(鋁 )形成與陶瓷板9 1相對向的壁面。即,其結構爲在上部 電極3 8的主面形成因應介電質9 0的形狀之凹部3 8 c,以 陶瓷板9 1蓋住此凹部38c。爲了封裝陶瓷板9丨的外周, 而設置例如圓形環等的密封構件1 1 〇爲佳。在此情況時, 凹部3 8 c或空洞1 0 4的形狀重要,將中心部側的厚度作成 較邊緣部側的厚度厚爲佳。 在第45B、C圖的變形例,在中空介電質90內分配 於介電性流動體N Z之空間或空洞1 0 4限定或局部化於特 定的區域者。例如,如第4 5 B圖所示,在介電質9 0的中 心部區域局部化空洞1 04的空間。或亦可如第43 C圖所示 ,能在徑方向使陶瓷板9 1的厚度改變(由中心部朝邊緣 部逐漸變小)而相對地在介電質9 0的周邊部領域局部化 空洞1 〇4的空間。如此,藉由在中空介電質90將空洞 1 04的空間規定在期望的區域或形狀,能夠使根據介電性 流動體NZ之介電率調整功能具有各種的變異。 在第45D圖的變形例,將中空介電質90內的空洞 1 〇 4分割成複數個室,針對每個室,獨立控制介電性流動 體NZ之取出置入或塡充量。例如,如第45圖所示,能 夠藉由一體形成於陶瓷板9 1的環狀隔壁板9 1 a,來將空 •40- (37) (37)200423250 洞1 04分割成中心部側的室1 04A、周邊部側的室1 04B。 以上,分別說明了本發明的理想的實施形態’但也能 夠組合不同實施形態之電極構造。例如’能夠組合上述第 3實施形態或之後的實施形態之具有介電質9 0的電極構 造、與上述第1實施形態之具有凸部7 0的電極構造或第 2實施形態之具有凹部80的電極構造。 即,能夠達到將第3實施形態或之後的實施形態之電 極構造例如如第1 9圖所示地適用於承受器1 2,而將上述 第1實施形態之電極構造(第2、3圖)或上述第2實施 形態之電極構造(第1 5、1 6圖)適用於上部電極3 8之應 用。又,也能夠達到將第3實施形態或之後的實施形態之 電極構造如第20圖所示地適用於上部電極3 8,而將上述 第1實施形態之電極構造(第2、3圖)或上述第2實施 形態之電極構造(第1 5、1 6圖)適用於承受器1 2之應用 〇 當然,也可達到將第1、2、3實施形態、或之後的實 施形態之電極構造適用於上部電極及下部電極雙方的應用 。又,也能夠達到將第1、2、3實施形態、或之後的實施 形態之電極構造僅適用於上部電極或下部電極,而在另一 方的電極使用以往一般的電極之應用。 又,上述實施形態的電漿蝕刻裝置(第1圖)是將電 漿生成用的1個局頻電力施壓於承受器12之方式。但, 雖省略圖示,但本發明能適用於在上部電極3 8側施加電 漿生成用高頻電力的方式。又,本發明也可適用於在上部 -41 > (38) (38)200423250 電極3 8與承受器1 2分別施加頻率不同的第1及第2高頻 電力的方式(上下高頻波施加方式)。又,本發明也可適 用於在承受器12重疊施加頻率不同之第1及第2高頻電 力(下部2頻率重疊施加方式)等。 更廣義而言,本發明是能夠適用於在可減壓的處理容 器內具有至少一個電極之電漿處理裝置。進一步,本發明 也適用於電漿CVD、電漿氧化、電漿氮化、濺射等之其 他電漿處理裝置。又,本發明之被處理基板不限於半導體 晶圓,能爲平面面板顯示器用的各種基板、光罩、CD基 板或印刷基板等。 〔產業上的利用可能性〕 若根據本發明的電漿處理裝置或電漿處理裝置用的電 極板的話,則可藉由上述的結構及作用,有效率地達到電 漿密度的均等化。 又,若根據本發明的電極板製造方法的話,能夠有效 率地製作:在本發明之電漿處理裝置用的電極板一體地設 置靜電夾之構造。 【圖式簡單說明】 第1圖是顯示本發明的一實施形態之電漿蝕刻裝置的 結構之縱斷面圖。 第2圖是顯示本發明的第1實施形態之承受器構造的 平面圖。 -42- (39) (39)200423250 第3圖是顯示第1實施形態之承受器構造的部分擴大 縱大斷面圖。 第4圖是顯示第1實施形態之承受器構造的凸部之個 數密度分布特性的一例之圖。 第5圖是示意顯示第1圖所示的電漿蝕刻裝置之高頻 放電的構造之圖。 第6圖是顯示如第1圖所示的電漿蝕刻裝置之流動於 高頻電極的主面之高頻電流的方向性之平面圖。 第7圖是顯示第1實施形態之承受器構造之高頻電流 的流動與高頻電力(電場)的放射之大致縱斷面圖。 第8圖是顯示流動於導體的電磁波(高頻電流)的深 度方向之衰減特性之特性圖。 第9圖是顯示在第1實施形態之以電極中心部與變緣 部的凸部個數密度的比率作爲參數時的電極半徑方向之電 場強度分布特性的圖。 第1 〇圖是顯示在第1實施形態之承受器一體地設置 靜電夾的結構之部分縱斷面圖。 第1 1圖是顯示如第1 0圖之具有靜電夾的承受器構造 之凸部與底面部的阻抗比特性的圖。 第12A〜12F圖是以工序順序顯示如第1〇圖之具有 靜電夾的承受器構造的製造方法的圖。 第1 3圖是顯示第1實施形態之承受器構造的一變形 例的圖。 第1 4圖是顯示第1實施形態之電極凸部構造適用於 -43- (40) (40)200423250 上部電極的結構例之縱斷面圖。 第1 5圖式顯示本發明的第2實施形態之電極構造的 平面圖。 第1 6圖是顯示第1 5圖的電極構造之部分擴大縱斷面 圖。 第1 7圖是顯示第1 5圖的電極構造之凹部的個數密度 分布特性的一例之圖。 第1 8 A〜1 8 F圖是以工序順序顯示在第2實施形態之 電結構造一體地設置靜電夾的構造之製造方法的圖。 第1 9圖是顯示第3實施形態之下部電極構造的平面 圖。 第20圖是顯不第3實施形態之上部電極構造的平面 圖。 第2 1圖是顯示第3實施形態之平行平板電極構造的 一例的圖。 第22圖是顯示在第2 1圖的平行平板電極構造,以上 部電極中心的膜厚作爲參數的電極間之徑方向的電場強度 分布的圖。 第23 A〜23D圖是顯示關於第3實施形態之上部電極 的介電質膜的膜厚剖面的更具體之實施例的圖。 第24A及24B圖是顯示藉由第23A〜23D圖的實施例 及理想剖面所分別獲得的電極間之徑方向的電場強度分布 特性的圖。 第25A〜25D圖是顯示關於第3實施形態之上部電極 -44- (41) (41)200423250 的介電質膜的膜厚剖面的更具體之其他實施例的圖。 第26A及;26B圖是顯示藉由第25A〜25D圖的實施例 及理想剖面所分別獲得的電極間之徑方向的電場強度分布 特性的圖。 第27 A〜27C圖是顯示關於第3實施形態之上部電極 的介電質膜的膜厚剖面的更具體之其他實施例的圖。 第28A及28B圖是顯示藉由第27A〜27C圖的實施例 及理想剖面所分別獲得的電極間之徑方向的電場強度分布 特性的圖。 第29圖是顯示賦予由第28 A及28B圖的資料點所作 成的實用上充分之面內均等性之介電質膜的介電率與電極 中心部的膜厚之相關關係的圖。 第3 0 A及3 0B圖是針對有機膜蝕刻的蝕刻速度分布 特性,對比顯示將第3實施形態適用於上部電極的實施例 A與比較例B之圖。 第3 1 A及3 1 B圖是對比顯示將第3實施形態適用於 下部電極的構造之實施例與比較例之圖。 第32A及32B圖是針對有機膜鈾刻的蝕刻速度分布 特性,對比顯示第3 1 A圖的實施例與第3 1 B圖的比較例 之圖。 第3 3 A及3 3 B圖是顯示本發明的其他實施形態之上 部電極構造的實施例之部分斷面圖。 第34圖是顯示藉由第33A及33B圖所獲得的電極間 之徑方向的電場強度分布特性的圖。 -45- (42) (42)200423250 第35A〜35C圖是分別顯示本發明的其他實施形態之 上部電極構造的實施例、比較例、及參考例的部分斷面圖 〇 第36圖是顯示藉由第35A〜35C圖的實施例、比較 例、及參考例所獲得的電極間之徑方向的電場強度分布特 性的圖。 第3 7A〜3 7C圖是分別顯示上部電極構造的其他2個 實施例與比較例之部分斷面圖。 第38圖是顯示藉由第37A〜37C圖的實施例及比較 例所獲得的氧化膜蝕刻的蝕刻速度(規格化値)分布特性 的圖。 第3 9A〜39C圖是分別顯示本發明的其他實施形態之 上部電極構造的實施例、比較例、及參考例的部分斷面圖 〇 第40圖是顯示藉由第3 9A〜3 9C圖的實施例、比較 例、及參考例所獲得的電極間之徑方向的電場強度分布特 性的圖。 第41圖是顯示第35A〜38圖的實施形態的變形例之 上部電極構造的部分斷面圖。 第42A〜42D圖是顯示本發明的其他實施形態之上部 電極構造的部分斷面圖。 第43圖是顯示第42A〜42D圖所示的實施形態之具 體實施例的部分斷面圖。 第44圖是顯示藉由第43圖的實施例所獲得的電極間 -46- (43) 200423250 的徑方向的電場強度分布特性的圖。 第45A〜45D圖是顯示第42A〜42D圖的實施形態的 變形例之上部電極構造的部分斷面圖。 〔圖號說明〕 10 室 12 承 12a 底 12a 頂 14 筒 16 筒 18 聚 20 排 22 擋 24 排 26 排 28 排 30 閘 32 局 34 整 36 供 38 噴 38 上 38c 凹 (處理容器) 受器(下部電極) 面部 面部 狀保持部 狀支承部 焦環 氣通路 板 氣口 氣管 氣裝置 閥 頻電源 合器 電棒 淋頭 部電極 部 -47- (44)200423250 3 8f 40 40a 40b 40c 42 43 44 46 48 50 52 56 56a 5 8 60 60a 62 64 68 70 80 72 74 外側電極突出部(電極部分) 靜電夾 電極 下部絕緣膜 上部絕緣膜 直流電源 開關 冷媒室 冷卻器組 配管 配管 傳熱氣體供給部 電極板 氣體通氣孔 電極支承體 緩衝室 氣體導入口 處理氣體供給部 氣體供給配管 控制部 凸部 凹部 介電質(介電質膜) 光罩 -48- 200423250 (45) 74a 開 □ 部 82 介 電 質 ( 介 電 質 膜 ) 84 光 罩 84a 開 □ 部 90 介 電 質 膜 ( 介 電 質 層) 9 1 陶 瓷 板 9 1a 隔 壁 板 92 熔 融 射 出 披 覆 膜 100 密 封 板 102 螺 絲 100a 開 □ 部 104 空 洞 106 管 108 管 110 密 封 構 件 D 膜 厚 h 突 出 量 i 局 頻 電 流 NZ 介 電 性 流 動 體 PZ 電 漿 W 半 導 體 晶 圓 中空介電質) -49-

Claims (1)

  1. 200423250 (1) 拾、申請專利範圍 1 · 一種電漿處理裝置,是在被處理基板實施電漿處理 之電漿處理裝置,其特徵爲: 具備:收納前述被處理基板的可減壓之處理容器; 配設於前述處理容器內的第1電極; 將處理氣體供給至前述處理容器內的供給系統;以及 爲了生成前述處理氣體的電漿,而在前述處理容器內 形成高頻電場之電場形成系統, 在前述第1電極的主面上分散地形成有朝生成前述電 漿的空間側突出之多數個凸部。 2.如申請專利範圍第1項之電漿處理裝置,其中由與 前述第1電極的前述主面相反側之裏面供給用來生成前述 電漿的高頻電力。 3 .如申請專利範圍第1項之電漿處理裝置,其中在前 述處理容器內更具備有與前述第1電極平行相對之第2電 極, 由與前述第2電極的前述主面相反側之裏面供給用來 生成前述電漿的高頻電力。 4.如申請專利範圍第1項之電漿處理裝置,其中在前 述第1電極的主面上,將前述凸部的高度及電極徑方向的 寬度作成以下述(1 )方程式所示的表皮深度(skin depth )5之3倍以上 δ = ( 2 / 6ύ σ //) 1/2 ...... (1) (其中,w=2;rf(f:頻率)、σ:導電率、//:透 -50- (2) (2)200423250 磁率)。 5 .如申請專利範圍第1項之電漿處理裝置,其中在前 述第1電極的主面上,由電極中心部朝電極邊緣部逐漸增 大前述凸部的面積密度。 6 ·如申請專利範圍第1項之電漿處理裝置,其中在前 述第1電極的主面上,將前述凸部形成一定的尺寸,而由 電極中心部朝電極邊緣部逐漸增大前述凸部的個數密、度。 7 ·如申請專利範圍第1項之電漿處理裝置,其中將前 述凸部形成圓柱狀。 8 .如申請專利範圍第1項之電漿處理裝置,其中將前 述凸部分別形成環狀,其全體配置成同心圓狀。 9 ·如申請專利範圍第1項之電漿處理裝置,其中在前 述第1電極的主面上,於至少前述凸部以外的部分上設置 介電質。 10· —^種電紫處理裝置,是在被處理基板貝施電紫處 理之電漿處理裝置,其特徵爲: 具備:收納前述被處理基板的可減壓之處理容器; 配設於前述處理容器內的第1電極; 將處理氣體供給至前述處理容器內的供給系統;以及 爲了生成前述處理氣體的電漿,而在前述處理容器內 形成高頻電場之電場形成系統, 在前述第1電極的主面上分散地形成有與生成前述電 漿的空間側相對而凹陷之多數個凹部。 11.如申請專利範圍第1 〇項之電漿處理裝置,其中由 -51 - (3) (3)200423250 與前述第1電極的前述主面相反側之裏面供給用來生成前 述電漿的高頻電力。 1 2 ·如申請專利範圍第1 〇項之電漿處理裝置,其中在 前述處理容器內更具備有與前述第1電極平行相對之第2 電極, 由與前述第2電極的前述主面相反側之裏面供給用來 生成前述電漿的高頻電力。 1 3 .如申請專利範圍第1 〇項之電漿處理裝置,其中在 前述第1電極的主面上,將前述凹部的深度及電極徑方向 的寬度作成以下述(1)方程式所不的表皮深度(skin depth) (5之3倍以上 δ = (2/ωσμ) 1/2 ...... ( ι ) (其中,6;=2 7rf(f:頻率)、σ :導電率、:透 磁率)。 1 4 ·如申請專利範圍第ι 〇項之電漿處理裝置,其中在 前述第1電極的主面上,由電極中心部朝電極邊緣部逐漸 減小前述凹部的面積密度。 1 5 .如申請專利範圍第1 0項之電漿處理裝置,其中在 前述第1電極的主面上,將前述凹部形成一定的尺寸,而 由電極中心部朝電極邊緣部逐漸減小前述凹部的個數密度 〇 1 6 .如申請專利範圍第1 〇項之電漿處理裝置,其中將 前述凹部形成圓柱狀。 1 7 .如申請專利範圍第1 〇項之電漿處理裝置,其中在 -52- (4) (4)200423250 前述第1電極的主面上,於至少前述凹部中設置介電質。 1 8 . —種電漿處理裝置,是在被處理基板實施電漿處 理之電漿處理裝置,其特徵爲: 具備:收納前述被處理基板的可減壓之處理容器; 配設於前述處理容器內的第1電極; 將處理氣體供給至前述處理容器內的供給系統;以及 爲了生成前述處理氣體的電漿,而在前述處理容器內 形成高頻電場之電場形成系統, 在前述第1電極的主面配設介電質,前述第1電極的 中心部側之前述介電質的厚度較電極邊緣部側之前述介電 質的厚度更大。 1 9.申請專利範圍第1 8項之電漿處理裝置,其中由與 前述第1電極的前述主面相反側之裏面供給用來生成前述 電漿的高頻電力。 20.如申請專利範圍第18項之電漿處理裝置,其中在 前述處理容器內更具備有與前述第1電極平行相對之第2 電極, 由與前述第2電極的前述主面相反側之裏面供給用來 生成前述電漿的高頻電力。 2 1 .申請專利範圍第1 8項之電漿處理裝置,其中前述 第1電極之前述介電質的厚度,由電極中心部朝電極邊緣 部逐漸變小。 22.申請專利範圍第21項之電漿處理裝置,其中前述 第1電極之前述介電質的厚度,由電極中心部朝電極邊緣 -53- (5) (5)200423250 部減少成拱型。 23 .申請專利範圍第1 8項之電漿處理裝置,其中前述 第1電極之前述介電質的厚度,在包含電極中心部之第1 直徑的內側大致呈一定。 2 4.申請專利範圍第23項之電漿處理裝置,其中前述 第1電極之前述介電質的厚度,在前述第1直徑的外側具 有朝電極邊緣部減少成錐形狀之部分。 2 5.申請專利範圍第23項之電漿處理裝置,其中前述 第1電極之前述介電質的厚度,在前述第1直徑的外側, 於較前述第1直徑更大的第2直徑的內側大致呈一定。 2 6.申請專利範圍第25項之電漿處理裝置,其中前述 第1電極之前述介電質的厚度,在前述第2直徑的外側具 有朝電極邊緣部減少成錐形狀之部分。 27.申請專利範圍第18項之電漿處理裝置,其中前述 第1電極之前述介電質的厚度,在與前述被處理基板的邊 緣部相對向的位置附近形成最小。 2 8 .申請專利範圍第1 8項之電漿處理裝置,其中將前 述介電質的電極中心部之厚度設定在因應前述介電質的介 電率的値。 29.申請專利範圍第18項之電漿處理裝置,其中在前 述第1電極的主面上進一步具備有覆蓋前述介電質的一部 分之導電性密封構件。 3 0 .申請專利範圍第2 9項之電漿處理裝置,其中前述 密封構件具有使前述介電質的至少中心部露出之期望口徑 -54- (6) (6)200423250 的開口部。 3 1 .申請專利範圍第3 0項之電漿處理裝置,其中前述 密封構件可裝卸地安裝於前述第1電極。 3 2 .申請專利範圍第1 8項之電漿處理裝置,其中在前 述第1電極的主面上,由在較前述介電質的外周邊緣更接 近徑方向外側遠離期望的距離,使外側的電極部分朝生成 前述電漿的空間僅突出期望的突出量。 3 3 .申請專利範圍第1 8項之電漿處理裝置,其中在前 述第1電極的主面上,使前述介電質朝前述生成前述電漿 的空間僅突出期望的突出量。 3 4 .申請專利範圍第1 8項之電漿處理裝置,其中在前 述第1電極的主面,於前述介電質的內部設置空洞,在前 述空洞中置入流動性之介電性物質。 3 5 .申請專利範圍第3 4項之電漿處理裝置,其中在前 述第1電極之至少2處所設置用來將前述介電性物質取出 置入於前述空洞中之璋。 3 6 .申請專利範圍第3 4項之電漿處理裝置,其中前述 介電性物質爲有機溶劑。 3 7 .申請專利範圍第3 4項之電漿處理裝置,其中在前 述第1電極的主面,前述介電質的至少表面是以固體構成 〇 3 8 . —種電極板,是在高頻放電方式的電漿處理裝置 ,爲了生成電漿而配設在處理容器內之電極板,其特徵爲 :在與電漿相對向的主面分散地形成有多數個凸部。 -55- (7) (7)200423250 3 9 . —種電極板製造方法,是用來製造如申請專利範 圍第3 8項之電極板的電極板製造方法,其特徵爲: 具備:在電極本體的主面披覆具有與前述凸部對應的 開口部之光罩的工序; 由前述光罩上朝前述電極本體的主面上熔融射出導電 性金屬或半導體,來在前述開口部內形成前述凸部的工序 ;及 由前述電極本體的主面上除去前述光罩的工序。 40·—種電極板,是在高頻放電方式的電漿處理裝置 ,爲了生成電漿而配設在處理容器內之電極板,其特徵爲 :在與電漿相對向的主面分散地形成有多數個凹部。 4 1 · 一種電極板製造方法,是用來製造如申請專利範 圍第40項之電極板的電極板製造方法,其特徵爲: 具備:在電極本體的主面披覆具有與前述凹部對應的 開口部之光罩的工序; 由前述光罩上朝前述電極本體的主面上噴出固體粒子 或液體,物理性地除去前述電極基板的前述開口內的部分 後形成前述凹部的工序;及 由前述電極基板的主面上除去前述光罩的工序。 4 2 ·如申請專利範圍第3 9或4 1項之電極板製造方法 ,其中進一步具備:在除去前述光罩後的前述電極基板的 主面上熔融射出介電質來形成第1介電質膜的工序。 43·如申請專利範圍第42項之電極板製造方法,其中 進一步具備:將前述第1介電質模型成覆蓋前述電極板的 -56- (8) (8)200423250 主面全體之厚度後,在前述第1介電質膜上熔融射出電極 材料來形成靜電夾用的電極膜之工序;及 然後,在前述電極膜上熔融射出介電質來形成第2介 電質膜之工序。 44 . 一種電極板,是在高頻放電方式的電漿處理裝置 ,爲了生成電漿而配設在處理容器內之電極板,其特徵爲 :在與電漿相對向的主面配設介電質,前述第1電極的中 心部側之前述介電質的厚度較電極邊緣部側之前述介電質 的厚度更大。 - 57 -
TW093102429A 2003-02-03 2004-02-03 Plasma processing device, electrode plate for the same, and manufacturing method for electrode plate TW200423250A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003025899 2003-02-03
JP2003132810 2003-05-12
JP2004025007A JP4472372B2 (ja) 2003-02-03 2004-02-02 プラズマ処理装置及びプラズマ処理装置用の電極板

Publications (2)

Publication Number Publication Date
TW200423250A true TW200423250A (en) 2004-11-01
TWI334173B TWI334173B (zh) 2010-12-01

Family

ID=32854099

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093102429A TW200423250A (en) 2003-02-03 2004-02-03 Plasma processing device, electrode plate for the same, and manufacturing method for electrode plate

Country Status (6)

Country Link
US (3) US7585386B2 (zh)
EP (1) EP1594161B1 (zh)
JP (1) JP4472372B2 (zh)
KR (1) KR100839677B1 (zh)
TW (1) TW200423250A (zh)
WO (1) WO2004070808A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI409358B (zh) * 2008-04-11 2013-09-21 Innolux Corp 電漿輔助化學氣相沉積裝置
TWI455653B (zh) * 2007-10-01 2014-10-01 Tel Solar Ag 用於處理基板之電漿反應器
TWI455791B (zh) * 2008-12-25 2014-10-11 Ulvac Inc 靜電吸盤用之吸盤平板的製造方法
TWI601188B (zh) * 2009-08-25 2017-10-01 半導體能源研究所股份有限公司 製造微晶半導體膜的方法及製造半導體裝置的方法

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1835789B1 (en) * 2004-12-27 2013-05-29 NGK Insulators, Ltd. Plasma generating electrode and plasma reactor
JP4672436B2 (ja) * 2005-05-20 2011-04-20 株式会社アルバック プラズマ処理装置
US7525787B2 (en) * 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
JP4988327B2 (ja) * 2006-02-23 2012-08-01 ルネサスエレクトロニクス株式会社 イオン注入装置
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
JP4707588B2 (ja) * 2006-03-16 2011-06-22 東京エレクトロン株式会社 プラズマ処理装置及びそれに用いられる電極
US8157953B2 (en) 2006-03-29 2012-04-17 Tokyo Electron Limited Plasma processing apparatus
JP4753306B2 (ja) * 2006-03-29 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置
JP4855177B2 (ja) 2006-08-10 2012-01-18 住友大阪セメント株式会社 静電チャック装置
US8284538B2 (en) 2006-08-10 2012-10-09 Tokyo Electron Limited Electrostatic chuck device
US7619870B2 (en) 2006-08-10 2009-11-17 Tokyo Electron Limited Electrostatic chuck
JP5233092B2 (ja) * 2006-08-10 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
JP5125024B2 (ja) * 2006-08-10 2013-01-23 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
JP4943085B2 (ja) * 2006-08-10 2012-05-30 東京エレクトロン株式会社 静電チャック装置及びプラズマ処理装置
JP4943086B2 (ja) * 2006-08-10 2012-05-30 東京エレクトロン株式会社 静電チャック装置及びプラズマ処理装置
US8741098B2 (en) 2006-08-10 2014-06-03 Tokyo Electron Limited Table for use in plasma processing system and plasma processing system
US20080041312A1 (en) * 2006-08-10 2008-02-21 Shoichiro Matsuyama Stage for plasma processing apparatus, and plasma processing apparatus
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US20080062610A1 (en) * 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
JP5233093B2 (ja) * 2006-08-10 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
JP4961948B2 (ja) * 2006-10-27 2012-06-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法並びに記憶媒体
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
JP5056029B2 (ja) * 2007-01-26 2012-10-24 住友電気工業株式会社 半導体製造装置用ウェハ保持体、その製造方法およびそれを搭載した半導体製造装置
WO2008110547A1 (en) * 2007-03-12 2008-09-18 Aixtron Ag Novel plasma system for improved process capability
JP5029089B2 (ja) * 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
JP5112773B2 (ja) * 2007-07-25 2013-01-09 芝浦メカトロニクス株式会社 載置台およびプラズマアッシング処理装置
US7940511B2 (en) * 2007-09-21 2011-05-10 Asml Netherlands B.V. Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp
JP2009123929A (ja) 2007-11-15 2009-06-04 Tokyo Electron Ltd プラズマ処理装置
JP4898718B2 (ja) * 2008-02-08 2012-03-21 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
JP5264231B2 (ja) 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
US8317969B2 (en) 2008-03-25 2012-11-27 Tokyo Electron Limited Plasma processing apparatus
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
JP5264238B2 (ja) * 2008-03-25 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
CN101307437B (zh) * 2008-06-19 2010-12-01 东莞宏威数码机械有限公司 射频电极及薄膜制备装置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
JP5142914B2 (ja) 2008-09-25 2013-02-13 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP2010080717A (ja) * 2008-09-26 2010-04-08 Tokyo Electron Ltd プラズマ処理装置用の載置台
EP2332163A1 (en) * 2008-10-01 2011-06-15 Oerlikon Solar AG, Trübbach Radiofrequency plasma reactor and method for manufacturing vacuum process treated substrates
KR20100052598A (ko) * 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
JP5204673B2 (ja) * 2009-01-14 2013-06-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ分布の制御方法
JP5683822B2 (ja) 2009-03-06 2015-03-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
JP5361457B2 (ja) 2009-03-06 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
TWI522013B (zh) 2009-03-30 2016-02-11 Tokyo Electron Ltd Plasma processing device and plasma processing method
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
JP5513104B2 (ja) * 2009-12-28 2014-06-04 東京エレクトロン株式会社 プラズマ処理装置
JP5592129B2 (ja) * 2010-03-16 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
JP5809396B2 (ja) * 2010-06-24 2015-11-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP5597456B2 (ja) * 2010-06-29 2014-10-01 東京エレクトロン株式会社 誘電体の厚さ設定方法、及び電極に設けられた誘電体を備える基板処理装置
JP5576738B2 (ja) * 2010-07-30 2014-08-20 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP5650479B2 (ja) * 2010-09-27 2015-01-07 東京エレクトロン株式会社 電極及びプラズマ処理装置
JP2011071544A (ja) * 2010-12-06 2011-04-07 Ulvac Japan Ltd プラズマ処理方法及び装置並びにプラズマcvd方法及び装置
NL2007452A (en) 2010-12-08 2012-06-11 Asml Holding Nv Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp.
JP5367000B2 (ja) * 2011-03-24 2013-12-11 東京エレクトロン株式会社 プラズマ処理装置
US9543123B2 (en) 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
EP2744307A4 (en) * 2011-08-11 2015-01-14 Korea Mach & Materials Inst PLASMA GENERATING DEVICE, METHOD FOR PRODUCING ROTATING ELECTRODES FOR THE PLASMA GENERATING DEVICE, METHOD FOR PLASMA TREATMENT OF A SUBSTRATE AND METHOD FOR PRODUCING A THIN FILM FROM A MIXTURE STRUCTURE BY MEANS OF THE PLASMA
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US8902561B2 (en) 2012-02-02 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Electrostatic chuck with multi-zone control
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
TWI455792B (zh) * 2012-03-21 2014-10-11 Intelligence Develop Engineering Aid Ltd 可透視靜電吸板
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
JP6144902B2 (ja) * 2012-12-10 2017-06-07 東京エレクトロン株式会社 マイクロ波放射アンテナ、マイクロ波プラズマ源およびプラズマ処理装置
JP5717888B2 (ja) * 2013-02-25 2015-05-13 東京エレクトロン株式会社 プラズマ処理装置
KR20150143793A (ko) * 2013-04-17 2015-12-23 도쿄엘렉트론가부시키가이샤 균일한 플라즈마 밀도를 가진 용량 결합형 플라즈마 장비
JP6348321B2 (ja) * 2013-05-17 2018-06-27 キヤノンアネルバ株式会社 エッチング装置
JP6356415B2 (ja) 2013-12-16 2018-07-11 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
DE102013114271B4 (de) 2013-12-18 2023-01-12 Sma Solar Technology Ag Wechselrichter und verfahren zum betrieb eines wechselrichters
CN207824151U (zh) 2014-01-28 2018-09-07 魄金莱默保健科学有限公司 感应装置及包括感应装置的系统
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6109224B2 (ja) * 2015-03-30 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、プログラムおよび基板処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10600621B2 (en) * 2016-03-30 2020-03-24 Tokyo Electron Limited Plasma electrode and plasma processing device
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
TWI610329B (zh) * 2016-11-08 2018-01-01 財團法人工業技術研究院 電漿處理裝置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11266003B2 (en) * 2017-06-13 2022-03-01 Zaka-Ul-Islam Mujahid Method and apparatus for generating plasma using a patterned dielectric or electrode
KR20180136302A (ko) * 2017-06-14 2018-12-24 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치 제조 방법
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7083080B2 (ja) * 2018-01-11 2022-06-10 株式会社日立ハイテク プラズマ処理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
EP3785494A4 (en) 2018-06-14 2022-01-26 MKS Instruments, Inc. REMOTE PLASMA SOURCE RADICAL OUTPUT MONITOR AND METHOD OF USE
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11410867B2 (en) * 2018-07-30 2022-08-09 Toto Ltd. Electrostatic chuck
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11398397B2 (en) 2018-11-21 2022-07-26 Samsung Electronics Co., Ltd. Electrostatic chuck and plasma processing apparatus including the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7117734B2 (ja) * 2018-12-06 2022-08-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2020116244A1 (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置
JP7162837B2 (ja) * 2018-12-06 2022-10-31 東京エレクトロン株式会社 プラズマ処理装置、及び、プラズマ処理方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP6801773B2 (ja) * 2019-02-27 2020-12-16 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP7208873B2 (ja) * 2019-08-08 2023-01-19 東京エレクトロン株式会社 シャワープレート、下部誘電体、及びプラズマ処理装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7379171B2 (ja) 2020-01-08 2023-11-14 日本特殊陶業株式会社 保持装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
JP2022041742A (ja) * 2020-09-01 2022-03-11 東京エレクトロン株式会社 プラズマ処理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS644481A (en) * 1987-06-24 1989-01-09 Minoru Sugawara Parallel-plate discharge electrode
JPS644481U (zh) 1987-06-27 1989-01-11
JPH0354825A (ja) 1989-07-21 1991-03-08 Tokyo Electron Ltd プラズマ処理装置
JPH0436482A (ja) 1990-05-30 1992-02-06 Mitsubishi Electric Corp プラズマ処理装置
JPH0718438A (ja) * 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
JPH0922798A (ja) * 1995-07-03 1997-01-21 Anelva Corp 高周波放電用電極及び高周波プラズマ基板処理装置
JP3224011B2 (ja) * 1996-05-23 2001-10-29 シャープ株式会社 プラズマ励起化学蒸着装置及びプラズマエッチング装置
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
JPH11176919A (ja) 1997-12-08 1999-07-02 Sony Corp 静電チャック
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
JP4454718B2 (ja) 1999-05-07 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置およびそれに用いられる電極
DE60043505D1 (de) * 1999-05-06 2010-01-21 Tokyo Electron Ltd Apparat für die plasma-behandlung
JP2001023742A (ja) * 1999-07-02 2001-01-26 Mitsumi Electric Co Ltd 電気コネクタ
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
JP2001148368A (ja) * 1999-11-19 2001-05-29 Matsushita Electric Ind Co Ltd 高周波印加電極とこの電極を用いたプラズマプロセス装置
TW480594B (en) * 1999-11-30 2002-03-21 Tokyo Electron Ltd Plasma processing apparatus
JP3859937B2 (ja) * 2000-06-02 2006-12-20 住友大阪セメント株式会社 静電チャック
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP3519678B2 (ja) 2000-08-23 2004-04-19 三菱重工業株式会社 表面処理装置及び表面処理方法
TW518690B (en) * 2000-09-14 2003-01-21 Tokyo Electron Ltd Plasma processing apparatus and its electrode plate, its electrode supporting body and its shield ring
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
KR100464902B1 (ko) * 2001-02-12 2005-01-05 (주)에스이 플라즈마 대기압에서 저온 플라즈마를 발생시키는 장치
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
JP2002246368A (ja) * 2001-02-14 2002-08-30 Anelva Corp ウェハー表面径方向均一プラズマを用いるウェハー処理システム
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
JP4216054B2 (ja) 2001-11-27 2009-01-28 アルプス電気株式会社 プラズマ処理装置及びその運転方法
JP3977114B2 (ja) 2002-03-25 2007-09-19 株式会社ルネサステクノロジ プラズマ処理装置
JP2004095664A (ja) 2002-08-29 2004-03-25 Tokyo Electron Ltd プラズマ処理装置
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
JP4416498B2 (ja) 2002-12-26 2010-02-17 キヤノン株式会社 プラズマ処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI455653B (zh) * 2007-10-01 2014-10-01 Tel Solar Ag 用於處理基板之電漿反應器
TWI409358B (zh) * 2008-04-11 2013-09-21 Innolux Corp 電漿輔助化學氣相沉積裝置
TWI455791B (zh) * 2008-12-25 2014-10-11 Ulvac Inc 靜電吸盤用之吸盤平板的製造方法
TWI601188B (zh) * 2009-08-25 2017-10-01 半導體能源研究所股份有限公司 製造微晶半導體膜的方法及製造半導體裝置的方法

Also Published As

Publication number Publication date
KR100839677B1 (ko) 2008-06-19
EP1594161B1 (en) 2013-05-01
EP1594161A4 (en) 2008-10-22
US7585386B2 (en) 2009-09-08
KR20050094475A (ko) 2005-09-27
US7922862B2 (en) 2011-04-12
JP2004363552A (ja) 2004-12-24
US20090285998A1 (en) 2009-11-19
TWI334173B (zh) 2010-12-01
EP1594161A1 (en) 2005-11-09
US20050276928A1 (en) 2005-12-15
WO2004070808A1 (ja) 2004-08-19
JP4472372B2 (ja) 2010-06-02
US20110162802A1 (en) 2011-07-07

Similar Documents

Publication Publication Date Title
TW200423250A (en) Plasma processing device, electrode plate for the same, and manufacturing method for electrode plate
JP5663056B2 (ja) プラズマ処理装置及び電極構造体
JP5702968B2 (ja) プラズマ処理装置及びプラズマ制御方法
JP7224096B2 (ja) プラズマ処理装置用部品の溶射方法及びプラズマ処理装置用部品
JP2002355550A (ja) プラズマ処理装置、プラズマ処理方法及び遅波板
JP5064707B2 (ja) プラズマ処理装置
JP2008244274A (ja) プラズマ処理装置
JP2009071292A (ja) プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2004165460A (ja) プラズマ処理装置
JP2016506592A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
JP2012004160A (ja) 基板処理方法及び基板処理装置
JP2018186179A (ja) 基板処理装置及び基板取り外し方法
JP5323303B2 (ja) プラズマ処理装置
JP2021128956A (ja) 載置台、プラズマ処理装置及びクリーニング処理方法
JP7325294B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP5367000B2 (ja) プラズマ処理装置
JP2006054334A (ja) 半導体製造装置、スパッタリング装置、ドライエッチング装置及び半導体装置の製造方法
WO2020039943A1 (ja) エッチング方法及びプラズマ処理装置
JP2003068718A (ja) プラズマ処理装置
JP2003243376A (ja) プラズマ処理装置
JP4576011B2 (ja) プラズマ処理装置
JP7278896B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP2022166511A (ja) プラズマ処理装置用の電極及びプラズマ処理装置
JP2023094255A (ja) プラズマ処理装置
JP2022143200A (ja) プラズマ処理装置、及びプラズマ処理装置を制御する方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees