KR100817949B1 - 반도체 디바이스, 반도체 디바이스 제조 방법 및 비평면 트랜지스터 제조 방법 - Google Patents

반도체 디바이스, 반도체 디바이스 제조 방법 및 비평면 트랜지스터 제조 방법 Download PDF

Info

Publication number
KR100817949B1
KR100817949B1 KR1020057025056A KR20057025056A KR100817949B1 KR 100817949 B1 KR100817949 B1 KR 100817949B1 KR 1020057025056 A KR1020057025056 A KR 1020057025056A KR 20057025056 A KR20057025056 A KR 20057025056A KR 100817949 B1 KR100817949 B1 KR 100817949B1
Authority
KR
South Korea
Prior art keywords
film
silicon
semiconductor body
stress
semiconductor
Prior art date
Application number
KR1020057025056A
Other languages
English (en)
Other versions
KR20060028431A (ko
Inventor
스코트 헤어랜드
로버트 차우
브라이언 도일
수만 다타
빈-위 진
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20060028431A publication Critical patent/KR20060028431A/ko
Application granted granted Critical
Publication of KR100817949B1 publication Critical patent/KR100817949B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

본 발명은 반도체 디바이스를 제공하되, 이 디바이스는 기판 상에 형성되며 상부 표면 및 횡적으로 대향하는 측벽을 갖는 반도체 바디를 포함한다. 상기 반도체 바디의 상기 상부 표면 및 상기 반도체 바디의 상기 횡적으로 대향하는 측벽 상에 유전체가 형성된다. 상기 반도체 바디의 상기 상부 표면 상의 상기 게이트 유전체 상에 그리고 상기 게이트 유전체에 인접하게 상기 반도체 바디의 상기 횡적으로 대향하는 측벽 상에 게이트 전극을 형성한다. 이어서, 상기 반도체 바디에 인접하게, 상기 반도체 바디에 응력을 제공하는 막이 형성된다.

Description

반도체 디바이스, 반도체 디바이스 제조 방법 및 비평면 트랜지스터 제조 방법{NONPLANAR DEVICE WITH STRESS INCORPORATION LAYER AND METHOD OF FABRICATION}
본 발명은 반도체 집적 회로 제조 분야에 관한 것이며, 특히 응력 제공 층(stress incorporation layer)을 갖는 비평면 트랜지스터에 관한 것이다.
디바이스 성능을 개선하기 위해서, 실리콘 온 절연체(SOI) 트랜지스터가 현대의 집적 회로 제조 시에 제안되었다. 도 1은 표준형의 완벽하게 공핍된 SOI 트랜지스터(100)를 도시한다. 이 SOI 트랜지스터(100)는 단결정 실리콘 기판(102) 및 이 기판 상에 형성된 매립형 산화물과 같은 절연층(104)을 포함한다. 단결정 실리콘 바디(106)가 절연층(104) 상에 형성된다. 게이트 유전체 층(108)이 단결정 실리콘 바디(106) 상에 형성되고 게이트 전극(110)이 게이트 유전체(108) 상에 형성된다. 소스 영역(112) 및 드레인 영역(114)이 게이트 전극(110)의 횡적으로 대향하는 측면을 따라서 실리콘 바디(106) 내에 형성된다.
완벽하게 공핍된 SOI는 최적화된 온 전류/오프 전류 비율을 위한 이상적인 하위 임계 구배(sub-threshold gradients)를 이용하기 위한 트랜지스터 구조물로서 제안되었다. 트랜지스터(100)에서 이상적인 하위 임계 구배를 성취하기 위해서, 실리콘 바디(106)의 두께는 트랜지스터의 게이트 길이(Lg)의 약 1/3이어야 한다(Tsi = Lg/3). 그러나, 만일에 게이트 길이의 크기가 조절됨에 따라서 특히 30nm로 접근한다면, 실리콘 막 두께 Tsi를 계속 감소시킬 필요가 있기 때문에 이러한 게이트 길이 감소는 점점 비현실적이 되게 된다. 30nm 게이트 길이에서, 실리콘 바디에 대해 요구되는 두께는 10nm 이하여야 할 것으로 고려되고 20nm의 게이트 길이에 대해서는 약 6nm의 실리콘 바디의 두께가 요구된다. 10nm 이하의 두께를 갖는 실리콘 박막을 제조하는 것은 매우 어렵다. 한 면에서는, 1nm의 차수로 균일한 웨이퍼를 획득하는 것은 어려운 과제이다. 다른 면에서는, 접합부 저항을 줄이기 위해서 소스 영역과 드레인 영역을 크게 하도록 이러한 박막을 접촉시키는 것은 거의 불가능한데, 그 이유는 소스 영역 및 드레인 영역 내의 얇은 실리콘 층은 게이트 에칭 및 이후의 다양한 세정 단계 동안 사라져버리며 스페이서 에칭 단계로 인해서 실리콘이 성장하기에는 불충분한 실리콘(106)이 남게 되기 때문이다.
도 2a 및 도 2b에 도시된 바와 같은 이중 게이트(DG) 디바이스는 실리콘 두께 문제를 완화시키는 것으로 제안되었다. DG 디바이스(200)는 절연 기판(204) 상에 형성된 실리콘 바디(202)를 포함한다. 게이트 유전체(206)는 실리콘 바디(204)의 두 측면 상에 형성되고 게이트 전극(208)은 실리콘 바디(202)의 두 측면 상에 형성된 게이트 유전체(206)에 인접하여 형성된다. 실리콘 질화물과 같은 충분하게 두꺼운 절연층(209)이 게이트 유전체(208)를 실리콘 바디(202)의 상부로부터 전기 적으로 분리시킨다.
이중 게이트(DG) 디바이스(200)는 2 개의 게이트, 즉 이 디바이스의 채널의 각 측면 상에 위치한 2 개의 게이트를 본질적으로 포함한다. 이중 게이트 디바이스(200)는 채널의 각 측면 상에 게이트를 가지고 있기 때문에, 실리콘 바디의 두께 Tsi가 단일 게이트 디바이스의 실리콘 바디의 두께의 2 배가 될 수 있으며 완벽하게 공핍된 트랜지스터 동작을 얻을 수 있다. 말하자면, 이중 게이트 디바이스(200)로 Tsi = (2×Lg)/3인 완벽하게 공핍된 트랜지스터가 형성될 수 있다. 그러나, 이중 게이트 디바이스(200)의 가장 제조가 용이한 형태에서는 바디(202)의 패터닝이 이 디바이스의 게이트 길이(Lg)를 패터닝하는데 사용되는 포토리소그래피보다 0.7 배 작은 포토리소그래피로 수행되어야 한다. 고밀도 집적 회로를 얻기 위해서, 일반적으로 게이트 전극(208)의 게이트 길이(Lg)에 대해서는 가장 공격적인 리소그래피를 수행하는 것이 바람직하다. 이중 게이트 구조물은 채널의 각 측면 상에 게이트가 존재하기 때문에 실리콘 막의 두께를 2 배로 할 수 있지만은 이러한 구조물은 제조하기가 무척 어렵다. 가령, 실리콘 바디(202)는 약 5:1의 종횡비(폭에 대한 높이의 비율)를 갖는 실리콘 바디(202)를 생성할 수 있는 실리콘 바디 에칭을 필요로 한다.
도 1은 공핍된 기판 트랜지스터의 단면도,
도 2a 및 도 2b는 이중 게이트 공핍된 기판 트랜지스터의 도면,
도 3a 및 도 3b는 본 발명에 따른 응력 포함 막을 갖는 3중 게이트 트랜지스터의 도면,
도 4는 본 발명의 일 실시예에 따른 3중 게이트 트랜지스터의 도면,
도 5a 내지 도 5e는 본 발명의 여러 실시예에 따른 응력 포함 막을 갖는 3중 게이트 트랜지스터를 제조하는 방법을 도시한 도면,
도 6은 30nm 및 20nm의 게이트 길이(Lg)를 갖는 부분적으로 공핍된 3중 게이트 트랜지스터 및 완벽하게 공핍된 3중 게이트 트랜지스터를 얻는데 사용되는 바디 높이 및 바디 폭을 도시한 그래프.
본 발명은 응력 포함 막을 갖는 새로운 3중 게이트 또는 비평면 트랜지스터 구조물 및 이의 제조 방법을 제공한다. 다음의 상세한 설명 부분에서 수많은 특정 세부 사항은 본 발명을 완전하게 이해하도록 하기 위해서 제공된다. 또한, 본 발명을 불필요하게 모호하게 하지 않도록 하기 위해서 잘 알려진 반도체 프로세스 및 제조 기술들은 특정하게 자세하게 기술되지 않는다.
본 발명은 새로운 3중 게이트 또는 비평면 트랜지스터 구조물 및 이의 제조 방법을 제공한다. 3중 게이트 트랜지스터는 채널 영역에 응력을 제공하여 캐리어 이동도를 높이기 위해서 디바이스의 채널 영역 주위에 형성된 응력 포함 막을 포함한다. 캐리어 이동도가 높이지면 트랜지스터 구동 전류도 커진다. 본 발명의 일 실시예에서, 응력 막은 채널을 완벽하게 둘러싸도록 채널 영역 아래에 형성된다. 이 채널 영역을 이 응력 막으로 완벽하게 둘러쌈으로써, 응력 막은 채널의 모든 측면에 응력을 제공하여서 큰 면적에 걸쳐서 응력을 제공하게 되고 디바이스 성능을 최대화 및 증가시킨다. 응력의 종류(압축력 또는 인장력) 및 응력의 크기와 같은 응력 막 물성은 상이한 트랜지스터 타입(가령, PMOS 및 NMOS)의 성능을 최적화하도록 변화될 수 있다.
본 발명의 일 실시예에서, 3중 게이트 트랜지스터는 SOI 트랜지스터이다. 이 3중 게이트 트랜지스터는 완벽하게 공핍된 기판 트랜지스터 애플리케이션에서 사용하기에 이상적이다. 3중 게이트 트랜지스터는 기판 상에 형성된 얇은 반도체 바디을 포함하며, 이 기판은 절연 기판 또는 반도체 기판일 수 있다. 게이트 유전체가 반도체 바디의 상부 표면 및 측벽 상에 형성된다. 게이트 전극은 반도체 바디의 상부 표면 상에 형성된 게이트 유전체 상에 형성되고 반도체 바디의 측벽 상에 형성된 게이트 유전체에 인접하여 형성된다. 소스 영역 및 드레인 영역은 게이트 전극의 대향하는 측면 상에서 반도체 바디 내에 형성된다. 게이트 전극 및 게이트 유전체가 반도체 바디를 3 측면 상에서 둘러싸고 있기 때문에, 트랜지스터는 본질적으로 3 개의 개별 채널 및 게이트를 갖는다. 트랜지스터의 게이트 폭은 반도체 바디의 3 개의 측면 각각의 길이의 합과 동일하다. 보다 큰 폭을 갖는 트랜지스터가 몇 개의 3중 게이트 트랜지스터를 함께 접속시킴으로써 형성될 수 있다.
반도체 바디 내에 3 개의 개별 채널이 형성되어 있기 때문에, 반도체 바디는 트랜지스턴가 온 상태로 될 때에 완벽하게 공핍될 수 있으며 이로써 초박막의 반도체 바디를 사용하지 않고서 또는 디바이스의 게이트 길이(Lg)보다 작은 크기로 반도체 바디를 포토리소그래피 패터닝할 필요없이도 30nm 이하의 게이트 길이를 갖는 완벽하게 공핍된 트랜지스터를 형성할 수 있게 된다. 즉, 본 발명의 3중 게이트 트랜지스터 구조물로 인해서 반도체 바디의 두께 및 반도체 바디의 폭이 디바이스의 게이트 길이와 동일하게 되는 완벽하게 공핍된 트랜지스터가 제조될 수 있게 된다. 본 발명의 3중 게이트 트랜지스터는 완벽하게 공핍된 방식으로 동작할 수 있기 때문에, 이상적인(즉, 매우 급한) 하위 임계 구배 특성 및 100 mV/V 및 이상적으로는 약 60 mV/V인 감소된 DIBL(drain induced barrier lowering : 드레인 유도 장벽 저감) 쇼트 채널 효과 특성을 보이는데, 여기서 감소된 DIBL 쇼트 채널 효과로 인해서 디바이스가 오프 상태로 될 때에 누설 전류가 저감되어서 전력 소모량이 작아진다.
본 발명의 일 실시예에 따른 응력 포함 막을 갖는 3중 게이트 트랜지스터(300)의 실례가 도 3a 및 도 3b에 도시되어 있다. (도 3b는 반도체 바디(308) 중 한 바디의 게이트 전극을 통해 취해진 도 3a의 단면도이다.) 3중 게이트 트랜지스터(300)는 기판(302) 상에 형성된다. 본 발명의 실시예에서, 기판(302)은 하부 단결정 실리콘 기판(304) 및 이 기판 상에 형성된 실리콘 이산화물 막과 같은 절연층(306)을 포함하는 절연 기판이다. 그러나, 3중 게이트 트랜지스터(300)는 실리콘 이산화물, 질화물, 산화물 및 사파이어로 형성된 기판과 같은 임의의 잘 알려진 절연 기판 상에 형성될 수 있다. 본 발명의 일 실시예에서, 기판(302)은 단결정 실리콘 기판 및 갈륨 아세나이드 기판과 같은 반도체 기판일 수 있으나 여기에만 한정되는 것은 아니다.
3중 게이트 트랜지스터(300)는 반도체 바디(308)를 포함하되 통상적으로 절연 기판(302)의 절연체(306) 상에 형성된 다수의 반도체 바디(308)를 포함한다. 반도체 바디(308)는 캐리어 이동도가 다음으로만 한정되는 것은 아니지만 실리콘 및 게리마늄 조성물이 약 25% 이하인 실리콘 게르마늄(SixGeY)을 포함하는 반도체에 응력을 인가함으로써 개선될 수 있는 임의의 잘 알려진 반도체 물질로 형성될 수 있다. 갈륨 아세나이드(GaAs), InSb, GaP 및 GaSb와 같은 3-5 족 반도체에 응력을 인가하면 또한 유리한 이점이 있다. 직접형 밴드 갭 물질에 응력을 인가하면 유리하지 않는 반면에 비직접형 밴드 갭 물질에 응력을 인가하면 유리하다. 반도체 바디(308)는 외부의 전기적 제어를 인가함으로써 절연 상태에서 도전 상태로 가역적으로 변경될 수 있는 물질로 형성된다. 반도체 바디(308)는 트랜지스터(300)의 최상의 전기적 성능이 요구될 때에는 이상적으로는 단결정 막이다. 가령, 반도체 바디(308)는 트랜지스터(300)가 마이크로프로세서와 같은 고밀도 회로와 같은 고성능 애플리케이션에서 사용될 경우에는 단결정 막이다. 그러나, 트랜지스터(300)가 액정 디스플레이 장치와 같은 보다 성능 면에서 엄격하지 않은 애플리케이션에서 사용되는 경우에는 반도체 바디(308)는 다결정 실리콘 막이다. 절연체(306)는 단결정 실리콘 기판(302)으로부터 반도체 바디(308)를 절연시킨다. 본 발명의 일 실시예에서, 반도체 바디(308)는 단결정 실리콘 막이다. 각 반도체 바디(308)는 반도체 바디 폭(314)을 규정하는 거리만큼 분리된 한 쌍의 횡적으로 대향하는 측벽(310, 312)을 갖는다. 또한, 각 반도체 바디(308)는 기판(302) 상에 형성된 바닥 표면(318)에 대향하는 상부 표면(316)을 갖는다. 상부 표면(316)과 바닥 표면(318) 간의 거리는 바디 높이(320)를 규정한다. 본 발명의 바람직한 실시예에서, 바디 높이(320)는 바디 폭(314)과 실질적으로 동일하다. 본 발명의 일 실시예에서, 바디(308)는 30nm 이하인, 이상적으로는 20nm 이하인 폭(314) 및 높이(320)를 갖는다. 본 발명의 일 실시예에서, 바디 높이(320)는 바디 폭(314)에 대해 0.5 배 내지 2 배 사이에 존재한다.
3중 게이트 트랜지스터(300)는 게이트 유전체 층(322)을 갖는다. 게이트 유전체 층(322)은 도 3a 및 도 3b에 도시된 바와 같이 각 반도체 바디(308)의 3 개의 측면 상 및 주위에 형성되어 있다. 게이트 유전체 층(322)은 도 3에 도시된 바와 같이 바디(308)의 측벽(312)에 인접하여, 상부 표면(316) 상에 형성되고 측벽(310)에 인접하게 또는 그 상에 형성된다. 게이트 유전체 층(322)은 잘 알려진 게이트 유전체 층이다. 본 발명의 일 실시예에서, 게이트 유전체 층은 실리콘 이산화물, 실리콘 옥시질화물 또는 실리콘 질화물로 된 유전체 층이다. 본 발명의 일 실시예에서, 게이트 유전체 층(322)은 5 내지 20 Å의 두께로 형성된 실리콘 옥시질화물 막이다. 본 발명의 일 실시예에서, 게이트 유전체 층(322)은 다음으로만 한정되는 것은 아니지만 가령 Ta2O5, TiO2, HfO2, HfSiOxNY, ZrO2 및 LaO2 와 같은 금속 산화물 유전체와 같은 높은 K 값을 갖는 게이트 유전체 층이다. 게이트 유전체 층(322)은 PZT로만 한정되지는 않지만 가령 PZT와 같은 높은 K 값을 갖는 다른 타입의 게이트 유전체일 수 있다.
3중 게이트 디바이스(300)는 게이트 전극(324)을 포함한다. 게이트 전극(324)은 도 3a 및 도 3b에 도시된 바와 같이 게이트 유전체 층(322) 상 및 주위에 형성되어 있다. 게이트 전극(324)은 반도체 바디(308)의 측벽(312) 상에 형성된 게이트 유전체 층(322) 상에 또는 인접하여 형성되고, 반도체 바디(308)의 상부 표면(316) 상에 형성된 게이트 유전체 층(322) 상에 형성되며, 반도체 바디(308)의 측벽(310) 상에 형성된 게이트 유전체 층(322) 상에 또는 인접하여 형성된다. 게이트 전극(324)은 트랜지스터(300)의 게이트 길이(Lg)를 규정하는 거리만큼 분리된 한 쌍의 횡적으로 대향하는 측벽(326, 328)을 갖는다. 본 발명의 일 실시예에서, 게이트 전극(324)의 한 쌍의 횡적으로 대향하는 측벽(326, 328)은 반도체 바디(308)의 횡적으로 대향하는 측벽(310, 312)에 대해 수직인 방향으로 연장된다.
게이트 전극(324)은 임의의 적합한 게이트 전극 물질로 형성될 수 있다. 본 발명의 일 실시예에서, 게이트 전극(324)은 1×1019 원자/cm3 내지 1×1021 원자/cm3 의 농도로 도핑된 다결정 실리콘으로 형성된다. 본 발명의 일 실시예에서, 게이트 전극은 다음으로만 한정되지는 않지만 가령 텅스텐, 탄탈륨, 티타늄 및 이들의 질화물 및 다양한 금속 합금계와 같은 금속 게이트 전극일 수 있다. 본 발명의 일 실시예에서, 게이트 전극은 3.9 내지 5.3 eV의 일함수를 갖는 물질로 형성된다. 게이트 전극(324)은 반드시 단일 물질일 필요가 없으며 다음으로만 한정되는 것은 아니지만 다결정 실리콘/금속 전극 또는 금속/다결정 실리콘 전극과 같은 복합 박막 스택일 수 있다.
3중 게이트 트랜지스터(300)는 소스 영역(330)과 드레인 영역(332)을 포함한다. 소스 영역(330) 및 드레인 영역(332)은 도 3a에 도시된 바와 같이 게이트 전극(324)의 대향하는 측면 상에서 반도체 바디(308) 내에 형성된다. 소스 영역(330) 및 드레인 영역(332)은 N 타입 또는 P 타입 도전성을 갖는 동일한 도전성 타입을 갖는 물질로 형성된다. 본 발명의 일 실시예에서, 소스 영역(330) 및 드레인 영역(332)은 1×1019 원자/cm3 내지 1×1021 원자/cm3의 도핑 농도를 갖는다. 소스 영역(330)과 드레인 영역(332)은 팁 영역과 같은 상이한 농도 또는 도핑 프로파일을 갖는 하위 영역(가령, 소스 연장부/드레인 연장부)을 포함하거나 균일한 농도로 형성될 수 있다. 본 발명의 일 실시예에서, 3중 게이트 트랜지스터(300)가 대칭 트랜지스터일 때에, 소스 영역(330) 및 드레인 영역(332)은 동일한 도핑 농도 및 프로파일을 가질 것이다. 본 발명의 일 실시예에서, 3중 게이트 트랜지스터(300)가 비대칭 트랜지스터일 때에, 소스 영역(330) 및 드레인 영역(332)은 가변적인 도핑 농도 및 프로파일을 가져서 특정한 전기적 특성을 얻을 수 있을 것이다.
소스 영역(330) 및 드레인 영역(332) 간에 위치한 반도체 바디(308)의 부분은 트랜지스터(300)의 채널 영역(350)을 규정한다. 또한, 채널 영역(350)은 게이트 전극(324)으로 둘러싸인 반도체 바디(308)의 구역으로서 규정될 수 있다. 그러나, 종종, 소스 영역(330) 및 드레인 영역(332)은 가령 확산을 통해서 게이트 전극 아래에서 근소하게 연장되어서 게이트 전극 길이(Lg)보다 근소하게 작은 채널 영역을 규정한다. 본 발명의 일 실시예에서, 채널 영역(350)은 진성이거나 도핑되지 않은 단결정 실리콘이다. 본 발명의 일 실시예에서, 채널 영역은 도핑된 단결정 실리콘이다. 채널 영역(350)이 도핑될 경우에, 통상적으로 1×1016 원자/cm3 내지 1×1019 원자/cm3의 도전성 레벨로 도핑된다. 본 발명의 일 실시예에서, 채널 영역이 도핑될 때에, 통상적으로 소스 영역(330) 및 드레인 영역(332)은 채널 영역과 반대되는 도전성 타입으로 도핑된다. 가령, 소스 영역(330) 및 드레인 영역(332)이 N 타입 도전성을 가질 때에 채널 영역은 P 타입 도전성을 갖는다. 이와 마찬가지로, 소스 영역(330) 및 드레인 영역(332)이 P 타입 도전성을 가질 때에 채널 영역은 N 타입 도전성을 갖는다. 이러한 방식으로 해서, 3중 게이트 트랜지스터(300)는 각기 NMOS 트랜지스터 또는 PMOS 트랜지스터가 될 수 있다. 채널 영역(350)은 균일하게 도핑되거나 비균일하게 도핑되거나 특정 전기적 성능을 갖도록 상이한 농도로 도핑된다. 가령, 채널 영역(350)은 필요하다면 잘 알려진 "헤일로(halo)" 영역을 포함할 수 있다.
반도체 바디를 3 측면 상에서 둘러싸는 게이트 유전체 및 게이트 전극을 제공함으로써, 3중 게이트 트랜지스터는 3 개의 채널 및 3 개의 게이트를 갖게 되고, 도 3a 및 도 3b에 도시된 바와 같이, 제 1 채널(g1)은 실리콘 바디(308)의 측벽(312) 상의 소스 영역과 드레인 영역 간에 연장되며, 제 2 채널(g2)은 실리콘 바디(308)의 상부 표면(316) 상의 소스 영역과 드레인 영역 간에 연장되며, 제 3 채널(g3)은 실리콘 바디(308)의 측벽(310) 상의 소스 영역과 드레인 영역 간에 연장된다. 트랜지스터(300)의 게이트 폭("Gw")은 3 채널 영역의 각 폭의 합이다. 말하자면, 트랜지스터(300)의 게이트 폭은 측벽(310)에서의 반도체 바디(308)의 높이(320), 상부 표면(316)에서의 실리콘 바디(308)의 폭 및 측벽(312)에서의 실리콘 바디(308)의 높이(320)의 합이다. 보다 큰 폭의 트랜지스터가 다수의 디바이스를 서로 결합하여서 획득될 수 있다(가령, 도 3에 도시된 바와 같이 단일 게이트 전극(324)에 의해 둘러싸인 다수의 실리콘 바디(308)를 사용하여 획득된다).
상술한 바와 같이 트랜지스터(300)의 게이트 "폭"은 트랜지스터(300)의 반도체 바디(308)로부터 생성된 3 개의 게이트 폭의 합과 동일하다. 보다 큰 게이트 폭을 갖는 트랜지스터를 제조하기 위해서, 트랜지스터(300)는 도 3a에 도시된 바와 같이 추가적인 또는 다수의 반도체 바디 또는 핑거(308)를 포함한다. 각 반도체 바디(308)는 도 3a 및 도 3b에 도시된 바와 같이 그의 상부 표면 및 측벽 상에 형성된 게이트 유전체 층(322)을 갖는다. 게이트 전극(324)은 각 반도체 바디(308) 상의 각 게이트 유전체 층(322) 상 및 옆에 형성된다. 각 반도체 바디(308)는 도 3a에 도시된 바와 같이 게이트 전극(324)의 대향하는 측면 상에서 반도체 바디(308) 내에 형성된 소스 영역(330)과 드레인 영역(332)을 포함한다. 본 발명의 일 실시예에서, 각 반도체 바디(308)는 다른 반도체 바디(308)와 동일한 폭 및 높이(두께)를 갖게 형성된다. 본 발명의 일 실시예에서, 반도체 바디(308)의 각 소스 영역(330) 및 드레인 영역(332)은 도 3a에 도시된 바와 같이 소스 랜딩 패드(source landing pad)(460) 및 드레인 랜딩 패드(480)를 형성하면서 반도체 바디(308)를 형성하는데 사용되는 물질에 의해서 서로 전기적으로 접속된다. 이와 달리, 소스 영역(330) 및 드레인 영역(332)은 다양한 트랜지스터(300)를 기능 회로로 서로 전기적으로 상호접속시키는데 사용되는 수많은 금속 배선(가령, 금속1, 금속2, 금속 3...)에 의해서 상호 접속될 수 있다. 도 3a에 도시된 트랜지스터(300)의 게이트 폭은 각 반도체 바디(308)에 의해 생성된 게이트 폭의 합과 동일하다. 이로써, 3중 게이트 트랜지스터(300)는 원하는 게이트 폭을 갖도록 형성될 수 있다.
또한, 도 3a 및 도 3b에 도시된 바와 같이, 본 발명의 3중 게이트 디바이스는 디바이스의 채널 영역(350)에 응력을 인가하는 막(360)을 포함한다. 채널 영역에 적합한 응력을 인가함으로써, 디바이스의 캐리어(즉, 전자 또는 정공)의 이동도가 증가하여 디바이스 성능이 개선된다. 본 발명의 일 실시예에서, 응력 포함 막(360)은 도 3a 및 도 3b에 도시된 바와 같이 반도체 바디(308)의 노출된 부분 상 및 주위에 형성된다. 또한, 본 발명의 일 실시예에서, 응력 포함 막(360)은 게이트 전극(324) 상 및 주위에 형성된다. 본 발명의 일 실시예에서, 응력 포함 막(360)은 반도체 바디(308)의 노출된 상부 표면 부분(322) 상에 직접적으로 형성될 뿐만 아니라 반도체 바디(308)의 측벽(310, 312) 상 또는 옆에 직접적으로 형성된다. 또한, 본 발명의 일 실시예에서, 응력 포함 막(360)은 게이트 전극(324) 상 및 옆에 형성된다.
또한, 본 발명의 일 실시예에서, 응력 포함 막(360)은 도 3a 및 도 3b에 도시된 바와 같이 반도체 바디(308)의 채널 영역(350) 아래 및 반도체 바디(308)의 바닥 표면(318) 아래에 바로 형성된다. 이 응력 포함 막은 반도체 바디 아래의 매립된 산화물 또는 절연체(306)의 일부를 제거하고 이어서 응력 포함 막으로 이 부분을 충진함으로써 반도체 바디 아래 부분에 형성될 수 있다. 반도체 바디(308)의 채널 영역(350)의 바닥 표면 바로 아래에 응력 포함 막을 포함함으로써, 응역 물질(360)이 상부 부분 만을 제외하고 채널의 모든 측면을 둘러싸면서 채널에 응력을 제공한다.
본 발명의 일 실시예에서, 막(360)은 반도체 바디, 특히 반도체 바디의 채널 영역이 인장 응력을 받도록 압축력을 갖는다. 인장 응력으로 인해서 채널 영역 폭에서 전자 이동도가 증가하며 이는 캐리어가 주로 전자인 NMOS 디바이스에서 이상적으로 사용된다. 본 발명의 일 실시예에서, 응력 포함 막(360)은 반도체 바디의 채널 영역에서 0.5 내지 5.0 GPa, 특히 이상적으로는 약 1 GPa의 인장력을 생성하는 압축 응력을 갖는 막이다. 본 발명의 일 실시예에서, 응력 포함 막(360)은 10 내지 200nm의 두께를 갖는다. 본 발명의 일 실시예에서, 응력 포함 막(360)은 20 내지 80 % 정도로 캐리어 이동도를 증가시킨다.
본 발명의 일 실시예에서, 막(360)은 반도체 바디, 특히 반도체 바디의 채널 영역이 압축 응력을 받도록 인장력을 갖는다. 압축 응력으로 인해서 채널 영역 폭에서 정공 이동도가 증가하며 이는 캐리어가 주로 정공인 PMOS 디바이스에서 이상적으로 사용된다. 본 발명의 일 실시예에서, 응력 포함 막(360)은 반도체 바디의 채널 영역에서 0.5 내지 5.0 GPa의 압축력을 생성하는 인장 응력을 갖는 막이다. 본 발명의 일 실시예에서, 응력 포함 막(360)은 인장 응력을 갖는 실리콘 질화물 막이다.
본 발명의 일 실시예에서, 산화물 박막 또는 패시베이션 막(319)이 반도체 바디의 하부측면(318) 상에 형성되어 기생 누설 효과를 감소시킨다. 본 발명의 일 실시예에서, 이 패시베이션 막(319)은 SiO2을 포함하며 약 1nm 이상의 두께를 갖는다.
채널 영역(350)의 3 측면이 게이트 전극(324) 및 게이트 유전체(322)로 둘러싸여 있기 때문에, 트랜지스터(300)는 완전하게 공핍된 방식으로 동작할 수 있어서 트랜지스터(300)가 온 상태로 되면 채널 영역(350)은 완전하게 공핍되고 우수한 전기적 특성 및 성능을 제공한다. 즉, 트랜지스터(300)가 온 상태로 되면, 공핍 영역이 채널 영역(350)의 표면에서 반전층을 따라서 채널 영역 내에서 형성된다(즉, 반전층은 반도체 바디의 측면 및 상부 표면 상에 형성된다). 반전층은 소스 영역과 드레인 영역과 동일한 도전성 타입을 가지며 소스 영역과 드레인 영역 간의 도전성 채널을 형성하여 전류가 이 사이에서 흐르게 한다. 공핍 영역은 이 반전층 아래로부터 자유 캐리어를 공핍시킨다. 공핍 영역은 채널 영역(350)의 바닥까지 연장되며 이로써 트랜지스터는 완벽하게 공핍된 트랜지스터가 될 수 있다. 완벽하게 공핍된 트랜지스터는 완전하게 공핍되지 않은 트랜지스터 또는 부분적으로 공핍된 트랜지스터에 비해서 우수한 전기적 성능 특성을 보인다. 가령, 트랜지스터(300)를 완전 공핍 방식으로 동작시킴으로써 트랜지스터(300)는 이상적으로 매우 급격한 하위 임계 구배를 갖는다. 3중 트랜지스터는 80 mV/데케이드(decade), 특히 이상적으로는 약 60 mV/데케이드 이하의 급한 하위 임계 구배를 심지어 반도체 바디의 두께가 30nm 이하일 경우에도 갖는다. 또한, 완전 공핍 방식으로 트랜지스터(300)를 동작시키면 트랜지스터(300)는 개선된 DIBL 저하 효과를 가지며 이로써 보다 우수한 오프 상태 누설 전류 특성이 생성되며 이는 누설 전류가 저감되어 전력 소모가 적어진다. 본 발명의 일 실시예에서, 3중 게이트 트랜지스터(300)는 100 mV/V, 특히 이상적으로는 40 mV/V 이하의 DIBL 효과를 갖는다.
도 6은 30 nm의 게이트 길이(Lg)(602) 및 20nm의 게이트 길이(Lg)(604)를 각기 갖는 완전하게 공핍된 (F.D) 3중 게이트 트랜지스터 및 부분적으로 공핍된 (P.D) 3중 게이트 트랜지스터를 생성하는 바디 높이 및 바디 폭을 제시하는 2 개의 플롯(602, 604)을 도시하고 있다. 본 발명의 일 실시예에서, 바디 높이, 바디 폭 및 게이트 길이는 완전 공핍된 트랜지스터가 형성될 수 있도록 그 크기가 선택된다. 다른 실시예에서, 3중 게이트 트랜지스터는 부분적으로 공핍된 트랜지스터가 형성되도록 하는 바디 높이, 바디 폭 및 게이트 길이를 갖는다.
본 발명의 3중 게이트 트랜지스터는 비평면 디바이스로 지칭되는데 그 이유는 채널 영역(350)의 반전층이 반도체 바디(308) 내에서 수평 방향 및 수직 방향 모두에서 형성되기 때문이다. 본 발명의 반도체 디바이스는 또한 비평면 디바이스로 지칭되는데 그 이유는 게이트 전극(324)으로부터의 전계가 수평 측(g2) 및 수직 측(g1, g3)으로부터 인가되기 때문이다.
본 발명의 일 실시예에서, 3중 게이트 트랜지스터(300)는 도 4에 도시된 바와 같이 응력 포함 막(360)이 형성되기 이전에 형성된 실리콘 또는 다른 반도체 막(410), 측벽 스페이서(420) 및 실리사이드 층(430)과 같은 다른 막 또는 피처를 포함한다. 가령, 본 발명의 일 실시예에서, 반도체 막(410)은 반도체 바디(308)의 소스 영역(330) 및 드레인 영역(332) 상에 형성되어 증가된 소스 영역 및 드레인 영역을 생성한다. 반도체 막(410)은 실리콘 막 또는 실리콘 게르마늄(SixGey)과 같은 실리콘 합금이다. 본 발명의 일 실시예에서, 반도체 막(410)은 소스 영역(330) 및 드레인 영역(332)과 동일한 도전성 타입을 갖는 단결정 실리콘 막이다. 본 발명의 일 실시예에서, 반도체 막은 실리콘이 합금의 대략 1 내지 99 원자 퍼센트를 차지하는 실리콘 게르마늄과 같은 실리콘 합금이다. 반도체 막(410)은 반드시 단결정 실리콘 막일 필요는 없으며 일 실시예에서는 다결정 막이다. 반도체 막(410)은 실리콘 질화물 또는 실리콘 산화물 또는 이들의 조합과 같은 한 쌍의 유전체 측벽 스페이서(420)에 의해서 게이트 전극(324)으로부터 전기적으로 격리된다. 측벽 스페이서(420)는 도 4에 도시된 바와 같이 게이트 전극(324)의 횡적으로 대향하는 측벽(326, 328)을 따라서 연장되어 도 4에 도시된 바와 같이 게이트 전극(324)으로부터 반도체 막(410)을 전기적으로 격리시킨다. 본 발명의 일 실시예에서, 측벽 스페이서(420)는 20 내지 200 Å 두께를 갖는다. 실리콘 또는 반도체 막을 반도체 바디의 소스 영역(330) 및 드레인 영역(332)에 부가하고 증가된 소스 영역 및 드레인 영역을 형성하면, 소스 영역 및 드레인 영역의 두께가 증가하여 트랜지스터(300)에 대한 소스/드레인 접촉 저항을 감소시켜서 전기적 특성 및 성능이 향상된다.
본 발명의 일 실시예에서, 다음으로만 한정되지는 않지만 가령 티타늄 실리사이드, 니겔 실리사이드 및 코발트 실리사이드와 같은 실리사이드 막(430)이 소스 영역(330) 및 드레인 영역(332) 상에 형성된다. 본 발명의 일 실시예에서, 실리사이드 막(430)은 도 4에 도시된 바와 같이 반도체 바디(308)의 실리콘 막(410) 상에 형성된다. 그러나, 실리사이드 막(430)은 실리콘 바디(308)의 상부 표면(316) 상에 바로 형성될 수도 있다. 가령, 실리사이드 막(430)은 실리콘 바디 및 도핑되지 않은 실리콘 막과 같은 실리콘 막을 먼저 형성하고 실리사이드 프로세스 동안 이 실리콘 막을 완전하게 소모시킴으로써 실리콘 바디(308) 상에 형성될 수 있다. 유전체 스페이서(420)로 인해서 실리사이드 막(430)이 자기 정렬 방식으로 실리콘 막(410) 또는 반도체 바디(308) 상에 형성될 수 있다(살리사이드 프로세스: 자기 정렬형 실리사이드 프로세스).
또한, 본 발명의 일 실시예에서, 반도체 또는 실리콘 막(440)이 게이트 전극(324)의 상부 표면 상에 형성되고 이에 대응하게 실리사이드 막(450)이 게이트 전극의 상부 표면(325) 상에 형성될 수 있다. 실리콘 막(440) 및 실리사이드 막(450)은 통상적으로 실리콘 바디(308) 상의 실리콘 막(420) 및 실리사이드 막(430)과 동일한 시간에 형성된다. 게이트 전극 상의 실리사이드 막(450) 상의 실리콘 막(440)의 형성은 게이트 전극에 대한 접촉 저항을 감소시키며 이로써 트랜지스터(300)의 전기적 성능을 개선한다.
본 발명의 실시예들에 따른 3중 게이트 트랜지스터 제조 방법이 이제 도 5a 내지 도 5e를 참조하여 설명된다. 3중 게이트 트랜지스터의 제조는 기판(502)에서 시작된다. 실리콘 또는 반도체 막(508)이 도 5a에 도시된 바와 같이 기판(502) 상에 형성된다. 본 발명의 일 실시예에서, 기판(502)은 도 5a에 도시된 바와 같이 절연 기판이다. 본 발명의 일 실시예에서, 절연 기판(502)은 하부의 단결정 실리콘 기판(504) 및 실리콘 이산화물 막 또는 실리콘 질화물 막과 같은 상부의 절연층(506)을 포함한다. 절연층(506)은 반도체 막(508)을 기판(504)으로부터 전기적으로 격리시키며 일 실시예에서는 두께 200 내지 2000 Å 이다. 절연층(506)은 종종 "매립형 산화물" 층으로 지칭된다. 실리콘 또는 반도체 막(508)이 절연 기판(502) 상에 형성되면, SOI 기판(500)이 생성된다. 본 발명의 다른 실시예에서, 기판(502)은 다음으로 한정되지는 않지만 가령 실리콘 단결정 기판 및 갈륨 아세나이드 기판과 같은 반도체 기판일 수 있다.
반도체 막(508)이 이상적으로는 실리콘 막이지만, 다른 실시예에서는 다음으로만 한정되지는 않지만 25 % 이하의 게르마늄을 포함하는 실리콘 게르마늄 합금 및 갈륨 아세나이드(GaAs), InSb, GaP 및 GsSb와 같은 Ⅲ-Ⅴ족 물질과 같은, 응력을 받았을 때에 캐리어 이동도가 증가되는, 다른 타입의 반도체 막일 수 있다. 본 발명의 일 실시예에서, 반도체 막(508)은 진성(비도핑) 실리콘 막일 수 있다. 다른 실시예에서, 반도체 막(508)은 1×1016 내지 1×1019 원자/cm3의 농도 레벨을 갖는 p 타입 또는 n 타입 도전성 물질로 도핑된다. 반도체 막(508)은 원위치에서 도핑되거나(즉, 증착되면서 도핑되거나) 가령 이온 주입에 의해서 기판(502) 상에 형성된 다음에 도핑될 수 있다. 이렇게 형성한 다음에 도핑하게 되면 PMOS 3중 게이트 트랜지스터 및 NMOS 3중 게이트 트랜지스터 모두가 동일한 절연 기판 상에서 용이하게 제조될 수 있다. 이 시점에서 반도체 바디의 도핑 레벨은 이 트랜지스터의 채널 영역의 도핑 레벨을 결정한다.
반도체 막(508)은 이후에 형성되는 반도체 바디를 위해서 필요한 높이와 대략적으로 동일한 두께로 형성된다. 본 발명의 일 실시예에서, 반도체 막(508)은 30nm 이하, 특히 이상적으로는 20nm 이하의 높이 또는 두께(509)를 갖는다. 본 발명의 일 실시예에서, 반도체 막(508)은 제조된 3중 게이트 트랜지스터에 대해서 필요한 게이트 길이와 대략적으로 동일한 두께로 형성된다. 본 발명의 일 실시예에서, 반도체 막(508)은 디바이스의 원하는 게이트 길이보다 두껍다. 본 발명의 일 실시예에서, 반도체 막(508)은 제조된 3중 게이트 트랜지스터가 원하는 게이트 길이(Lg)에 대해서 완전 공핍 방식으로 동작할 수 있도록 하는 두께로 형성된다.
반도체 막(508)은 임의의 잘 알려진 방식으로 절연 기판(502) 상에 형성된다. SIMOX 기술로 잘 알려진, 절연 기판 상에 실리콘을 형성하는 한 방법에서, 산소 원자는 단결정 기판 내부로 높은 도핑 농도로 해서 주입되고 이어서 어닐링하여서 기판 내부에서 매립형 산화물(506)을 형성한다. 매립형 산화물 상의 단결정 실리콘 기판의 일부는 실리콘 막(508)이 된다. 현재 SOI 기판을 형성하는데 사용되고 있는 다른 기술은 본딩된 SOI로 일반적으로 지칭되는 에피택셜 실리콘 막 전사 기술이다. 이 기술에서 제 1 실리콘 웨이퍼는 그의 표면 상에 성장한 얇은 산화물 층을 가지며 이 산화물 층은 나중에 SOI 구조물에서 매립형 산화물(506) 구실을 한다. 다음에, 높은 농도의 수소 주입이 제 1 실리콘 웨이퍼 내부로 이루어져 제 1 웨이퍼의 실리콘 표면 아래에 고 응력 영역을 형성한다. 이어서, 제 1 웨이퍼가 뒤집어져 제 2 실리콘 웨이퍼의 표면에 본딩된다. 이어서, 제 1 웨이퍼 기판은 수소 주입에 의해서 생성된 고 응력 영역을 따라서 클리브된다(cleaved). 이로써, SOI 구조물은 상부에 실리콘 박층을 가지고 단결정 실리콘 기판의 상부 상의 모든 영역 바로 아래에 매립형 산화물을 갖는다. HC 평탄화 또는 CMP와 같은 잘 알려진 평탄화 기술이 사용되어 반도체 막(508)의 상부 표면을 원하는 두께로 평탄화할 수 있다.
이 시점에, 필요하면, 격리 영역(미도시)이 SOI 기판(502) 내에 형성되어서 그 내부에 형성될 다양한 트랜지스터를 서로 격리시킬 수 있다. 이 격리 영역은 3중 게이트 트랜지스터를 둘러싸는 기판 막(508)의 일부를 가령 잘 알려진 포토리소그래피 및 에칭 기술을 사용하여 에칭하고 이어서 에칭된 영역을 SiO2와 같은 절연막으로 충진함으로써 형성될 수 있다.
다음에, 표준 포토리소그래피 및 에칭 기술이 사용되어 도 5b에 도시된 바와 같이 3중 게이트 트랜지스터의 반도체 막(508) 내에 반도체 바디 또는 핑거(520)를 규정한다. 본 발명의 일 실시예에서, 핑거 또는 바디(520)는 제조된 트랜지스터의 게이트 길이(Lg)의 원하는 폭보다 크거나 같은 폭(518)을 갖도록 패터닝된다. 이러한 방식에서, 트랜지스터를 제조하는데 사용되는 가장 엄격한 포토리소그래피 제약 사항들은 게이트 전극 패터닝과 관련이 있으며 반도체 바디 또는 핑거 규정과는 상관이 없다. 본 발명의 일 실시예에서, 반도체 바디 또는 핑거는 30nm 이하의, 특히 이상적으로는 20nm 이하의 폭(518)을 가질 것이다. 본 발명의 일 실시예에서, 반도체 바디 또는 핑거는 실리콘 바디 높이(509)와 대략 동일한 폭(518)을 가질 것이다. 본 발명의 일 실시예에서, 핑거 또는 바디(520)는 반도체 바디 높이(509)에 대해서 0.5 배 내지 2 배 정도인 폭(518)을 가질 것이다.
또한, 도 5b에 도시된 바와 같이, 포토리소그래피 및 에칭 단계가 사용되어 반도체 막으로부터 소스 랜딩 패드(522) 및 드레인 랜딩 패드(524)를 형성한다. 이 랜딩 패드들은 다양한 소스 영역을 함께 접속시키고 다양한 드레인 영역들을 함께 접속시킨다.
이어서, 반도체 막(508)이 본 기술 분야에서 잘 알려진 블랭킷 증착된 포토레지스트 막을 마스킹하고 노광하고 현상함으로써 포토레지스트 마스크를 형성하는 단계 및 이어서 포토레지스트 마스크와 정렬시켜서 반도체 막을 에칭하는 단계를 포함하는 잘 알려진 포토리소그래피 및 에칭 기술을 사용하여 핑거 및 랜딩 패드로 패터닝되어서 하나 이상의 실리콘 바디 또는 핑거(520)를 형성하고 소스 랜딩 패드(522) 및 드레인 랜딩 패드(524)를 각기 형성한다. 반도체 막(508)은 그 하부에 존재하는 매립된 산화물 층(506)이 노출될 때까지 에칭된다. 이방성 플라즈마 에칭 또는 반응성 이온 에칭과 같은 잘 알려진 반도체 에칭 기술이 사용되어 포토레지스트 마스크와 정렬하여서 반도체 막(508)을 에칭한다. 하나 이상의 실리콘 바디 또는 핑거(520)를 형성하고 소스 랜딩 패드(522) 및 드레인 랜딩 패드(524)를 각기 형성한 후에, 포토레지스트 마스크는 화화적 제거 방식 및 산소 분위기 에싱(ashing) 방식에 의해서 제거되어 도 5b에 도시된 바와 같은 기판이 생성된다.
다음으로, 게이트 유전체 층(526)이 각 반도체 바디(520) 상 및 주위에 형성된다. 즉, 게이트 유전체 층(526)은 각 반도체 바디(520)의 상부 표면(527) 상에 형성될 뿐만 아니라 각 반도체 바디(520)의 횡적으로 대향하는 측벽(528, 529) 상에도 형성된다. 게이트 유전체 층은 증착된 유전체 또는 성장된 유전체이다. 본 발명의 일 실시예에서, 게이트 유전체(526)는 습식/건식 산화 프로세스에 의해서 성장한 실리콘 이산화물 유전체 막이다. 본 발명의 일 실시예에서, 실리콘 산화물 막은 5 내지 15 Å 두께를 갖도록 성장한다. 본 발명의 일 실시예에서, 게이트 유전체 막(526)은 다음으로만 한정되는 것은 아니지만 가령 Ta2O5, TiO2, HfO2, HfSiOxNY, ZrO2 및 LaO2 와 같은 금속 산화물 유전체와 같은 높은 K 값을 갖는 게이트 유전체 층이다. 게이트 유전체 막(526)은 다음으로만 한정되지는 않지만 가령 PZT 및 BST와 같은 높은 K 값을 갖는 다른 타입의 게이트 유전체일 수 있다. 이러한 고 유전 상수를 갖는 유전체 막은 CVD와 같은 임의의 잘 알려진 기술에 의해서 형성될 수 있다.
다음으로, 도 5c에 도시된 바와 같이, 게이트 전극(530)이 형성된다. 도 5c에 도시된 바와 같이, 게이트 전극(530)은 각 반도체 바디(520)의 상부 표면(527) 상에 형성된 게이트 유전체 층(526) 상에 형성될 뿐만 아니라 각 반도체 바디(520)의 횡적으로 대향하는 각 측벽(528, 529) 상 또는 옆에 형성된 게이트 유전체 층(526) 상 또는 옆에 형성된다. 게이트 전극(530)은 절연 기판(502) 상에 형성된 바닥 표면에 대향하는 상부 표면(532)을 가지며 한 쌍의 횡적으로 대향하는 측벽(534, 536)을 갖는다. 서로 대향하는 측벽(534, 536) 간의 거리는 3중 게이트 트랜지스터의 게이트 길이(Lg)(538)를 결정한다. 게이트 전극(530)은 기판 상에 적합한 게이트 전극 물질을 블랭킷 증착하고 이어서 이 물질을 전극(530)으로 패터닝함으로써 형성된다. 게이트 전극은 200 내지 3000 Å 의 두께(533)를 갖는다. 일 실시예에서, 게이트 전극은 반도체 바디(520)의 높이(509)의 적어도 3 배 정도의 높이 또는 두께(533)를 갖는다. 이어서, 게이트 전극 물질이 잘 알려진 포토리소그래피 및 에칭 기술에 의해서 패터닝되어서 게이트 전극 물질로부터 게이트 전극(530)을 형성한다. 본 발명의 일 실시예에서, 게이트 전극 물질은 다결정 실리콘을 포함한다. 본 발명의 다른 실시예에서, 게이트 전극 물질은 다결정 실리콘 게르마늄 합금을 포함한다. 본 발명의 또 다른 실시예에서, 게이트 전극 물질은 텅스텐, 탄탈륨 및 이들의 질화물과 같은 금속 막을 포함한다. 게이트 전극(530)은 도 5b의 기판 상에 게이트 전극 물질을 블랭킷 증착하고 잘 알려진 포토리소그래피 및 에칭 기술을 사용하여 게이트 전극 물질을 패터닝하여서 형성된다. 본 발명의 일 실시예에서, 게이트 전극(530)을 규정하는데 사용되는 포토리소그래피 프로세스는 3중 게이트 트랜지스터를 제조하는데 사용되는 최소 크기 포토리소그래피 프로세스를 사용한다(즉, 본 발명의 일 실시예에서, 게이트 전극(530)의 게이트 길이(Lg)(538)는 포토리소그래피에 의해 규정된 트랜지스터의 최소 피처 크기를 갖는다). 본 발명의 일 실시예에서, 게이트 길이(538)는 30nm 이하인, 특히 이상적으로는 20nm 이하인 길이를 갖는다.
다음으로, 트랜지스터의 소스 영역과 드레인 영역이 게이트 전극(530)의 대향하는 측면 상에서 반도체 바디(520) 내부에 형성된다. 본 발명의 일 실시예에서, 소스 영역과 드레인 영역은 팁 또는 소스/드레인 연장 영역을 포함한다. 소스 및 드레인 연장 영역(540, 542)은 게이트 전극(530)의 양측(532, 534) 상의 반도체 바디(520) 내부로 도펀트(544)를 주입하여 도 5d에 도시된 바와 같이 팁 영역(540, 542)을 형성함으로써 이루어진다. PMOS 3중 게이트 트랜지스터의 경우에, 반도체 바디 또는 핑거(520)는 1×1020 내지 1×1021 원자/cm3의 도핑 농도의 p 타입 도전성 물질로 도핑된다. NMOS 3중 게이트 트랜지스터의 경우에, 반도체 바디 또는 핑거(520)는 1×1020 내지 1×1021 원자/cm3의 도핑 농도의 n 타입 도전성 물질로 도핑된다. 본 발명의 일 실시예에서, 이온 주입은 수직 방향(즉, 기판(502)에 수직인 방향)으로 일어난다. 게이트 전극(530)이 폴리실리콘 게이트 전극이면, 이는 이온 주입 동안 도핑될 수 있다. 게이트 전극(530)은 이온 주입 단계가 3중 게이트 트랜지스터의 채널 영역을 도핑하는 것을 막는 마스크 역할을 한다. 채널 영역(548)은 게이트 전극(530)에 의해 둘러싸인 또는 아래에 위치한 실리콘 바디(520)의 부분이다. 게이트 전극(530)이 금속 전극이면, 유전체 하드 마스크가 사용되어서 이온 주입 동안 도핑을 차단한다. 다른 실시예에서, 고체 소스 확산과 같은 다른 방법이 사용되어 반도체 바디를 도핑하여 소스 및 드레인 연장 영역을 형성한다.
본 발명의 실시예에서, "헤일로" 영역이 소스 및 드레인 영역을 형성하기 이전에 또는 소스 및 드레인 연장 영역을 형성하기 이전에 실리콘 바디 내부에 형성될 수 있다. 헤일로 영역은 트랜지스터의 채널 영역 내에 형성된 도핑 영역이며 트랜지스터의 채널 영역의 도핑 농도보다 근소하게 높은 농도를 갖는 동일한 도전성 타입을 갖는 영역이다. 헤일로 영역은 큰 경사의 이온 주입 기술을 사용하여서 게이트 전극 아래에 도펀트를 이온 주입하여서 형성될 수 있다.
다음으로, 필요하면, 도 5c에 도시된 기판이 추가 처리되어 소스 영역 및 드레인 영역뿐만 아니라 게이트 전극에도 실리콘을 증착하여 증가된 소스 및 드레인 영역을 형성하고, 강하게 도핑된 소스/드레인 접촉 영역을 형성하며, 소스 및 드레인 접촉 영역 및 게이트 전극 상에 실리사이드를 형성하는 등과 같은 추가적인 피처(features)가 형성된다.
다음으로, 도 5d에 도시된 바와 같이, 반도체 핑거 또는 바디 아래 및 옆에 위치한 매립형 산화물 층(506)이 제거되어 매립형 산화물 층 내에 공기 갭(560)이 생성된다. 이어서, 매립형 산화물 층이 반도체 바디를 실질적으로 에칭하지 않고서 매립형 절연막을 선택적으로 에칭하는 선택적 에칭을 사용하여 제거될 수 있다. 반도체 바디는 실리콘이고 매립형 절연층(506)은 실리콘 산화물일 때에, 매립형 산화물 층은 버퍼링된 HF 에천트로 선택적으로 에칭된다. 반도체 바디를 에칭하지 않고서 매립형 절연층을 선택적으로 에칭하는 임의의 적합한 습식 또는 건식 에칭 기술이 사용되어 공기 갭(560)을 형성할 수 있다. 10 이상의 선택도를 갖는 에천트가 바람직하다.
매립형 절연체 에칭은 디바이스의 레이아웃에 따라서 층을 패터닝하거나 하지 않고 웨이퍼 상에서 수행될 수 있다. 통상적으로, 패터닝된 포토레지스트 마스크가 사용되어 후속 응력 막을 수용할 트랜지스터를 노출시키고 응력 막을 포함하지 않는 트랜지스터를 피복한다.
본 발명의 일 실시예에서, 반도체 핑거 또는 바디 아래로부터 매립형 절연막을 제거한 후에, 짧은 산화 또는 패시베이션 단계가 수행되어 기생 누설 효과를 감소시키기 위해서 노출된 핑거의 바닥을 패시베이션한다. 임의의 적합한 산화 또는 패시베이션 프로세스가 사용되어 패시베이팅 유전체를 형성할 수 있다. 본 발명의 일 실시예에서, 핑거의 바닥은 SiO2에 의해서 패시베이션되어 약 1nm 이상의 두께를 갖는 패시베이션 산화 막을 형성한다.
하부의 절연막(506)이 제거되고 패시베이션 또는 산화가 완료되면, 응력 포함 막(560) 충진 단계가 시작된다. 이 응력 포함 막(560)은 소스에서 드레인으로의 단락을 방지하기 위해서 절연막이어야 한다. 이 응력 포함 막의 목적은 디바이스의 채널 영역에 응력을 제공하는 것이다. 이 응력 포함 막 내의 응력의 타입은 제조된 디바이스의 타입에 따른다. 캐리어가 주로 전자인 경우인 NMOS 디바이스의 경우에, 채널 영역은 인장 응력을 받아서 전자의 이동도를 높일 필요가 있다. 채널 영역이 인장 응력을 받도록 하기 위해서, 응력 포함 막(560)은 압축 응력 막일 필요가 있다. 도한, 이 응력 포함 막(560)을 형성하는데 사용되는 프로세스는 수평 표면과 수직 표면 상에 동일하게 막을 블랭킷 증착할 수 있는 기상 증착과 같은 부합적 프로세스이어야 하며 반도체 바디 아래 부분을 충진할 수 있어야 한다. 응력 포함 막은 바람직하게는 디바이스의 반도체 바디 또는 핑거 아래 부분을 완벽하게 충진할 수 있어야 한다. 이는 핑거를 좁게 하거나 하부에 존재하는 절연막 층(506)을 보다 두껍게 하여서 채널 영역 아래의 충진 부분의 종횡비를 개선시킴으로써 용이하게 될 수 있다. 본 발명의 일 실시예에서, 응력 포함 막은 압축 응력을 갖는 실리콘 질화물 막이다. 본 발명의 일 실시예에서, NMOS 디바이스는 DCS(디클로로 실란) 및 암모니아를 포함하는 에천트 가스 혼합물(NH3)을 사용하는 CVD에 의해서 형성된 압축 응력을 갖는 실리콘 질화물 막으로 제조될 수 있다. BTBAS가 DCS 대신에 사용될 수 있다. 이러한 프로세스는 수평 표면과 수직 표면을 동일하게 증착시키면서 기판 상에 실리콘 질화물 막을 블랭킷 증착할 수 있으며 실리콘 바디 아래의 공기 갭 부분을 충진할 수 있게 한다.
캐리어가 주로 정공인 PMOS 디바이스의 경우에, 채널 영역은 정공의 이동도를 높이기 위해서 압축 응력을 받아야 한다. 채널이 압축 응력을 받도록 하기 위해서, 응력 포함 막은 인장 응력을 갖는 막이어야 한다. 본 발명의 일 실시예에서, 이 응력 포함 막은 인장 응력을 갖는 실리콘 질화물 막이다.
본 발명의 일 실시예에서, 도 5e에 도시된 바와 같이 응력 포함 막은 반도체 바디 및 채널 영역 아래의 공기 갭 영역을 완벽하게 충진하고 게이트 전극 및 반도체 바디를 완벽하게 둘러싸도록 충분한 두께를 가져야 한다. 이 응력 포함 막은 이 디바이스를 완벽하게 둘러싸며 응력을 보다 넓은 구역에 제공하며 이로써 채널 영역에 원하는 응력을 제공하여 캐리어 이동도를 높인다. 또한, 본 발명의 실시예들에서, 응력 포함 막의 물성은 디바이스의 성능의 측면에서 막을 최적화하기 위해서 증착 동안 변화될 수 있다.
증착이 완료되면, 마스킹 및 에칭 단계가 사용되어 필요없는 부분에서 이 응력 포함 막을 제거하고 개별 트랜지스터를 기능 회로로 함께 전기적으로 접속하는 금속 상호 배선부 및 층간 유전체와 같은 후공정 피처들을 형성하기 위해서 통상적인 방식으로 공정이 계속될 것이다.
이로써, 응력 포함 막을 갖는 새로운 비평면 디바이스 및 이의 제조 방법이 기술되었다.

Claims (29)

  1. 반도체 디바이스에 있어서,
    기판 상에 형성되며 상부 표면 및 횡적으로 대향하는 측벽을 갖는 반도체 바디와,
    상기 반도체 바디의 상기 상부 표면 및 상기 반도체 바디의 상기 횡적으로 대향하는 측벽 상에 형성된 게이트 유전체와,
    상기 반도체 바디의 상기 상부 표면 상의 상기 게이트 유전체 상에 및 상기 게이트 유전체에 인접하게 상기 반도체 바디의 상기 횡적으로 대향하는 측벽 상에 형성된 게이트 전극과,
    상기 반도체 바디에 인접하여 형성되어 상기 반도체 바디에 응력을 제공하는 막을 포함하는
    반도체 디바이스.
  2. 제 1 항에 있어서,
    상기 막은 상기 반도체 바디에 압축 응력을 제공하는 반도체 디바이스.
  3. 제 1 항에 있어서,
    상기 막은 상기 반도체 바디에 인장 응력을 제공하는 반도체 디바이스.
  4. 제 1 항에 있어서,
    상기 막은 인장 응력을 갖는 반도체 디바이스.
  5. 제 1 항에 있어서,
    상기 막은 압축 응력을 갖는 반도체 디바이스.
  6. 제 5 항에 있어서,
    상기 압축 응력을 갖는 막은 실리콘 질화물을 포함하는 반도체 디바이스.
  7. 제 1 항에 있어서,
    상기 반도체 바디는 단결정 실리콘 막인 반도체 디바이스.
  8. 제 1 항에 있어서,
    상기 반도체 바디는 실리콘, 게르마늄, 실리콘 게르마늄, 갈륨 아세나이드, InSb, GaP, GaSb 및 탄소 나노튜브로 구성된 그룹으로부터 선택되는 반도체 디바이스.
  9. 반도체 디바이스에 있어서,
    절연 기판 상에 형성되며 바닥 표면에 대향하는 상부 표면 및 서로 횡적으로 대향하는 제 1 및 제 2 측벽을 갖는 단결정 실리콘 바디와,
    상기 단결정 실리콘 바디의 상기 상부 표면 및 상기 단결정 실리콘 바디의 상기 횡적으로 대향하는 제 1 및 제 2 측벽 상에 형성된 게이트 유전체와,
    상기 게이트 유전체 상에 및 상기 단결정 실리콘 바디의 상기 상부 표면 상에, 및 상기 게이트 유전체에 인접하게 상기 단결정 실리콘 바디의 상기 횡적으로 대향하는 제 1 및 제 2 측벽 상에 형성된 게이트 전극과,
    상기 게이트 전극의 대향하는 측면 상에서 상기 단결정 실리콘 바디 내부에 형성된 한 쌍의 소스/드레인 영역과,
    상기 단결정 실리콘 바디 및 상기 게이트 전극 주위에 형성되어 상기 반도체 디바이스의 채널 영역에 응력을 제공하는 응력 유도 막
    을 포함하는 반도체 디바이스.
  10. 제 9 항에 있어서,
    상기 응력 유도 막은 압축 응력을 가지며 상기 채널 영역에 인장 응력을 인가하는 반도체 디바이스.
  11. 제 10 항에 있어서,
    상기 응력 유도 막은 실리콘 질화물 막을 포함하는 반도체 디바이스.
  12. 제 9 항에 있어서,
    상기 응력 유도 막은 인장 응력을 가지며 상기 채널 영역에 압축 응력을 인가하는 반도체 디바이스.
  13. 제 10 항에 있어서,
    상기 단결정 실리콘 바디의 채널 영역은 1×1016 내지 1×1019 원자/cm3 의 농도 레벨을 갖는 p 타입 전도도로 도핑되는 반도체 디바이스.
  14. 제 12 항에 있어서,
    상기 단결정 실리콘 바디의 채널 영역은 1×1016 내지 1×1019 원자/cm3 의 농도 레벨을 갖는 n 타입 전도도로 도핑되는 반도체 디바이스.
  15. 제 9 항에 있어서,
    상기 응력 유도 막은 상기 단결정 실리콘 바디 및 상기 게이트 전극을 완전하게 둘러싸는 반도체 디바이스.
  16. 제 9 항에 있어서,
    성장된 산화물 박층이 상기 단결정 실리콘 바디의 바닥과 상기 응력 유도 막 사이에 형성된 반도체 디바이스.
  17. 반도체 디바이스 제조 방법에 있어서,
    절연 기판 상에 상부 표면 및 횡적으로 대향하는 측벽을 갖는 반도체 바디를 형성하는 단계와,
    상기 반도체 바디의 상기 상부 표면 및 상기 반도체 바디의 상기 횡적으로 대향하는 측벽 상에 게이트 유전체를 형성하는 단계와,
    상기 반도체 바디의 상기 상부 표면 상에 및 상기 게이트 유전체에 인접하게 상기 반도체 바디의 상기 횡적으로 대향하는 측벽 상에 게이트 전극을 형성하는 단계와,
    상기 반도체 바디에 응력을 제공하는 박막을 상기 반도체 바디에 인접하게 형성하는 단계
    를 포함하는 반도체 디바이스 제조 방법.
  18. 제 17 항에 있어서,
    상기 박막은 상기 반도체 바디에 압축 응력을 제공하는 반도체 디바이스 제조 방법.
  19. 제 17 항에 있어서,
    상기 박막은 상기 반도체 바디에 인장 응력을 제공하는 반도체 디바이스 제조 방법.
  20. 제 17 항에 있어서,
    상기 박막은 인장 응력을 갖는 반도체 디바이스 제조 방법.
  21. 제 17 항에 있어서,
    상기 박막은 압축 응력을 갖는 반도체 디바이스 제조 방법.
  22. 제 17 항에 있어서,
    상기 반도체 바디는 단결정 실리콘 막을 포함하는 반도체 디바이스 제조 방법.
  23. 제 17 항에 있어서,
    상기 반도체 바디는 실리콘, 게르마늄, 실리콘 게르마늄, 갈륨 아세나이드, InSb, GaP, GaSb 및 탄소 나노튜브로 구성된 그룹으로부터 선택되는 반도체 디바이스 제조 방법.
  24. 비평면 트랜지스터를 제조하는 방법에 있어서,
    절연 기판 상에 형성된 단결정 실리콘 막을 상기 절연 기판 상에 형성된 바디 표면에 대향하는 상부 표면 및 서로 횡적으로 대향하는 제 1 및 제 2 측벽을 갖는 실리콘 바디로 패터닝하는 단계와,
    상기 실리콘 바디의 상기 상부 표면 및 상기 실리콘 바디의 상기 제 1 및 제 2 측벽 상에 게이트 유전체 층을 형성하는 단계와,
    상기 실리콘 바디 및 상기 절연 기판 상에 게이트 물질을 증착하는 단계와,
    상기 게이트 물질을 패터닝하여 상기 실리콘 바디의 상기 상부 표면 상의 상기 게이트 유전체 층 상에 및 상기 게이트 유전체 층에 인접하게 상기 실리콘 바디의 상기 제 1 및 제 2 측벽 상에 게이트 전극을 형성하되, 상기 실리콘 바디의 상기 횡적으로 대향하는 측벽에 수직으로 연장된 횡적으로 대향하는 측벽을 갖는 게이트 전극을 형성하는 단계와,
    상기 게이트 전극의 상기 횡적으로 대향하는 측벽의 대향하는 측면 상에서 상기 실리콘 바디 내부에 소스 영역 및 드레인 영역 쌍을 형성하는 단계로서, 상기 실리콘 바디 내부의 상기 소스 영역과 드레인 영역 간의 영역은 채널 영역을 형성하는 단계와,
    상기 실리콘 바디의 상기 채널 영역의 부분의 아래로부터 및 상기 실리콘 바디의 상기 소스 영역과 드레인 영역의 부분 아래로부터 상기 절연 기판의 일부분을 제거하는 단계와,
    상기 게이트 전극 아래의 상기 실리콘 바디의 노출된 부분 아래에 및 상기 게이트 전극 아래의 상기 소스 영역과 드레인 영역의 노출된 부분 아래에 응력을 갖는 박막을 형성하는 단계
    를 포함하는 비평면 트랜지스터 제조 방법.
  25. 제 24 항에 있어서,
    상기 박막은 상기 채널 영역에 인장 응력을 제공하는 압축 응력을 갖는 비평면 트랜지스터 제조 방법.
  26. 제 24 항에 있어서,
    상기 박막은 상기 채널 영역에 압축 응력을 제공하는 인장 응력을 갖는 비평면 트랜지스터 제조 방법.
  27. 제 24 항에 있어서,
    상기 실리콘 바디의 상기 횡적으로 대향하는 측벽 및 상기 게이트 전극의 상기 횡적으로 대향하는 측벽에 인접하게 상기 박막을 형성하는 단계를 더 포함하는 비평면 트랜지스터 제조 방법.
  28. 제 24 항에 있어서,
    상기 박막의 형성 단계는 상기 실리콘 바디 및 상기 게이트 전극이 상기 박막에 의해서 완벽하게 둘러싸일 때까지 계속되는 비평면 트랜지스터 제조 방법.
  29. 제 24 항에 있어서,
    상기 실리콘 바디를 노출시킨 이후 및 상기 박막을 형성하기 이전에 상기 실리콘 바디의 상기 바닥 부분을 산화시키는 단계를 더 포함하는 비평면 트랜지스터 제조 방법.
KR1020057025056A 2003-06-27 2003-12-12 반도체 디바이스, 반도체 디바이스 제조 방법 및 비평면 트랜지스터 제조 방법 KR100817949B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/607,632 US6909151B2 (en) 2003-06-27 2003-06-27 Nonplanar device with stress incorporation layer and method of fabrication
US10/607,632 2003-06-27

Publications (2)

Publication Number Publication Date
KR20060028431A KR20060028431A (ko) 2006-03-29
KR100817949B1 true KR100817949B1 (ko) 2008-03-31

Family

ID=33540322

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057025056A KR100817949B1 (ko) 2003-06-27 2003-12-12 반도체 디바이스, 반도체 디바이스 제조 방법 및 비평면 트랜지스터 제조 방법

Country Status (7)

Country Link
US (5) US6909151B2 (ko)
EP (2) EP2472587B1 (ko)
KR (1) KR100817949B1 (ko)
CN (1) CN1577889B (ko)
AU (1) AU2003297043A1 (ko)
TW (1) TWI298519B (ko)
WO (1) WO2005010997A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014209403A1 (en) * 2013-06-29 2014-12-31 Intel Corporation Piezoresistive resonator with multi-gate transistor

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7085153B2 (en) * 2003-05-13 2006-08-01 Innovative Silicon S.A. Semiconductor memory cell, array, architecture and device, and method of operating same
US20040228168A1 (en) * 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6960517B2 (en) * 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6943405B2 (en) * 2003-07-01 2005-09-13 International Business Machines Corporation Integrated circuit having pairs of parallel complementary FinFETs
EP1519421A1 (en) * 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US7335934B2 (en) * 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US7184298B2 (en) * 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US20070075372A1 (en) * 2003-10-20 2007-04-05 Nec Corporation Semiconductor device and manufacturing process therefor
KR100515061B1 (ko) * 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
KR100585111B1 (ko) * 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100605497B1 (ko) * 2003-11-27 2006-07-28 삼성전자주식회사 에스오아이 기판들을 제조하는 방법들, 이를 사용하여반도체 소자들을 제조하는 방법들 및 그에 의해 제조된반도체 소자들
WO2005064682A1 (en) 2003-12-08 2005-07-14 International Business Machines Corporation Semiconductor memory device with increased node capacitance
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
KR100574971B1 (ko) * 2004-02-17 2006-05-02 삼성전자주식회사 멀티-게이트 구조의 반도체 소자 및 그 제조 방법
US7737051B2 (en) * 2004-03-10 2010-06-15 Tokyo Electron Limited Silicon germanium surface layer for high-k dielectric integration
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7253650B2 (en) * 2004-05-25 2007-08-07 International Business Machines Corporation Increase productivity at wafer test using probe retest data analysis
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
JP2006019578A (ja) * 2004-07-02 2006-01-19 Toshiba Corp 半導体装置及びその製造方法
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7268399B2 (en) * 2004-08-31 2007-09-11 Texas Instruments Incorporated Enhanced PMOS via transverse stress
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7402872B2 (en) * 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US7301803B2 (en) * 2004-12-22 2007-11-27 Innovative Silicon S.A. Bipolar reading technique for a memory cell having an electrically floating body transistor
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7135365B2 (en) * 2005-03-30 2006-11-14 United Microelectronics Corp. Method of manufacturing MOS transistors
US20060226453A1 (en) * 2005-04-12 2006-10-12 Wang Everett X Methods of forming stress enhanced PMOS structures
TWI259534B (en) * 2005-05-20 2006-08-01 Ind Tech Res Inst Method for fabricating semiconductor device
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
KR100618900B1 (ko) * 2005-06-13 2006-09-01 삼성전자주식회사 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7649230B2 (en) * 2005-06-17 2010-01-19 The Regents Of The University Of California Complementary field-effect transistors having enhanced performance with a single capping layer
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US20070023839A1 (en) * 2005-07-27 2007-02-01 International Business Machines Corporation Finfet gate formed of carbon nanotubes
US20070023833A1 (en) * 2005-07-28 2007-02-01 Serguei Okhonin Method for reading a memory cell having an electrically floating body transistor, and memory cell and array implementing same
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7606066B2 (en) * 2005-09-07 2009-10-20 Innovative Silicon Isi Sa Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same
US7323374B2 (en) * 2005-09-19 2008-01-29 International Business Machines Corporation Dense chevron finFET and method of manufacturing same
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7683430B2 (en) * 2005-12-19 2010-03-23 Innovative Silicon Isi Sa Electrically floating body memory cell and array, and method of operating or controlling same
KR100668350B1 (ko) * 2005-12-20 2007-01-12 삼성전자주식회사 낸드 구조의 멀티-비트 비휘발성 메모리 소자 및 그 제조방법
US7656049B2 (en) 2005-12-22 2010-02-02 Micron Technology, Inc. CMOS device with asymmetric gate strain
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7301210B2 (en) * 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
US7542345B2 (en) * 2006-02-16 2009-06-02 Innovative Silicon Isi Sa Multi-bit memory cell having electrically floating body transistor, and method of programming and reading same
US7439105B2 (en) 2006-03-02 2008-10-21 Freescale Semiconductor, Inc. Metal gate with zirconium
US8936702B2 (en) * 2006-03-07 2015-01-20 Micron Technology, Inc. System and method for sputtering a tensile silicon nitride film
EP1835530A3 (en) * 2006-03-17 2009-01-28 Samsung Electronics Co., Ltd. Non-volatile memory device and method of manufacturing the same
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7492632B2 (en) * 2006-04-07 2009-02-17 Innovative Silicon Isi Sa Memory array having a programmable word length, and method of operating same
JP2007299991A (ja) * 2006-05-01 2007-11-15 Toshiba Corp 半導体装置及びその製造方法
WO2007128738A1 (en) 2006-05-02 2007-11-15 Innovative Silicon Sa Semiconductor memory cell and array using punch-through to program and read same
US7615427B2 (en) * 2006-06-05 2009-11-10 Chartered Semiconductor Manufacturing, Ltd. Spacer-less low-k dielectric processes
US7629603B2 (en) * 2006-06-09 2009-12-08 Intel Corporation Strain-inducing semiconductor regions
US7825400B2 (en) 2006-06-09 2010-11-02 Intel Corporation Strain-inducing semiconductor regions
US7521775B2 (en) * 2006-06-13 2009-04-21 Intel Corporation Protection of three dimensional transistor structures during gate stack etch
US8069377B2 (en) 2006-06-26 2011-11-29 Micron Technology, Inc. Integrated circuit having memory array including ECC and column redundancy and method of operating the same
US7542340B2 (en) 2006-07-11 2009-06-02 Innovative Silicon Isi Sa Integrated circuit including memory array having a segmented bit line architecture and method of controlling and/or operating same
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
KR100801063B1 (ko) * 2006-10-02 2008-02-04 삼성전자주식회사 게이트 올 어라운드형 반도체 장치 및 그 제조 방법
US7560344B2 (en) * 2006-11-15 2009-07-14 Samsung Electronics Co., Ltd. Semiconductor device having a pair of fins and method of manufacturing the same
JP4267659B2 (ja) * 2006-12-05 2009-05-27 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US20080173942A1 (en) * 2007-01-22 2008-07-24 International Business Machines Corporation STRUCTURE AND METHOD OF MANUFACTURING A STRAINED FinFET WITH STRESSED SILICIDE
KR101277402B1 (ko) 2007-01-26 2013-06-20 마이크론 테크놀로지, 인코포레이티드 게이트형 바디 영역으로부터 격리되는 소스/드레인 영역을 포함하는 플로팅-바디 dram 트랜지스터
US20080185722A1 (en) * 2007-02-05 2008-08-07 Chung-Shi Liu Formation process of interconnect structures with air-gaps and sidewall spacers
US7666723B2 (en) * 2007-02-22 2010-02-23 International Business Machines Corporation Methods of forming wiring to transistor and related transistor
KR100864631B1 (ko) * 2007-02-23 2008-10-22 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US7821061B2 (en) * 2007-03-29 2010-10-26 Intel Corporation Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications
US8518774B2 (en) 2007-03-29 2013-08-27 Micron Technology, Inc. Manufacturing process for zero-capacitor random access memory circuits
US7649228B2 (en) * 2007-05-14 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming floating body RAM using bulk silicon substrate
US8064274B2 (en) 2007-05-30 2011-11-22 Micron Technology, Inc. Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and/or controlling same
US8085594B2 (en) 2007-06-01 2011-12-27 Micron Technology, Inc. Reading technique for memory cell with electrically floating body transistor
US7851865B2 (en) * 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
JP4455632B2 (ja) * 2007-09-10 2010-04-21 株式会社東芝 半導体装置
US7679139B2 (en) * 2007-09-11 2010-03-16 Honeywell International Inc. Non-planar silicon-on-insulator device that includes an “area-efficient” body tie
US20090072312A1 (en) * 2007-09-14 2009-03-19 Leland Chang Metal High-K (MHK) Dual Gate Stress Engineering Using Hybrid Orientation (HOT) CMOS
WO2009039169A1 (en) 2007-09-17 2009-03-26 Innovative Silicon S.A. Refreshing data of memory cells with electrically floating body transistors
US8536628B2 (en) 2007-11-29 2013-09-17 Micron Technology, Inc. Integrated circuit having memory cell array including barriers, and method of manufacturing same
US8349662B2 (en) 2007-12-11 2013-01-08 Micron Technology, Inc. Integrated circuit having memory cell array, and method of manufacturing same
US8773933B2 (en) 2012-03-16 2014-07-08 Micron Technology, Inc. Techniques for accessing memory cells
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US8189376B2 (en) 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US7957206B2 (en) 2008-04-04 2011-06-07 Micron Technology, Inc. Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
DE102008030864B4 (de) * 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
US7884354B2 (en) * 2008-07-31 2011-02-08 Intel Corporation Germanium on insulator (GOI) semiconductor substrates
US7979836B2 (en) * 2008-08-15 2011-07-12 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
US7781283B2 (en) * 2008-08-15 2010-08-24 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
US7947543B2 (en) 2008-09-25 2011-05-24 Micron Technology, Inc. Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation
US7933140B2 (en) 2008-10-02 2011-04-26 Micron Technology, Inc. Techniques for reducing a voltage swing
US7924630B2 (en) 2008-10-15 2011-04-12 Micron Technology, Inc. Techniques for simultaneously driving a plurality of source lines
US8223574B2 (en) 2008-11-05 2012-07-17 Micron Technology, Inc. Techniques for block refreshing a semiconductor memory device
JP2010118481A (ja) * 2008-11-12 2010-05-27 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
US8213226B2 (en) * 2008-12-05 2012-07-03 Micron Technology, Inc. Vertical transistor memory cell and array
US8319294B2 (en) 2009-02-18 2012-11-27 Micron Technology, Inc. Techniques for providing a source line plane
WO2010102106A2 (en) 2009-03-04 2010-09-10 Innovative Silicon Isi Sa Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device
US8184472B2 (en) * 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
WO2010114890A1 (en) 2009-03-31 2010-10-07 Innovative Silicon Isi Sa Techniques for providing a semiconductor memory device
US7902541B2 (en) * 2009-04-03 2011-03-08 International Business Machines Corporation Semiconductor nanowire with built-in stress
US8139418B2 (en) 2009-04-27 2012-03-20 Micron Technology, Inc. Techniques for controlling a direct injection semiconductor memory device
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US8498157B2 (en) 2009-05-22 2013-07-30 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8537610B2 (en) 2009-07-10 2013-09-17 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9076543B2 (en) 2009-07-27 2015-07-07 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8199595B2 (en) 2009-09-04 2012-06-12 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US8614492B2 (en) 2009-10-26 2013-12-24 International Business Machines Corporation Nanowire stress sensors, stress sensor integrated circuits, and design structures for a stress sensor integrated circuit
US8174881B2 (en) 2009-11-24 2012-05-08 Micron Technology, Inc. Techniques for reducing disturbance in a semiconductor device
US8310893B2 (en) 2009-12-16 2012-11-13 Micron Technology, Inc. Techniques for reducing impact of array disturbs in a semiconductor memory device
US8440998B2 (en) 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8416636B2 (en) 2010-02-12 2013-04-09 Micron Technology, Inc. Techniques for controlling a semiconductor memory device
US8411513B2 (en) 2010-03-04 2013-04-02 Micron Technology, Inc. Techniques for providing a semiconductor memory device having hierarchical bit lines
US8576631B2 (en) 2010-03-04 2013-11-05 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US8369177B2 (en) 2010-03-05 2013-02-05 Micron Technology, Inc. Techniques for reading from and/or writing to a semiconductor memory device
EP3511982A1 (en) 2010-03-15 2019-07-17 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8411524B2 (en) 2010-05-06 2013-04-02 Micron Technology, Inc. Techniques for refreshing a semiconductor memory device
US9029834B2 (en) * 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
JP5569243B2 (ja) * 2010-08-09 2014-08-13 ソニー株式会社 半導体装置及びその製造方法
US8524546B2 (en) 2010-10-22 2013-09-03 International Business Machines Corporation Formation of multi-height MUGFET
US8524545B2 (en) 2010-10-22 2013-09-03 International Business Machines Corporation Simultaneous formation of FinFET and MUGFET
US8835261B2 (en) * 2011-03-14 2014-09-16 International Business Machines Corporation Field effect transistor structure and method of forming same
US8531878B2 (en) 2011-05-17 2013-09-10 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9559216B2 (en) 2011-06-06 2017-01-31 Micron Technology, Inc. Semiconductor memory device and method for biasing same
US8778744B2 (en) * 2011-06-24 2014-07-15 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor field effect transistor
CN102842508B (zh) * 2011-06-24 2015-03-04 中国科学院微电子研究所 一种半导体场效应晶体管的制备方法
US8731017B2 (en) * 2011-08-12 2014-05-20 Acorn Technologies, Inc. Tensile strained semiconductor photon emission and detection devices and integrated photonics system
US8772874B2 (en) 2011-08-24 2014-07-08 International Business Machines Corporation MOSFET including asymmetric source and drain regions
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
US8637930B2 (en) * 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US8445334B1 (en) 2011-12-20 2013-05-21 International Business Machines Corporation SOI FinFET with recessed merged Fins and liner for enhanced stress coupling
US8871626B2 (en) 2011-12-20 2014-10-28 International Business Machines Corporation FinFET with vertical silicide structure
US8643120B2 (en) 2012-01-06 2014-02-04 International Business Machines Corporation FinFET with fully silicided gate
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
JP5398853B2 (ja) 2012-01-26 2014-01-29 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN103377927B (zh) * 2012-04-17 2015-11-25 中芯国际集成电路制造(上海)有限公司 悬浮纳米线场效应晶体管及其形成方法
US9633905B2 (en) * 2012-04-20 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor fin structures and methods for forming the same
US9490318B2 (en) * 2012-06-15 2016-11-08 Lawrence Livermore National Security, Llc Three dimensional strained semiconductors
US8847281B2 (en) 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
CN103594513B (zh) * 2012-08-16 2017-09-15 中国科学院微电子研究所 半导体器件及其制造方法
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9041106B2 (en) * 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
US8946063B2 (en) * 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
EP2741320B1 (en) * 2012-12-05 2020-06-17 IMEC vzw Manufacturing method of a finfet device with dual-strained channels
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US9397217B2 (en) * 2012-12-28 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of non-planar semiconductor device
US9224849B2 (en) * 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8815661B1 (en) 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in FinFET structure
US9040363B2 (en) 2013-03-20 2015-05-26 International Business Machines Corporation FinFET with reduced capacitance
CN103219384B (zh) * 2013-04-03 2015-05-20 北京大学 一种抗单粒子辐射的多栅器件及其制备方法
US9006842B2 (en) 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US9349850B2 (en) 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
CN104425601B (zh) * 2013-08-30 2018-02-16 中国科学院微电子研究所 半导体器件及其制造方法
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US9373720B2 (en) 2013-10-14 2016-06-21 Globalfoundries Inc. Three-dimensional transistor with improved channel mobility
US9324842B2 (en) * 2013-12-20 2016-04-26 Globalfoundries Inc. Buried local interconnect in finfet structure and method of fabricating same
CN105745769B (zh) * 2013-12-23 2019-02-22 英特尔公司 在异质衬底上制造半导体结构的方法
US9583598B2 (en) * 2014-10-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
KR102217246B1 (ko) * 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN105810750B (zh) * 2014-12-29 2019-02-01 中芯国际集成电路制造(上海)有限公司 一种碳纳米管神经元器件及其制作方法
WO2016200971A1 (en) 2015-06-08 2016-12-15 Synopsys, Inc. Substrates and transistors with 2d material channels on 3d geometries
US9466723B1 (en) * 2015-06-26 2016-10-11 Globalfoundries Inc. Liner and cap layer for placeholder source/drain contact structure planarization and replacement
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
CN106711238B (zh) * 2015-07-30 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
US9735273B1 (en) * 2016-04-11 2017-08-15 International Business Machines Corporation Method of forming a III-V compound semiconductor channel post replacement gate
US10276728B2 (en) 2017-07-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including non-volatile memory cells
US10181425B1 (en) * 2017-07-17 2019-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-end structure engineering for semiconductor applications
US11126775B2 (en) 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. IC layout, method, device, and system

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region

Family Cites Families (422)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US167007A (en) * 1875-08-24 Improvement in farm-fences
US81794A (en) * 1868-09-01 Improved machine for separating ores
US643156A (en) * 1898-10-19 1900-02-13 Miles W Quick Plant for operating motors.
US3387820A (en) * 1965-05-24 1968-06-11 Continental Aviat & Engineerin Turbine engine construction
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
JPS5673454A (en) 1979-11-21 1981-06-18 Sumitomo Metal Mining Co Ltd Manufacture of stepped semiconductor substrate
JPS59145538A (ja) 1983-10-21 1984-08-21 Hitachi Ltd 半導体装置の製造方法
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
KR910010043B1 (ko) * 1988-07-28 1991-12-10 한국전기통신공사 스페이서를 이용한 미세선폭 형성방법
US4994873A (en) 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) * 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US5278012A (en) * 1989-03-29 1994-01-11 Hitachi, Ltd. Method for producing thin film multilayer substrate, and method and apparatus for detecting circuit conductor pattern of the substrate
JPH02302044A (ja) * 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (ko) * 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JP3202223B2 (ja) * 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5218213A (en) * 1991-02-22 1993-06-08 Harris Corporation SOI wafer with sige
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
JPH05152293A (ja) 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5346836A (en) * 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) * 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (ja) * 1992-02-27 1993-09-21 Fujitsu Ltd 半導体装置
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) * 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) * 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
KR960002088B1 (ko) * 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (ja) 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
US5739544A (en) 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
GB2282736B (en) 1993-05-28 1996-12-11 Nec Corp Radio base station for a mobile communications system
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (ja) * 1993-07-05 2006-05-24 三菱電機株式会社 半導体装置およびその製造方法
JP3460863B2 (ja) * 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3378414B2 (ja) 1994-09-14 2003-02-17 株式会社東芝 半導体装置
JPH08153880A (ja) 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5602049A (en) * 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (ja) * 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
JP3078720B2 (ja) 1994-11-02 2000-08-21 三菱電機株式会社 半導体装置およびその製造方法
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US5539229A (en) 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
JPH08204191A (ja) * 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5665203A (en) * 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
KR0165398B1 (ko) * 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
US5658806A (en) * 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) * 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (ko) * 1995-12-26 1999-07-01 구본준 박막트랜지스터 및 그의 제조방법
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (de) * 1996-02-26 1997-08-28 Gregor Kohlruss Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5793088A (en) * 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (ja) 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW556263B (en) * 1996-07-11 2003-10-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US6404906B2 (en) * 1997-03-03 2002-06-11 Bacus Research Laboratories,Inc. Method and apparatus for acquiring and reconstructing magnified specimen images from a computer-controlled microscope
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) * 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
SE507437C2 (sv) * 1996-11-14 1998-06-08 Medi Team Dentalutveckling I G Preparat för användning vid kemisk-mekanisk behandling av kariesangrepp och förfarande för framställning av preparatet
JPH10150185A (ja) 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5827769A (en) * 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US6252135B1 (en) * 1996-12-16 2001-06-26 International Paper Company Production of syringyl lignin in gymnosperms
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (ja) 1997-01-29 2008-05-14 富士通株式会社 半導体装置及びその製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JPH1140811A (ja) * 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) * 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5963817A (en) * 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) * 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6351040B1 (en) 1998-01-22 2002-02-26 Micron Technology, Inc. Method and apparatus for implementing selected functionality on an integrated circuit device
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6307235B1 (en) * 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US20010040907A1 (en) 1998-06-12 2001-11-15 Utpal Kumar Chakrabarti Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000037842A (ja) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd 電磁波吸収化粧材
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (ja) * 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US6262456B1 (en) * 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US6114206A (en) * 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) * 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6380558B1 (en) * 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (fr) 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
JP2002540741A (ja) 1999-03-26 2002-11-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ ビデオ符号化方法及び対応するビデオ符号器
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) * 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
EP1063697B1 (en) 1999-06-18 2003-03-12 Lucent Technologies Inc. A process for fabricating a CMOS integrated circuit having vertical transistors
JP2001015704A (ja) 1999-06-29 2001-01-19 Hitachi Ltd 半導体集積回路
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
EP1091413A3 (en) 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6159808A (en) 1999-11-12 2000-12-12 United Semiconductor Corp. Method of forming self-aligned DRAM cell
WO2001039292A2 (en) 1999-11-29 2001-05-31 Trustees Of The University Of Pennsylvania Fabrication of nanometer size gaps on an electrode
US6150670A (en) 1999-11-30 2000-11-21 International Business Machines Corporation Process for fabricating a uniform gate oxide of a vertical transistor
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (ko) 1999-12-13 2001-10-12 윤종용 불휘발성 반도체 메모리장치 및 그의 제조방법
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (ja) * 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
US6800855B1 (en) 1999-12-27 2004-10-05 Nippon Sanso Corporation Spectroscopic method for analyzing isotopes by using a semiconductor laser
JP4194237B2 (ja) 1999-12-28 2008-12-10 株式会社リコー 電界効果トランジスタを用いた電圧発生回路及び基準電圧源回路
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (ja) 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (ja) * 2000-02-23 2006-11-15 信越半導体株式会社 ウエーハ外周面取部の研磨方法及び研磨装置
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (fr) 2000-03-22 2002-10-25 Commissariat Energie Atomique Transistor mos a source et drain metalliques, et procede de fabrication d'un tel transistor
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
KR100332834B1 (ko) 2000-03-29 2002-04-15 윤덕용 비등방성 식각을 이용한 서브마이크론 게이트 제조 방법
TW466606B (en) * 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2001338987A (ja) 2000-05-26 2001-12-07 Nec Microsystems Ltd Mosトランジスタのシャロートレンチ分離領域の形成方法
FR2810161B1 (fr) 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100360476B1 (ko) 2000-06-27 2002-11-08 삼성전자 주식회사 탄소나노튜브를 이용한 나노 크기 수직 트랜지스터 및 그제조방법
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
JP4112358B2 (ja) * 2000-07-04 2008-07-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 電界効果トランジスタ
US6515339B2 (en) * 2000-07-18 2003-02-04 Lg Electronics Inc. Method of horizontally growing carbon nanotubes and field effect transistor using the carbon nanotubes grown by the method
JP2002047034A (ja) 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6403981B1 (en) * 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (ko) * 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) * 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (ja) 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
KR100767950B1 (ko) 2000-11-22 2007-10-18 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) * 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (ja) 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6410371B1 (en) * 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
FR2822293B1 (fr) * 2001-03-13 2007-03-23 Nat Inst Of Advanced Ind Scien Transistor a effet de champ et double grille, circuit integre comportant ce transistor, et procede de fabrication de ce dernier
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (ko) 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
US7376653B2 (en) * 2001-05-22 2008-05-20 Reuters America, Inc. Creating dynamic web pages at a client browser
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (ja) 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6534807B2 (en) 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6764965B2 (en) 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP3873696B2 (ja) 2001-09-18 2007-01-24 株式会社日立製作所 電力半導体モジュール及び電力変換装置
JP2003100902A (ja) 2001-09-21 2003-04-04 Mitsubishi Electric Corp 半導体装置の製造方法
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
KR100398874B1 (ko) 2001-11-21 2003-09-19 삼성전자주식회사 티자형의 게이트 전극을 갖는 모스 트랜지스터 및 그 제조방법
US7385262B2 (en) 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) * 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) * 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
DE10203998A1 (de) 2002-02-01 2003-08-21 Infineon Technologies Ag Verfahren zum Herstellen einer zackenförmigen Struktur, Verfahren zum Herstellen eines Transistors, Verfahren zum Herstellen eines Floating Gate-Transistors, Transistor, Floating Gate-Transistor und Speicher-Anordnung
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (ja) 2002-03-05 2009-11-25 シャープ株式会社 半導体記憶装置
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
FR2838238B1 (fr) 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
DE10232804A1 (de) 2002-07-19 2004-02-12 Piv Drives Gmbh Landmaschine mit stufenlosem Kegelscheibengetriebe
KR100477543B1 (ko) 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
JP2004071996A (ja) 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (ja) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 半導体記憶装置及びその製造方法
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (ja) 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
JP4294935B2 (ja) 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US8222680B2 (en) 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6787439B2 (en) * 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
CN100378901C (zh) * 2002-11-25 2008-04-02 国际商业机器公司 应变鳍型场效应晶体管互补金属氧化物半导体器件结构
US6864519B2 (en) 2002-11-26 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS SRAM cell configured using multiple-gate transistors
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6855990B2 (en) 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
KR100487922B1 (ko) 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
DE60236375D1 (de) 2002-12-20 2010-06-24 Ibm Integrierte anitfuse-struktur für finfet- und cmos-vorrichtungen
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US6768156B1 (en) * 2003-02-10 2004-07-27 Micron Technology, Inc. Non-volatile random access memory cells associated with thin film constructions
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
US7304336B2 (en) 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6828628B2 (en) 2003-03-05 2004-12-07 Agere Systems, Inc. Diffused MOS devices with strained silicon portions and methods for forming same
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP4563652B2 (ja) * 2003-03-13 2010-10-13 シャープ株式会社 メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器
US6844238B2 (en) 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
JP4689969B2 (ja) 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Iva族およびvia族化合物の調製
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (ja) 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20070108514A1 (en) 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US7812340B2 (en) 2003-06-13 2010-10-12 International Business Machines Corporation Strained-silicon-on-insulator single-and double-gate MOSFET and method for forming the same
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US7196372B1 (en) 2003-07-08 2007-03-27 Spansion Llc Flash memory device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
KR100487566B1 (ko) * 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US6787406B1 (en) 2003-08-12 2004-09-07 Advanced Micro Devices, Inc. Systems and methods for forming dense n-channel and p-channel fins using shadow implanting
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (ko) 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6955969B2 (en) 2003-09-03 2005-10-18 Advanced Micro Devices, Inc. Method of growing as a channel region to reduce source/drain junction capacitance
US6998301B1 (en) 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US6888199B2 (en) 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
US20050139860A1 (en) 2003-10-22 2005-06-30 Snyder John P. Dynamic schottky barrier MOSFET device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
KR100515061B1 (ko) 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6867460B1 (en) 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
KR100521384B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 반도체 소자 및 그 제조 방법
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (ja) 2004-01-21 2005-08-04 Toshiba Corp 半導体装置
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (ja) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US6881635B1 (en) 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
KR100576361B1 (ko) 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7049654B2 (en) 2004-03-31 2006-05-23 Intel Corporation Memory with split gate devices and method of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US7023018B2 (en) 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
TWI238624B (en) 2004-05-10 2005-08-21 Chunghwa Telecom Co Ltd Method of dynamically setting ethernet port isolation
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US7070064B1 (en) 2004-05-12 2006-07-04 Henry Michael L Hands-free trash barrel lid opener
US7355233B2 (en) 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US6864540B1 (en) 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP5056011B2 (ja) 2004-06-10 2012-10-24 日本電気株式会社 半導体装置及びその製造方法、FinFETの製造方法
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060138548A1 (en) 2004-12-07 2006-06-29 Thunderbird Technologies, Inc. Strained silicon, gate engineered Fermi-FETs
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US20070090408A1 (en) 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014209403A1 (en) * 2013-06-29 2014-12-31 Intel Corporation Piezoresistive resonator with multi-gate transistor
GB2530186A (en) * 2013-06-29 2016-03-16 Intel Corp Piezoresistive resonator with multi-gate transistor
TWI568040B (zh) * 2013-06-29 2017-01-21 英特爾股份有限公司 具有多閘極電晶體的壓阻式共振器
US9577060B2 (en) 2013-06-29 2017-02-21 Intel Corporation Piezoresistive resonator with multi-gate transistor
GB2530186B (en) * 2013-06-29 2020-09-30 Intel Corp Piezoresistive resonator with multi-gate transistor

Also Published As

Publication number Publication date
US6974738B2 (en) 2005-12-13
AU2003297043A1 (en) 2005-02-14
EP1639652B1 (en) 2013-01-23
US20040266083A1 (en) 2004-12-30
EP1639652A2 (en) 2006-03-29
WO2005010997A3 (en) 2005-03-24
US8405164B2 (en) 2013-03-26
US20060261411A1 (en) 2006-11-23
US6909151B2 (en) 2005-06-21
KR20060028431A (ko) 2006-03-29
US20050242406A1 (en) 2005-11-03
US7241653B2 (en) 2007-07-10
US7714397B2 (en) 2010-05-11
WO2005010997A2 (en) 2005-02-03
EP2472587B1 (en) 2013-11-13
TW200501264A (en) 2005-01-01
TWI298519B (en) 2008-07-01
CN1577889A (zh) 2005-02-09
US20100200917A1 (en) 2010-08-12
CN1577889B (zh) 2010-05-26
EP2472587A1 (en) 2012-07-04
US20040262692A1 (en) 2004-12-30

Similar Documents

Publication Publication Date Title
KR100817949B1 (ko) 반도체 디바이스, 반도체 디바이스 제조 방법 및 비평면 트랜지스터 제조 방법
US11854898B2 (en) Wrap-around contact on FinFET
KR100816941B1 (ko) 트라이-게이트 디바이스 및 제조방법
US7456476B2 (en) Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7326634B2 (en) Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20220328640A1 (en) Source/drains in semiconductor devices and methods of forming thereof
US20050121738A1 (en) Contact etch resistant spacers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130228

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140303

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160303

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170302

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190227

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20200227

Year of fee payment: 13