|
SE393967B
(sv)
|
1974-11-29 |
1977-05-31 |
Sateko Oy |
Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
|
|
US4210925A
(en)
|
1978-02-07 |
1980-07-01 |
Harris Corporation |
I2 L Integrated circuit and process of fabrication
|
|
FI57975C
(fi)
|
1979-02-28 |
1980-11-10 |
Lohja Ab Oy |
Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
|
|
US4578142A
(en)
|
1984-05-10 |
1986-03-25 |
Rca Corporation |
Method for growing monocrystalline silicon through mask layer
|
|
JPS61121433A
(ja)
|
1984-11-19 |
1986-06-09 |
Sharp Corp |
半導体基板
|
|
JPS61166071A
(ja)
|
1985-01-17 |
1986-07-26 |
Toshiba Corp |
半導体装置及びその製造方法
|
|
US4698316A
(en)
|
1985-01-23 |
1987-10-06 |
Rca Corporation |
Method of depositing uniformly thick selective epitaxial silicon
|
|
US5373806A
(en)
|
1985-05-20 |
1994-12-20 |
Applied Materials, Inc. |
Particulate-free epitaxial process
|
|
US4735918A
(en)
|
1985-05-24 |
1988-04-05 |
Hughes Aircraft Company |
Vertical channel field effect transistor
|
|
US5769950A
(en)
|
1985-07-23 |
1998-06-23 |
Canon Kabushiki Kaisha |
Device for forming deposited film
|
|
US4778775A
(en)
|
1985-08-26 |
1988-10-18 |
Intel Corporation |
Buried interconnect for silicon on insulator structure
|
|
US4749440A
(en)
|
1985-08-28 |
1988-06-07 |
Fsi Corporation |
Gaseous process and apparatus for removing films from substrates
|
|
DE3684539D1
(de)
|
1985-09-06 |
1992-04-30 |
Philips Nv |
Herstellungsverfahren einer halbleitervorrichtung.
|
|
US4857479A
(en)
|
1985-10-08 |
1989-08-15 |
Motorola |
Method of making poly-sidewall contact transistors
|
|
US4891092A
(en)
|
1986-01-13 |
1990-01-02 |
General Electric Company |
Method for making a silicon-on-insulator substrate
|
|
US4704186A
(en)
|
1986-02-19 |
1987-11-03 |
Rca Corporation |
Recessed oxide method for making a silicon-on-insulator substrate
|
|
FR2595509B1
(fr)
|
1986-03-07 |
1988-05-13 |
Thomson Csf |
Composant en materiau semiconducteur epitaxie sur un substrat a parametre de maille different et application a divers composants en semiconducteurs
|
|
DE3784537T2
(de)
|
1986-04-11 |
1993-09-30 |
Canon Kk |
Herstellungsverfahren einer niedergeschlagenen Schicht.
|
|
US4761269A
(en)
|
1986-06-12 |
1988-08-02 |
Crystal Specialties, Inc. |
Apparatus for depositing material on a substrate
|
|
US4747367A
(en)
|
1986-06-12 |
1988-05-31 |
Crystal Specialties, Inc. |
Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
|
|
EP0251767A3
(en)
|
1986-06-30 |
1988-09-07 |
Canon Kabushiki Kaisha |
Insulated gate type semiconductor device and method of producing the same
|
|
US4728623A
(en)
|
1986-10-03 |
1988-03-01 |
International Business Machines Corporation |
Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
|
|
KR900007686B1
(ko)
|
1986-10-08 |
1990-10-18 |
후지쓰 가부시끼가이샤 |
선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
|
|
US4749441A
(en)
|
1986-12-11 |
1988-06-07 |
General Motors Corporation |
Semiconductor mushroom structure fabrication
|
|
US5158644A
(en)
|
1986-12-19 |
1992-10-27 |
Applied Materials, Inc. |
Reactor chamber self-cleaning process
|
|
US5236546A
(en)
|
1987-01-26 |
1993-08-17 |
Canon Kabushiki Kaisha |
Process for producing crystal article
|
|
US5269876A
(en)
|
1987-01-26 |
1993-12-14 |
Canon Kabushiki Kaisha |
Process for producing crystal article
|
|
US4786615A
(en)
|
1987-08-31 |
1988-11-22 |
Motorola Inc. |
Method for improved surface planarity in selective epitaxial silicon
|
|
US4870030A
(en)
|
1987-09-24 |
1989-09-26 |
Research Triangle Institute, Inc. |
Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
|
|
US4758531A
(en)
|
1987-10-23 |
1988-07-19 |
International Business Machines Corporation |
Method of making defect free silicon islands using SEG
|
|
US4873205A
(en)
|
1987-12-21 |
1989-10-10 |
International Business Machines Corporation |
Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
|
|
US5319220A
(en)
|
1988-01-20 |
1994-06-07 |
Sharp Kabushiki Kaisha |
Silicon carbide semiconductor device
|
|
JPH0228322A
(ja)
|
1988-04-28 |
1990-01-30 |
Mitsubishi Electric Corp |
半導体基板の前処理方法
|
|
US5164813A
(en)
|
1988-06-24 |
1992-11-17 |
Unitrode Corporation |
New diode structure
|
|
US5059544A
(en)
|
1988-07-14 |
1991-10-22 |
International Business Machines Corp. |
Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy
|
|
US5112439A
(en)
|
1988-11-30 |
1992-05-12 |
Mcnc |
Method for selectively depositing material on substrates
|
|
US5037775A
(en)
|
1988-11-30 |
1991-08-06 |
Mcnc |
Method for selectively depositing single elemental semiconductor material on substrates
|
|
US5061644A
(en)
|
1988-12-22 |
1991-10-29 |
Honeywell Inc. |
Method for fabricating self-aligned semiconductor devices
|
|
US5146304A
(en)
|
1988-12-22 |
1992-09-08 |
Honeywell Inc. |
Self-aligned semiconductor device
|
|
US5004705A
(en)
|
1989-01-06 |
1991-04-02 |
Unitrode Corporation |
Inverted epitaxial process
|
|
US5416354A
(en)
|
1989-01-06 |
1995-05-16 |
Unitrode Corporation |
Inverted epitaxial process semiconductor devices
|
|
US4897366A
(en)
|
1989-01-18 |
1990-01-30 |
Harris Corporation |
Method of making silicon-on-insulator islands
|
|
KR920008886B1
(ko)
|
1989-05-10 |
1992-10-10 |
삼성전자 주식회사 |
디램셀 및 그 제조방법
|
|
US5175121A
(en)
|
1989-05-10 |
1992-12-29 |
Samsung Electronics Co., Ltd. |
Method for manufacturing a stacked capacitor DRAM semiconductor device
|
|
US5028973A
(en)
|
1989-06-19 |
1991-07-02 |
Harris Corporation |
Bipolar transistor with high efficient emitter
|
|
US4923826A
(en)
|
1989-08-02 |
1990-05-08 |
Harris Corporation |
Method for forming dielectrically isolated transistor
|
|
JPH03130368A
(ja)
|
1989-09-22 |
1991-06-04 |
Applied Materials Inc |
半導体ウェーハプロセス装置の洗浄方法
|
|
US5211796A
(en)
|
1990-01-08 |
1993-05-18 |
Lst Logic Corporation |
Apparatus for performing in-situ etch of CVD chamber
|
|
JP2802449B2
(ja)
|
1990-02-16 |
1998-09-24 |
三菱電機株式会社 |
半導体装置の製造方法
|
|
US4981811A
(en)
|
1990-04-12 |
1991-01-01 |
At&T Bell Laboratories |
Process for fabricating low defect polysilicon
|
|
DE4016471A1
(de)
|
1990-05-22 |
1991-11-28 |
Bosch Gmbh Robert |
Mikromechanischer neigungssensor
|
|
JP2590295B2
(ja)
|
1990-06-06 |
1997-03-12 |
株式会社東芝 |
半導体装置及びその製造方法
|
|
US5071670A
(en)
|
1990-06-11 |
1991-12-10 |
Kelly Michael A |
Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
|
|
KR930007190B1
(ko)
|
1990-08-21 |
1993-07-31 |
삼성전자 주식회사 |
화합물 반도체 장치
|
|
DE4106287A1
(de)
|
1990-10-25 |
1992-04-30 |
Bosch Gmbh Robert |
Verfahren zum anisotropen aetzen von monokristallinen, scheibenfoermigen traegern
|
|
US5403751A
(en)
|
1990-11-29 |
1995-04-04 |
Canon Kabushiki Kaisha |
Process for producing a thin silicon solar cell
|
|
US5201955A
(en)
*
|
1991-03-11 |
1993-04-13 |
Chika Hani E |
Annular gradient optical lens tint system
|
|
KR920018987A
(ko)
|
1991-03-23 |
1992-10-22 |
김광호 |
캐패시터의 제조방법
|
|
US5234857A
(en)
|
1991-03-23 |
1993-08-10 |
Samsung Electronics, Co., Ltd. |
Method of making semiconductor device having a capacitor of large capacitance
|
|
US5252841A
(en)
|
1991-05-09 |
1993-10-12 |
Hughes Aircraft Company |
Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same
|
|
US5182619A
(en)
|
1991-09-03 |
1993-01-26 |
Motorola, Inc. |
Semiconductor device having an MOS transistor with overlapped and elevated source and drain
|
|
JP2855908B2
(ja)
|
1991-09-05 |
1999-02-10 |
日本電気株式会社 |
半導体装置及びその製造方法
|
|
FR2682128B1
(fr)
|
1991-10-08 |
1993-12-03 |
Thomson Csf |
Procede de croissance de couches heteroepitaxiales.
|
|
JPH05175216A
(ja)
|
1991-12-24 |
1993-07-13 |
Rohm Co Ltd |
ヘテロ接合バイポーラトランジスタおよびその製法
|
|
US5201995A
(en)
|
1992-03-16 |
1993-04-13 |
Mcnc |
Alternating cyclic pressure modulation process for selective area deposition
|
|
US5425842A
(en)
|
1992-06-09 |
1995-06-20 |
U.S. Philips Corporation |
Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
|
|
US5306666A
(en)
|
1992-07-24 |
1994-04-26 |
Nippon Steel Corporation |
Process for forming a thin metal film by chemical vapor deposition
|
|
US5326992A
(en)
|
1992-07-29 |
1994-07-05 |
The United States Of America As Represented By The Secretary Of The Navy |
Silicon carbide and SiCAlN heterojunction bipolar transistor structures
|
|
US6004683A
(en)
|
1992-11-04 |
1999-12-21 |
C. A. Patents, L.L.C. |
Plural layered metal repair tape
|
|
US5285089A
(en)
|
1992-12-02 |
1994-02-08 |
Kobe Steel U.S.A., Inc. |
Diamond and silicon carbide heterojunction bipolar transistor
|
|
JP2791260B2
(ja)
|
1993-03-01 |
1998-08-27 |
株式会社東芝 |
半導体装置の製造方法
|
|
JP3299807B2
(ja)
|
1993-04-07 |
2002-07-08 |
シャープ株式会社 |
ヘテロ接合バイポーラトランジスタ
|
|
US5380370A
(en)
|
1993-04-30 |
1995-01-10 |
Tokyo Electron Limited |
Method of cleaning reaction tube
|
|
JP3292894B2
(ja)
|
1993-05-12 |
2002-06-17 |
日本電信電話株式会社 |
集積化受光回路
|
|
US5421957A
(en)
|
1993-07-30 |
1995-06-06 |
Applied Materials, Inc. |
Low temperature etching in cold-wall CVD systems
|
|
DE69421465T2
(de)
|
1993-07-30 |
2000-02-10 |
Applied Materials, Inc. |
Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
|
|
US5422502A
(en)
|
1993-12-09 |
1995-06-06 |
Northern Telecom Limited |
Lateral bipolar transistor
|
|
JPH07169693A
(ja)
|
1993-12-16 |
1995-07-04 |
Mitsubishi Electric Corp |
横型減圧cvd装置及びそのクリーニング方法
|
|
JP2611640B2
(ja)
|
1993-12-20 |
1997-05-21 |
日本電気株式会社 |
ヘテロ接合バイポーラトランジスタ
|
|
US5403434A
(en)
|
1994-01-06 |
1995-04-04 |
Texas Instruments Incorporated |
Low-temperature in-situ dry cleaning process for semiconductor wafer
|
|
US5609721A
(en)
|
1994-03-11 |
1997-03-11 |
Fujitsu Limited |
Semiconductor device manufacturing apparatus and its cleaning method
|
|
DE69534688D1
(de)
|
1994-10-31 |
2006-01-19 |
St Microelectronics Inc |
Verfahren zur Bildung von erhöhten Source- und Drainzonen in integrierten Schaltungen
|
|
FI97731C
(fi)
|
1994-11-28 |
1997-02-10 |
Mikrokemia Oy |
Menetelmä ja laite ohutkalvojen valmistamiseksi
|
|
GB2296376B
(en)
|
1994-12-19 |
1997-07-09 |
Korea Electronics Telecomm |
Bipolar transistor fabrication
|
|
JPH08213343A
(ja)
|
1995-01-31 |
1996-08-20 |
Sony Corp |
半導体装置およびその製造方法
|
|
JPH08236540A
(ja)
|
1995-03-01 |
1996-09-13 |
Mitsubishi Electric Corp |
半導体装置の製造方法、及び半導体装置
|
|
JP2914213B2
(ja)
|
1995-03-28 |
1999-06-28 |
日本電気株式会社 |
半導体装置及びその製造方法
|
|
JPH08306700A
(ja)
|
1995-04-27 |
1996-11-22 |
Nec Corp |
半導体装置及びその製造方法
|
|
US5665614A
(en)
|
1995-06-06 |
1997-09-09 |
Hughes Electronics |
Method for making fully self-aligned submicron heterojunction bipolar transistor
|
|
US5654589A
(en)
|
1995-06-06 |
1997-08-05 |
Advanced Micro Devices, Incorporated |
Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
|
|
US6060397A
(en)
|
1995-07-14 |
2000-05-09 |
Applied Materials, Inc. |
Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
|
|
US6113702A
(en)
|
1995-09-01 |
2000-09-05 |
Asm America, Inc. |
Wafer support system
|
|
DE19533313A1
(de)
|
1995-09-08 |
1997-03-13 |
Max Planck Gesellschaft |
Halbleiterstruktur für einen Transistor
|
|
US5637518A
(en)
|
1995-10-16 |
1997-06-10 |
Micron Technology, Inc. |
Method of making a field effect transistor having an elevated source and an elevated drain
|
|
US5693147A
(en)
|
1995-11-03 |
1997-12-02 |
Motorola, Inc. |
Method for cleaning a process chamber
|
|
US5783495A
(en)
|
1995-11-13 |
1998-07-21 |
Micron Technology, Inc. |
Method of wafer cleaning, and system and cleaning solution regarding same
|
|
US5998305A
(en)
|
1996-03-29 |
1999-12-07 |
Praxair Technology, Inc. |
Removal of carbon from substrate surfaces
|
|
JP3400293B2
(ja)
|
1996-05-01 |
2003-04-28 |
株式会社東芝 |
Cvd装置及びそのクリーニング方法
|
|
US6058945A
(en)
|
1996-05-28 |
2000-05-09 |
Canon Kabushiki Kaisha |
Cleaning methods of porous surface and semiconductor surface
|
|
JP2839018B2
(ja)
|
1996-07-31 |
1998-12-16 |
日本電気株式会社 |
半導体装置の製造方法
|
|
US5916365A
(en)
|
1996-08-16 |
1999-06-29 |
Sherman; Arthur |
Sequential chemical vapor deposition
|
|
US6043519A
(en)
|
1996-09-12 |
2000-03-28 |
Hughes Electronics Corporation |
Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
|
|
KR100236069B1
(ko)
|
1996-12-26 |
1999-12-15 |
김영환 |
캐패시터 및 그 제조방법
|
|
JP3050152B2
(ja)
|
1997-01-23 |
2000-06-12 |
日本電気株式会社 |
半導体装置の製造方法
|
|
JP2950272B2
(ja)
|
1997-01-24 |
1999-09-20 |
日本電気株式会社 |
半導体薄膜の製造方法
|
|
US5849092A
(en)
|
1997-02-25 |
1998-12-15 |
Applied Materials, Inc. |
Process for chlorine trifluoride chamber cleaning
|
|
US5879459A
(en)
|
1997-08-29 |
1999-03-09 |
Genus, Inc. |
Vertically-stacked process reactor and cluster tool system for atomic layer deposition
|
|
US6348096B1
(en)
|
1997-03-13 |
2002-02-19 |
Nec Corporation |
Method for manufacturing group III-V compound semiconductors
|
|
EP0874405A3
(en)
|
1997-03-25 |
2004-09-15 |
Mitsubishi Cable Industries, Ltd. |
GaN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
|
|
EP2234142A1
(en)
|
1997-04-11 |
2010-09-29 |
Nichia Corporation |
Nitride semiconductor substrate
|
|
US5859447A
(en)
|
1997-05-09 |
1999-01-12 |
Yang; Edward S. |
Heterojunction bipolar transistor having heterostructure ballasting emitter
|
|
US6351039B1
(en)
|
1997-05-28 |
2002-02-26 |
Texas Instruments Incorporated |
Integrated circuit dielectric and method
|
|
US6069068A
(en)
|
1997-05-30 |
2000-05-30 |
International Business Machines Corporation |
Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
|
|
FR2764118B1
(fr)
|
1997-05-30 |
2000-08-04 |
Thomson Csf |
Transistor bipolaire stabilise avec elements isolants electriques
|
|
US5904565A
(en)
|
1997-07-17 |
1999-05-18 |
Sharp Microelectronics Technology, Inc. |
Low resistance contact between integrated circuit metal levels and method for same
|
|
US6287965B1
(en)
|
1997-07-28 |
2001-09-11 |
Samsung Electronics Co, Ltd. |
Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
|
|
US6100184A
(en)
|
1997-08-20 |
2000-08-08 |
Sematech, Inc. |
Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
|
|
KR100274603B1
(ko)
|
1997-10-01 |
2001-01-15 |
윤종용 |
반도체장치의제조방법및그의제조장치
|
|
JP2967477B2
(ja)
|
1997-11-26 |
1999-10-25 |
日本電気株式会社 |
半導体装置の製造方法
|
|
TW439151B
(en)
|
1997-12-31 |
2001-06-07 |
Samsung Electronics Co Ltd |
Method for forming conductive layer using atomic layer deposition process
|
|
US6042654A
(en)
|
1998-01-13 |
2000-03-28 |
Applied Materials, Inc. |
Method of cleaning CVD cold-wall chamber and exhaust lines
|
|
US5933761A
(en)
|
1998-02-09 |
1999-08-03 |
Lee; Ellis |
Dual damascene structure and its manufacturing method
|
|
US6303523B2
(en)
|
1998-02-11 |
2001-10-16 |
Applied Materials, Inc. |
Plasma processes for depositing low dielectric constant films
|
|
US6181012B1
(en)
|
1998-04-27 |
2001-01-30 |
International Business Machines Corporation |
Copper interconnection structure incorporating a metal seed layer
|
|
US6232641B1
(en)
|
1998-05-29 |
2001-05-15 |
Kabushiki Kaisha Toshiba |
Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
|
|
US6221168B1
(en)
|
1998-06-16 |
2001-04-24 |
Fsi International, Inc. |
HF/IPA based process for removing undesired oxides form a substrate
|
|
US6048790A
(en)
|
1998-07-10 |
2000-04-11 |
Advanced Micro Devices, Inc. |
Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
|
|
KR100275738B1
(ko)
|
1998-08-07 |
2000-12-15 |
윤종용 |
원자층 증착법을 이용한 박막 제조방법
|
|
US6291876B1
(en)
|
1998-08-20 |
2001-09-18 |
The United States Of America As Represented By The Secretary Of The Navy |
Electronic devices with composite atomic barrier film and process for making same
|
|
US6077775A
(en)
|
1998-08-20 |
2000-06-20 |
The United States Of America As Represented By The Secretary Of The Navy |
Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
|
|
US6144050A
(en)
|
1998-08-20 |
2000-11-07 |
The United States Of America As Represented By The Secretary Of The Navy |
Electronic devices with strontium barrier film and process for making same
|
|
US6188134B1
(en)
|
1998-08-20 |
2001-02-13 |
The United States Of America As Represented By The Secretary Of The Navy |
Electronic devices with rubidium barrier film and process for making same
|
|
TW465101B
(en)
|
1998-09-04 |
2001-11-21 |
Canon Kk |
Semiconductor substrate and method for producing the same
|
|
JP3478141B2
(ja)
|
1998-09-14 |
2003-12-15 |
信越半導体株式会社 |
シリコンウエーハの熱処理方法及びシリコンウエーハ
|
|
KR100287180B1
(ko)
|
1998-09-17 |
2001-04-16 |
윤종용 |
계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
|
|
TW382787B
(en)
|
1998-10-02 |
2000-02-21 |
United Microelectronics Corp |
Method of fabricating dual damascene
|
|
KR100327328B1
(ko)
|
1998-10-13 |
2002-05-09 |
윤종용 |
부분적으로다른두께를갖는커패시터의유전막형성방버뵤
|
|
JP3671418B2
(ja)
|
1998-10-29 |
2005-07-13 |
信越半導体株式会社 |
半導体ウェーハの製造方法
|
|
JP2000150647A
(ja)
|
1998-11-11 |
2000-05-30 |
Sony Corp |
配線構造およびその製造方法
|
|
JP2002535122A
(ja)
|
1999-01-20 |
2002-10-22 |
マイクロリス・コーポレーション |
流れコントローラ
|
|
US6235568B1
(en)
|
1999-01-22 |
2001-05-22 |
Intel Corporation |
Semiconductor device having deposited silicon regions and a method of fabrication
|
|
US6200893B1
(en)
|
1999-03-11 |
2001-03-13 |
Genus, Inc |
Radical-assisted sequential CVD
|
|
US6207567B1
(en)
|
1999-04-12 |
2001-03-27 |
United Microelectronics Corp. |
Fabricating method of glue layer and barrier layer
|
|
US6037258A
(en)
|
1999-05-07 |
2000-03-14 |
Taiwan Semiconductor Manufacturing Company |
Method of forming a smooth copper seed layer for a copper damascene structure
|
|
US6146517A
(en)
|
1999-05-19 |
2000-11-14 |
Infineon Technologies North America Corp. |
Integrated circuits with copper metallization for interconnections
|
|
KR20010001543A
(ko)
|
1999-06-05 |
2001-01-05 |
김기범 |
구리 배선 구조를 가지는 반도체 소자 제조 방법
|
|
JP4726369B2
(ja)
|
1999-06-19 |
2011-07-20 |
エー・エス・エムジニテックコリア株式会社 |
化学蒸着反応炉及びこれを利用した薄膜形成方法
|
|
KR100301246B1
(ko)
|
1999-06-30 |
2001-11-01 |
박종섭 |
반도체 소자의 제조 방법
|
|
US6190453B1
(en)
|
1999-07-14 |
2001-02-20 |
Seh America, Inc. |
Growth of epitaxial semiconductor material with improved crystallographic properties
|
|
US6391785B1
(en)
|
1999-08-24 |
2002-05-21 |
Interuniversitair Microelektronica Centrum (Imec) |
Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
|
|
US6727169B1
(en)
|
1999-10-15 |
2004-04-27 |
Asm International, N.V. |
Method of making conformal lining layers for damascene metallization
|
|
US6203613B1
(en)
|
1999-10-19 |
2001-03-20 |
International Business Machines Corporation |
Atomic layer deposition with nitrate containing precursors
|
|
KR20010047128A
(ko)
|
1999-11-18 |
2001-06-15 |
이경수 |
액체원료 기화방법 및 그에 사용되는 장치
|
|
US6720262B2
(en)
|
1999-12-15 |
2004-04-13 |
Genitech, Inc. |
Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
|
|
US6184128B1
(en)
|
2000-01-31 |
2001-02-06 |
Advanced Micro Devices, Inc. |
Method using a thin resist mask for dual damascene stop layer etch
|
|
TW408653U
(en)
|
2000-02-03 |
2000-10-11 |
Hu Hou Fei |
Ratcheting tool
|
|
WO2001066832A2
(en)
|
2000-03-07 |
2001-09-13 |
Asm America, Inc. |
Graded thin films
|
|
JP2001274387A
(ja)
|
2000-03-28 |
2001-10-05 |
Seiko Epson Corp |
半導体装置およびその製造方法
|
|
US6316795B1
(en)
|
2000-04-03 |
2001-11-13 |
Hrl Laboratories, Llc |
Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
|
|
WO2001078123A1
(en)
|
2000-04-11 |
2001-10-18 |
Genitech Co., Ltd. |
Method of forming metal interconnects
|
|
KR100332363B1
(ko)
|
2000-04-12 |
2002-04-12 |
최승철 |
화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
|
|
KR100363088B1
(ko)
|
2000-04-20 |
2002-12-02 |
삼성전자 주식회사 |
원자층 증착방법을 이용한 장벽 금속막의 제조방법
|
|
US6482733B2
(en)
|
2000-05-15 |
2002-11-19 |
Asm Microchemistry Oy |
Protective layers prior to alternating layer deposition
|
|
AU2001263211A1
(en)
|
2000-05-26 |
2001-12-11 |
Amberwave Systems Corporation |
Buried channel strained silicon fet using an ion implanted doped layer
|
|
US6342448B1
(en)
|
2000-05-31 |
2002-01-29 |
Taiwan Semiconductor Manufacturing Company |
Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
|
|
WO2001099166A1
(en)
|
2000-06-08 |
2001-12-27 |
Genitech Inc. |
Thin film forming method
|
|
US6368954B1
(en)
|
2000-07-28 |
2002-04-09 |
Advanced Micro Devices, Inc. |
Method of copper interconnect formation using atomic layer copper deposition
|
|
AU2001283138A1
(en)
|
2000-08-07 |
2002-02-18 |
Amberwave Systems Corporation |
Gate technology for strained surface channel and strained buried channel mosfet devices
|
|
JP2002198525A
(ja)
*
|
2000-12-27 |
2002-07-12 |
Toshiba Corp |
半導体装置及びその製造方法
|
|
US6444495B1
(en)
|
2001-01-11 |
2002-09-03 |
Honeywell International, Inc. |
Dielectric films for narrow gap-fill applications
|
|
JP3557457B2
(ja)
|
2001-02-01 |
2004-08-25 |
東北大学長 |
SiC膜の製造方法、及びSiC多層膜構造の製造方法
|
|
AU2002306436A1
(en)
|
2001-02-12 |
2002-10-15 |
Asm America, Inc. |
Improved process for deposition of semiconductor films
|
|
KR20080103609A
(ko)
|
2001-05-30 |
2008-11-27 |
에이에스엠 아메리카, 인코포레이티드 |
저온 로딩 및 소성
|
|
US7335545B2
(en)
|
2002-06-07 |
2008-02-26 |
Amberwave Systems Corporation |
Control of strain in device layers by prevention of relaxation
|
|
US7307273B2
(en)
|
2002-06-07 |
2007-12-11 |
Amberwave Systems Corporation |
Control of strain in device layers by selective relaxation
|
|
US7540920B2
(en)
|
2002-10-18 |
2009-06-02 |
Applied Materials, Inc. |
Silicon-containing layer deposition with silicon compounds
|
|
US6657223B1
(en)
*
|
2002-10-29 |
2003-12-02 |
Advanced Micro Devices, Inc. |
Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
|
|
US6998305B2
(en)
|
2003-01-24 |
2006-02-14 |
Asm America, Inc. |
Enhanced selectivity for epitaxial deposition
|
|
US7208362B2
(en)
|
2003-06-25 |
2007-04-24 |
Texas Instruments Incorporated |
Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
|
|
US7132338B2
(en)
|
2003-10-10 |
2006-11-07 |
Applied Materials, Inc. |
Methods to fabricate MOSFET devices using selective deposition process
|
|
US7057216B2
(en)
*
|
2003-10-31 |
2006-06-06 |
International Business Machines Corporation |
High mobility heterojunction complementary field effect transistors and methods thereof
|
|
US6974730B2
(en)
*
|
2003-12-17 |
2005-12-13 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for fabricating a recessed channel field effect transistor (FET) device
|
|
US7910448B2
(en)
|
2004-01-23 |
2011-03-22 |
Nxp B.V. |
Method for fabricating a mono-crystalline emitter
|
|
TWI233187B
(en)
*
|
2004-03-30 |
2005-05-21 |
Taiwan Semiconductor Mfg |
MOS device and fabrication method thereof
|
|
WO2005116304A2
(en)
|
2004-04-23 |
2005-12-08 |
Asm America, Inc. |
In situ doped epitaxial films
|
|
US7560352B2
(en)
|
2004-12-01 |
2009-07-14 |
Applied Materials, Inc. |
Selective deposition
|
|
US7312128B2
(en)
*
|
2004-12-01 |
2007-12-25 |
Applied Materials, Inc. |
Selective epitaxy process with alternating gas supply
|
|
US7682940B2
(en)
|
2004-12-01 |
2010-03-23 |
Applied Materials, Inc. |
Use of Cl2 and/or HCl during silicon epitaxial film formation
|
|
US7335959B2
(en)
*
|
2005-01-06 |
2008-02-26 |
Intel Corporation |
Device with stepped source/drain region profile
|
|
US7176481B2
(en)
*
|
2005-01-12 |
2007-02-13 |
International Business Machines Corporation |
In situ doped embedded sige extension and source/drain for enhanced PFET performance
|
|
US7235492B2
(en)
|
2005-01-31 |
2007-06-26 |
Applied Materials, Inc. |
Low temperature etchant for treatment of silicon-containing surfaces
|
|
US7687383B2
(en)
*
|
2005-02-04 |
2010-03-30 |
Asm America, Inc. |
Methods of depositing electrically active doped crystalline Si-containing films
|
|
US7226820B2
(en)
*
|
2005-04-07 |
2007-06-05 |
Freescale Semiconductor, Inc. |
Transistor fabrication using double etch/refill process
|
|
US8105908B2
(en)
|
2005-06-23 |
2012-01-31 |
Applied Materials, Inc. |
Methods for forming a transistor and modulating channel stress
|
|
US7405131B2
(en)
*
|
2005-07-16 |
2008-07-29 |
Chartered Semiconductor Manufacturing, Ltd. |
Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
|
|
US8278176B2
(en)
*
|
2006-06-07 |
2012-10-02 |
Asm America, Inc. |
Selective epitaxial formation of semiconductor films
|
|
JP5070779B2
(ja)
*
|
2006-09-21 |
2012-11-14 |
ソニー株式会社 |
半導体装置の製造方法および半導体装置
|
|
US7534689B2
(en)
*
|
2006-11-21 |
2009-05-19 |
Advanced Micro Devices, Inc. |
Stress enhanced MOS transistor and methods for its fabrication
|