KR101548013B1 - 채널의 변형을 조절하기 위한 응력 부재 - Google Patents

채널의 변형을 조절하기 위한 응력 부재 Download PDF

Info

Publication number
KR101548013B1
KR101548013B1 KR1020080091030A KR20080091030A KR101548013B1 KR 101548013 B1 KR101548013 B1 KR 101548013B1 KR 1020080091030 A KR1020080091030 A KR 1020080091030A KR 20080091030 A KR20080091030 A KR 20080091030A KR 101548013 B1 KR101548013 B1 KR 101548013B1
Authority
KR
South Korea
Prior art keywords
delete delete
epitaxial
semiconductor
silicon
recessed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
KR1020080091030A
Other languages
English (en)
Korean (ko)
Other versions
KR20090030226A (ko
Inventor
숀 토마스
피에르 토마시니
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20090030226A publication Critical patent/KR20090030226A/ko
Application granted granted Critical
Publication of KR101548013B1 publication Critical patent/KR101548013B1/ko
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/01Manufacture or treatment
    • H10D62/021Forming source or drain recesses by etching e.g. recessing by etching and then refilling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/791Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
    • H10D30/797Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being in source or drain regions, e.g. SiGe source or drain
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/13Semiconductor regions connected to electrodes carrying current to be rectified, amplified or switched, e.g. source or drain regions
    • H10D62/149Source or drain regions of field-effect devices
    • H10D62/151Source or drain regions of field-effect devices of IGFETs 
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/80Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials
    • H10D62/82Heterojunctions
    • H10D62/822Heterojunctions comprising only Group IV materials heterojunctions, e.g. Si/Ge heterojunctions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • Y10T428/2462Composite web or sheet with partial filling of valleys on outer surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
KR1020080091030A 2007-09-19 2008-09-17 채널의 변형을 조절하기 위한 응력 부재 Active KR101548013B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/858,054 US7759199B2 (en) 2007-09-19 2007-09-19 Stressor for engineered strain on channel
US11/858,054 2007-09-19

Publications (2)

Publication Number Publication Date
KR20090030226A KR20090030226A (ko) 2009-03-24
KR101548013B1 true KR101548013B1 (ko) 2015-08-27

Family

ID=40454799

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080091030A Active KR101548013B1 (ko) 2007-09-19 2008-09-17 채널의 변형을 조절하기 위한 응력 부재

Country Status (4)

Country Link
US (1) US7759199B2 (enExample)
JP (1) JP5382642B2 (enExample)
KR (1) KR101548013B1 (enExample)
TW (1) TWI509699B (enExample)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
KR101369907B1 (ko) * 2007-10-31 2014-03-04 주성엔지니어링(주) 트랜지스터 및 그 제조 방법
JP5107680B2 (ja) * 2007-11-16 2012-12-26 パナソニック株式会社 半導体装置
US7682845B2 (en) * 2007-12-27 2010-03-23 Globalfoundries Inc. Methods for calibrating a process for growing an epitaxial silicon film and methods for growing an epitaxial silicon film
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US7994015B2 (en) * 2009-04-21 2011-08-09 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
WO2011078240A1 (ja) * 2009-12-22 2011-06-30 キヤノンアネルバ株式会社 ドープエピタキシャル膜の選択成長方法及びドープエピタキシャル膜の選択成長装置
US8278164B2 (en) * 2010-02-04 2012-10-02 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
KR101668097B1 (ko) * 2010-03-12 2016-10-24 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
KR101674179B1 (ko) * 2010-04-06 2016-11-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102437183B (zh) * 2010-09-29 2015-02-25 中国科学院微电子研究所 半导体器件及其制造方法
US8361859B2 (en) 2010-11-09 2013-01-29 International Business Machines Corporation Stressed transistor with improved metastability
US8778767B2 (en) * 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
KR20120073727A (ko) * 2010-12-27 2012-07-05 삼성전자주식회사 스트레인드 반도체 영역을 포함하는 반도체 소자와 그 제조방법, 및 그것을 포함하는 전자 시스템
US8361847B2 (en) 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8796788B2 (en) 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
CN102709183B (zh) * 2011-03-28 2016-08-03 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
DE102011076696B4 (de) * 2011-05-30 2013-02-07 Globalfoundries Inc. Verfahren zur Leistungssteigerung in Transistoren durch Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials auf der Grundlage einer Saatschicht und entsprechendes Halbleiterbauelement
US8546204B2 (en) * 2011-10-03 2013-10-01 International Business Machines Corporation Method for growing conformal epi layers and structure thereof
US8927374B2 (en) * 2011-10-04 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US8742503B2 (en) 2011-10-31 2014-06-03 International Business Machines Corporation Recessed single crystalline source and drain for semiconductor-on-insulator devices
US9246004B2 (en) 2011-11-15 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structures of semiconductor devices
CN103165664B (zh) * 2011-12-13 2016-08-24 中芯国际集成电路制造(北京)有限公司 半导体器件和半导体器件制造方法
TWI556439B (zh) * 2011-12-20 2016-11-01 英特爾股份有限公司 用於pmos整合之第iv族電晶體
KR101635028B1 (ko) 2011-12-20 2016-07-01 인텔 코포레이션 소스 및 드레인 스트레서들을 이용하는 변형된 채널 영역 트랜지스터들 및 이를 포함하는 시스템들
KR20130074353A (ko) 2011-12-26 2013-07-04 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자
US10163724B2 (en) * 2012-03-01 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method of manufacturing same
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US9190471B2 (en) 2012-04-13 2015-11-17 Globalfoundries U.S.2 Llc Semiconductor structure having a source and a drain with reverse facets
CN103377897B (zh) * 2012-04-23 2016-03-02 中芯国际集成电路制造(上海)有限公司 一种硅锗源/漏结构的形成方法
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US9490318B2 (en) * 2012-06-15 2016-11-08 Lawrence Livermore National Security, Llc Three dimensional strained semiconductors
CN103715090B (zh) * 2012-09-29 2018-05-01 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN103779215B (zh) * 2012-10-18 2016-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
US9099421B2 (en) * 2012-10-31 2015-08-04 Taiwan Semiconductor Manufacturing Company Limited Surface profile for semiconductor region
US8900958B2 (en) * 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US8853060B1 (en) * 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US9425257B2 (en) * 2013-11-20 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Non-planar SiGe channel PFET
US9812569B2 (en) * 2014-01-15 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9543387B2 (en) * 2014-03-10 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9590037B2 (en) 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
KR102216511B1 (ko) * 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9559165B2 (en) * 2014-09-19 2017-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with strained source and drain structures and method for forming the same
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9634140B2 (en) 2014-11-10 2017-04-25 Samsung Electronics Co., Ltd. Fabricating metal source-drain stressor in a MOS device channel
KR102152285B1 (ko) 2014-12-08 2020-09-04 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 형성 방법
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
CN107667434B (zh) * 2015-06-19 2021-10-01 英特尔公司 用于外延生长源极/漏极晶体管区域的碳基界面
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US9502420B1 (en) 2015-12-19 2016-11-22 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
JP6584348B2 (ja) * 2016-03-07 2019-10-02 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
JP6606476B2 (ja) * 2016-08-02 2019-11-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9960084B1 (en) * 2016-11-01 2018-05-01 United Microelectronics Corp. Method for forming semiconductor device
US10522656B2 (en) * 2018-02-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Forming epitaxial structures in fin field effect transistors
KR20190110845A (ko) * 2018-03-21 2019-10-01 삼성전자주식회사 반도체 소자
US11869972B2 (en) * 2018-11-26 2024-01-09 Etron Technology, Inc. Reduced-form-factor transistor with self-aligned terminals and adjustable on/off-currents and manufacture method thereof
CN117457634A (zh) 2018-12-10 2024-01-26 钰创科技股份有限公司 统一集成电路系统
US11501968B2 (en) * 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210146802A (ko) * 2020-05-26 2021-12-06 에이에스엠 아이피 홀딩 비.브이. 붕소 및 갈륨을 함유한 실리콘 게르마늄 층을 증착하는 방법
US20230005744A1 (en) * 2021-06-30 2023-01-05 Asm Ip Holding B.V. Forming structures with bottom-up fill techniques
US20230307506A1 (en) * 2022-03-22 2023-09-28 Applied Materials, Inc. Low temperature n-type contact epi formation

Family Cites Families (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4210925A (en) 1978-02-07 1980-07-01 Harris Corporation I2 L Integrated circuit and process of fabrication
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4578142A (en) 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
JPS61121433A (ja) 1984-11-19 1986-06-09 Sharp Corp 半導体基板
JPS61166071A (ja) 1985-01-17 1986-07-26 Toshiba Corp 半導体装置及びその製造方法
US4698316A (en) 1985-01-23 1987-10-06 Rca Corporation Method of depositing uniformly thick selective epitaxial silicon
US5373806A (en) 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4735918A (en) 1985-05-24 1988-04-05 Hughes Aircraft Company Vertical channel field effect transistor
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4778775A (en) 1985-08-26 1988-10-18 Intel Corporation Buried interconnect for silicon on insulator structure
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
EP0214690B1 (en) 1985-09-06 1992-03-25 Philips Electronics Uk Limited A method of manufacturing a semiconductor device
US4857479A (en) 1985-10-08 1989-08-15 Motorola Method of making poly-sidewall contact transistors
US4891092A (en) 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
US4704186A (en) 1986-02-19 1987-11-03 Rca Corporation Recessed oxide method for making a silicon-on-insulator substrate
FR2595509B1 (fr) 1986-03-07 1988-05-13 Thomson Csf Composant en materiau semiconducteur epitaxie sur un substrat a parametre de maille different et application a divers composants en semiconducteurs
EP0241317B1 (en) 1986-04-11 1993-03-10 Canon Kabushiki Kaisha Process for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
EP0251767A3 (en) 1986-06-30 1988-09-07 Canon Kabushiki Kaisha Insulated gate type semiconductor device and method of producing the same
US4728623A (en) 1986-10-03 1988-03-01 International Business Machines Corporation Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
KR900007686B1 (ko) 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
US4749441A (en) 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US4786615A (en) 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US4870030A (en) 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US4758531A (en) 1987-10-23 1988-07-19 International Business Machines Corporation Method of making defect free silicon islands using SEG
US4873205A (en) 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US5319220A (en) 1988-01-20 1994-06-07 Sharp Kabushiki Kaisha Silicon carbide semiconductor device
JPH0228322A (ja) 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
US5164813A (en) 1988-06-24 1992-11-17 Unitrode Corporation New diode structure
US5059544A (en) 1988-07-14 1991-10-22 International Business Machines Corp. Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
US5146304A (en) 1988-12-22 1992-09-08 Honeywell Inc. Self-aligned semiconductor device
US5004705A (en) 1989-01-06 1991-04-02 Unitrode Corporation Inverted epitaxial process
US5416354A (en) 1989-01-06 1995-05-16 Unitrode Corporation Inverted epitaxial process semiconductor devices
US4897366A (en) 1989-01-18 1990-01-30 Harris Corporation Method of making silicon-on-insulator islands
KR920008886B1 (ko) 1989-05-10 1992-10-10 삼성전자 주식회사 디램셀 및 그 제조방법
US5175121A (en) 1989-05-10 1992-12-29 Samsung Electronics Co., Ltd. Method for manufacturing a stacked capacitor DRAM semiconductor device
US5028973A (en) 1989-06-19 1991-07-02 Harris Corporation Bipolar transistor with high efficient emitter
US4923826A (en) 1989-08-02 1990-05-08 Harris Corporation Method for forming dielectrically isolated transistor
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5211796A (en) 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JP2802449B2 (ja) 1990-02-16 1998-09-24 三菱電機株式会社 半導体装置の製造方法
US4981811A (en) 1990-04-12 1991-01-01 At&T Bell Laboratories Process for fabricating low defect polysilicon
DE4016471A1 (de) 1990-05-22 1991-11-28 Bosch Gmbh Robert Mikromechanischer neigungssensor
JP2590295B2 (ja) 1990-06-06 1997-03-12 株式会社東芝 半導体装置及びその製造方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
KR930007190B1 (ko) 1990-08-21 1993-07-31 삼성전자 주식회사 화합물 반도체 장치
DE4106287A1 (de) 1990-10-25 1992-04-30 Bosch Gmbh Robert Verfahren zum anisotropen aetzen von monokristallinen, scheibenfoermigen traegern
US5403751A (en) 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5201955A (en) * 1991-03-11 1993-04-13 Chika Hani E Annular gradient optical lens tint system
US5234857A (en) 1991-03-23 1993-08-10 Samsung Electronics, Co., Ltd. Method of making semiconductor device having a capacitor of large capacitance
KR920018987A (ko) 1991-03-23 1992-10-22 김광호 캐패시터의 제조방법
US5252841A (en) 1991-05-09 1993-10-12 Hughes Aircraft Company Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same
US5182619A (en) 1991-09-03 1993-01-26 Motorola, Inc. Semiconductor device having an MOS transistor with overlapped and elevated source and drain
JP2855908B2 (ja) 1991-09-05 1999-02-10 日本電気株式会社 半導体装置及びその製造方法
FR2682128B1 (fr) 1991-10-08 1993-12-03 Thomson Csf Procede de croissance de couches heteroepitaxiales.
JPH05175216A (ja) 1991-12-24 1993-07-13 Rohm Co Ltd ヘテロ接合バイポーラトランジスタおよびその製法
US5201995A (en) 1992-03-16 1993-04-13 Mcnc Alternating cyclic pressure modulation process for selective area deposition
US5425842A (en) 1992-06-09 1995-06-20 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5326992A (en) 1992-07-29 1994-07-05 The United States Of America As Represented By The Secretary Of The Navy Silicon carbide and SiCAlN heterojunction bipolar transistor structures
US6004683A (en) 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
US5285089A (en) 1992-12-02 1994-02-08 Kobe Steel U.S.A., Inc. Diamond and silicon carbide heterojunction bipolar transistor
JP2791260B2 (ja) 1993-03-01 1998-08-27 株式会社東芝 半導体装置の製造方法
JP3299807B2 (ja) 1993-04-07 2002-07-08 シャープ株式会社 ヘテロ接合バイポーラトランジスタ
US5380370A (en) 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP3292894B2 (ja) 1993-05-12 2002-06-17 日本電信電話株式会社 集積化受光回路
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials, Inc. Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5422502A (en) 1993-12-09 1995-06-06 Northern Telecom Limited Lateral bipolar transistor
JPH07169693A (ja) 1993-12-16 1995-07-04 Mitsubishi Electric Corp 横型減圧cvd装置及びそのクリーニング方法
JP2611640B2 (ja) 1993-12-20 1997-05-21 日本電気株式会社 ヘテロ接合バイポーラトランジスタ
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5609721A (en) 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
EP0709880A3 (en) 1994-10-31 1996-10-23 Sgs Thomson Microelectronics Method for forming projecting source / drain regions in an integrated circuit
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FR2728388A1 (fr) 1994-12-19 1996-06-21 Korea Electronics Telecomm Procede de fabrication d'un transistor bipolaire
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
JPH08236540A (ja) 1995-03-01 1996-09-13 Mitsubishi Electric Corp 半導体装置の製造方法、及び半導体装置
JP2914213B2 (ja) 1995-03-28 1999-06-28 日本電気株式会社 半導体装置及びその製造方法
JPH08306700A (ja) 1995-04-27 1996-11-22 Nec Corp 半導体装置及びその製造方法
US5665614A (en) 1995-06-06 1997-09-09 Hughes Electronics Method for making fully self-aligned submicron heterojunction bipolar transistor
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US6060397A (en) 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
DE19533313A1 (de) 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
US5637518A (en) 1995-10-16 1997-06-10 Micron Technology, Inc. Method of making a field effect transistor having an elevated source and an elevated drain
US5693147A (en) 1995-11-03 1997-12-02 Motorola, Inc. Method for cleaning a process chamber
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JP3400293B2 (ja) 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US6058945A (en) 1996-05-28 2000-05-09 Canon Kabushiki Kaisha Cleaning methods of porous surface and semiconductor surface
JP2839018B2 (ja) 1996-07-31 1998-12-16 日本電気株式会社 半導体装置の製造方法
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6043519A (en) 1996-09-12 2000-03-28 Hughes Electronics Corporation Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
KR100236069B1 (ko) 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
JP2950272B2 (ja) 1997-01-24 1999-09-20 日本電気株式会社 半導体薄膜の製造方法
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6348096B1 (en) 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
EP0874405A3 (en) 1997-03-25 2004-09-15 Mitsubishi Cable Industries, Ltd. GaN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
EP0942459B1 (en) 1997-04-11 2012-03-21 Nichia Corporation Method of growing nitride semiconductors
US5859447A (en) 1997-05-09 1999-01-12 Yang; Edward S. Heterojunction bipolar transistor having heterostructure ballasting emitter
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
FR2764118B1 (fr) 1997-05-30 2000-08-04 Thomson Csf Transistor bipolaire stabilise avec elements isolants electriques
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
JP2967477B2 (ja) 1997-11-26 1999-10-25 日本電気株式会社 半導体装置の製造方法
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6221168B1 (en) 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
EP0984484A2 (en) 1998-09-04 2000-03-08 Canon Kabushiki Kaisha Semiconductor subtrate and method for producing the same
JP3478141B2 (ja) 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6454854B1 (en) 1998-10-29 2002-09-24 Shin-Etsu Handotai Co., Ltd. Semiconductor wafer and production method therefor
JP2000150647A (ja) 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
WO2000043130A1 (en) 1999-01-20 2000-07-27 Mykrolis Corporation Flow controller
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6037258A (en) 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
EP1125321B1 (en) 1999-06-19 2007-08-15 ASM Genitech Korea Ltd. Chemical deposition reactor and method of forming a thin film using the same
KR100301246B1 (ko) 1999-06-30 2001-11-01 박종섭 반도체 소자의 제조 방법
US6190453B1 (en) 1999-07-14 2001-02-20 Seh America, Inc. Growth of epitaxial semiconductor material with improved crystallographic properties
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
EP1247292B1 (en) 1999-12-15 2009-02-04 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
JP2001274387A (ja) 2000-03-28 2001-10-05 Seiko Epson Corp 半導体装置およびその製造方法
US6316795B1 (en) 2000-04-03 2001-11-13 Hrl Laboratories, Llc Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (ko) 2000-04-12 2002-04-12 최승철 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
WO2001093338A1 (en) 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6583015B2 (en) 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
JP2002198525A (ja) * 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
JP3557457B2 (ja) 2001-02-01 2004-08-25 東北大学長 SiC膜の製造方法、及びSiC多層膜構造の製造方法
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
AU2002305733A1 (en) 2001-05-30 2002-12-09 Asm America, Inc Low temperature load and bake
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US6974730B2 (en) * 2003-12-17 2005-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a recessed channel field effect transistor (FET) device
CN100521114C (zh) 2004-01-23 2009-07-29 Nxp股份有限公司 制造单晶发射区的方法
TWI233187B (en) * 2004-03-30 2005-05-21 Taiwan Semiconductor Mfg MOS device and fabrication method thereof
WO2005116304A2 (en) 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US7226820B2 (en) * 2005-04-07 2007-06-05 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
US7405131B2 (en) * 2005-07-16 2008-07-29 Chartered Semiconductor Manufacturing, Ltd. Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5070779B2 (ja) * 2006-09-21 2012-11-14 ソニー株式会社 半導体装置の製造方法および半導体装置
US7534689B2 (en) * 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication

Also Published As

Publication number Publication date
US20090075029A1 (en) 2009-03-19
JP2009076907A (ja) 2009-04-09
TWI509699B (zh) 2015-11-21
TW200917377A (en) 2009-04-16
US7759199B2 (en) 2010-07-20
KR20090030226A (ko) 2009-03-24
JP5382642B2 (ja) 2014-01-08

Similar Documents

Publication Publication Date Title
KR101548013B1 (ko) 채널의 변형을 조절하기 위한 응력 부재
US8278176B2 (en) Selective epitaxial formation of semiconductor films
US7682940B2 (en) Use of Cl2 and/or HCl during silicon epitaxial film formation
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US8367528B2 (en) Cyclical epitaxial deposition and etch
US7122449B2 (en) Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US7572715B2 (en) Selective epitaxy process with alternating gas supply
US20140120678A1 (en) Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
US20130320429A1 (en) Processes and structures for dopant profile control in epitaxial trench fill
US7732269B2 (en) Method of ultra-shallow junction formation using Si film alloyed with carbon
CN101192516B (zh) 包含硅和碳的外延层的形成和处理

Legal Events

Date Code Title Description
PA0109 Patent application

St.27 status event code: A-0-1-A10-A12-nap-PA0109

PG1501 Laying open of application

St.27 status event code: A-1-1-Q10-Q12-nap-PG1501

A201 Request for examination
AMND Amendment
E13-X000 Pre-grant limitation requested

St.27 status event code: A-2-3-E10-E13-lim-X000

P11-X000 Amendment of application requested

St.27 status event code: A-2-2-P10-P11-nap-X000

P13-X000 Application amended

St.27 status event code: A-2-2-P10-P13-nap-X000

PA0201 Request for examination

St.27 status event code: A-1-2-D10-D11-exm-PA0201

D13-X000 Search requested

St.27 status event code: A-1-2-D10-D13-srh-X000

D14-X000 Search report completed

St.27 status event code: A-1-2-D10-D14-srh-X000

E902 Notification of reason for refusal
PE0902 Notice of grounds for rejection

St.27 status event code: A-1-2-D10-D21-exm-PE0902

AMND Amendment
P11-X000 Amendment of application requested

St.27 status event code: A-2-2-P10-P11-nap-X000

P13-X000 Application amended

St.27 status event code: A-2-2-P10-P13-nap-X000

E601 Decision to refuse application
PE0601 Decision on rejection of patent

St.27 status event code: N-2-6-B10-B15-exm-PE0601

J201 Request for trial against refusal decision
PJ0201 Trial against decision of rejection

St.27 status event code: A-3-3-V10-V11-apl-PJ0201

AMND Amendment
P11-X000 Amendment of application requested

St.27 status event code: A-2-2-P10-P11-nap-X000

P13-X000 Application amended

St.27 status event code: A-2-2-P10-P13-nap-X000

PB0901 Examination by re-examination before a trial

St.27 status event code: A-6-3-E10-E12-rex-PB0901

B701 Decision to grant
PB0701 Decision of registration after re-examination before a trial

St.27 status event code: A-3-4-F10-F13-rex-PB0701

GRNT Written decision to grant
PR0701 Registration of establishment

St.27 status event code: A-2-4-F10-F11-exm-PR0701

PR1002 Payment of registration fee

St.27 status event code: A-2-2-U10-U11-oth-PR1002

Fee payment year number: 1

PG1601 Publication of registration

St.27 status event code: A-4-4-Q10-Q13-nap-PG1601

FPAY Annual fee payment

Payment date: 20180718

Year of fee payment: 4

PR1001 Payment of annual fee

St.27 status event code: A-4-4-U10-U11-oth-PR1001

Fee payment year number: 4

FPAY Annual fee payment

Payment date: 20190718

Year of fee payment: 5

PR1001 Payment of annual fee

St.27 status event code: A-4-4-U10-U11-oth-PR1001

Fee payment year number: 5

PR1001 Payment of annual fee

St.27 status event code: A-4-4-U10-U11-oth-PR1001

Fee payment year number: 6

PR1001 Payment of annual fee

St.27 status event code: A-4-4-U10-U11-oth-PR1001

Fee payment year number: 7

PN2301 Change of applicant

St.27 status event code: A-5-5-R10-R11-asn-PN2301

PN2301 Change of applicant

St.27 status event code: A-5-5-R10-R14-asn-PN2301

PR1001 Payment of annual fee

St.27 status event code: A-4-4-U10-U11-oth-PR1001

Fee payment year number: 8

PR1001 Payment of annual fee

St.27 status event code: A-4-4-U10-U11-oth-PR1001

Fee payment year number: 9

P22-X000 Classification modified

St.27 status event code: A-4-4-P10-P22-nap-X000

PR1001 Payment of annual fee

St.27 status event code: A-4-4-U10-U11-oth-PR1001

Fee payment year number: 10

P22-X000 Classification modified

St.27 status event code: A-4-4-P10-P22-nap-X000

PR1001 Payment of annual fee

St.27 status event code: A-4-4-U10-U11-oth-PR1001

Fee payment year number: 11