WO2001069673A1 - Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique - Google Patents

Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique Download PDF

Info

Publication number
WO2001069673A1
WO2001069673A1 PCT/JP2001/001967 JP0101967W WO0169673A1 WO 2001069673 A1 WO2001069673 A1 WO 2001069673A1 JP 0101967 W JP0101967 W JP 0101967W WO 0169673 A1 WO0169673 A1 WO 0169673A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
film
electrode
silicon
polysilicon
Prior art date
Application number
PCT/JP2001/001967
Other languages
English (en)
French (fr)
Inventor
Tadahiro Ohmi
Shigetoshi Sugawa
Original Assignee
Tadahiro Ohmi
Shigetoshi Sugawa
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tadahiro Ohmi, Shigetoshi Sugawa filed Critical Tadahiro Ohmi
Priority to DE60140179T priority Critical patent/DE60140179D1/de
Priority to EP01912317A priority patent/EP1265279B1/en
Priority to JP2001567036A priority patent/JP4987206B2/ja
Priority to US09/867,699 priority patent/US6551948B2/en
Publication of WO2001069673A1 publication Critical patent/WO2001069673A1/ja
Priority to US10/359,714 priority patent/US6998354B2/en
Priority to US10/359,701 priority patent/US7001855B2/en
Priority to US10/359,592 priority patent/US7026681B2/en
Priority to US10/721,513 priority patent/US6838394B2/en
Priority to US10/721,473 priority patent/US6846753B2/en
Priority to US10/762,522 priority patent/US7109083B2/en
Priority to US10/762,520 priority patent/US6998355B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Definitions

  • the present invention generally relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a method of forming a dielectric film, a nonvolatile semiconductor memory element including a flash memory element, and an electrically rewritable information element, and a method of manufacturing the same.
  • Semiconductor memory devices include volatile memory devices such as DRAM and SRAM, and non-volatile memories such as mask ROM, PROM, EP ROM, and EEPROM.One transistor per memory cell.
  • volatile memory devices such as DRAM and SRAM
  • non-volatile memories such as mask ROM, PROM, EP ROM, and EEPROM.
  • flash memory which is an EEPROM with features, is characterized by its small size, large capacity, and low power consumption, and a great deal of effort is being made to improve it.
  • an insulating film with uniform and excellent film quality is indispensable.
  • a flash memory device is formed on a silicon substrate 170, and a source region 1701 and a drain region 1702 formed in the silicon substrate 170.
  • a tunnel gate oxide film 1703 formed between the source region 1701 and the drain region 1702 on the silicon substrate 170; and the tunnel gate oxide film 17
  • a floating gate 170 formed on the silicon oxide film 1705, a silicon nitride film 1706, and a silicon oxide film on the floating gate 1704.
  • a control gate 1708 is formed on the silicon oxide film 1707. That is, in the flash memory cell having such a laminated structure, as shown in FIG. 1, the floating gate 1704 and the control gate 1708 are formed of the insulating films 1705, 1706 and 1770. 7 sandwiching the insulation structure Are laminated.
  • the insulating structure provided between the floating gate 1704 and the control gate 1705 suppresses a leak current between the floating gate 1704 and the control gate 1705.
  • it is general to have a so-called ONO structure in which the nitride film 1706 is sandwiched between the enzyme films 1705 and 1707.
  • the tunnel gate oxide film 1703 and the silicon oxide film 1705 are formed by a thermal oxidation method
  • the silicon nitride film 1706 and the silicon oxide film 1707 are formed by a thermal oxidation method. It is formed by a CVD method.
  • the silicon oxide film 175 may be formed by C VD in some cases.
  • the thickness of the tunnel gate oxide film 1703 is about 8 nm, and the total thickness of the insulating films 1705, 1706 and 1707 is about 15 nm in terms of oxide film thickness .
  • a low-voltage transistor having a gate oxide film having a thickness of about 3 to 7 nm and a high-voltage transistor having a gate oxide film having a thickness of 15 to 30 nm are provided. Are formed on the same silicon.
  • a flash memory cell having a stacked structure configured as described above for example, about 5 to 7 V is applied to the drain 1702 when writing information, and 12 V is applied to the control gate 1708, for example.
  • a high voltage of about the same level or more channel hot electrons generated in the vicinity of the drain region 1702 are accumulated in the floating gate through the tunnel insulating film 1703.
  • the drain region 1702 is floated, the control gate 1708 is grounded, and the source region 1701 is about 12 V or more.
  • the electrons accumulated in the floating gate 1704 are extracted to the source region 1701.
  • the tunnel gate insulating film 1703 also had to be thick enough to withstand a high voltage. Disclosure of the invention
  • a more specific object of the present invention is to reduce the thickness of a tunnel gate insulating film or an insulating film between a floating gate and a control gate without generating a leak current, and to perform writing at a low voltage.
  • An object of the present invention is to provide a highly reliable and high-performance flash memory element having a high-quality insulating film formed at a low temperature and capable of erasing, and a method of manufacturing the same.
  • Another object of the present invention is to provide a method for forming an insulating film that can form a high-quality insulating film on polysilicon.
  • Another subject of the present invention is:
  • a flash memory device comprising: a first electrode formed on the silicon substrate via a tunnel insulating film; and a second electrode formed on the first electrode with an insulating film interposed therebetween.
  • the insulating film has a stacked structure including at least one silicon oxide film and one silicon nitride film, and at least a part of the silicon oxide film contains Kr having a surface density of 101 Q cm- 2 or more.
  • an insulating film between a floating gate electrode and a control gate electrode is formed by efficiently forming atomic oxygen ⁇ * or nitrogen nitride radical NH *.
  • the film quality of the insulating film is improved, and accordingly, the film thickness of the insulating film can be reduced without increasing a leak current.
  • the flash memory device of the present invention can operate at high speed at a low voltage and has a long life.
  • the inter-electrode insulating film is a method for manufacturing a flash memory device having a stacked structure including at least one silicon oxide film and one silicon nitride film, wherein the silicon oxide film is
  • An object of the present invention is to provide a method for manufacturing a flash memory device characterized by being formed by exposure to O *.
  • an oxide film having excellent leakage current characteristics can be obtained as the inter-electrode insulating film. Therefore, with a simple configuration, it is possible to stably hold charges in the floating gate electrode and to perform low-voltage driving. A possible flash memory can be realized.
  • Other objects of the present invention are:
  • the inter-electrode insulating film is a method of manufacturing a flash memory device having a laminated structure including at least one silicon oxide film and one silicon nitride film.
  • the silicon nitride film is
  • the silicon nitride film deposited by the CVD method is excited by a microwave in the form of a mixed gas consisting of NH 3 gas or a gas containing N 2 and H 2 and a gas mainly composed of Ar or Kr gas.
  • An object of the present invention is to provide a method for manufacturing a flash memory device, which is formed by exposing to a hydrogen nitride radical NH * formed by the above method.
  • a nitride film having excellent leak current characteristics can be obtained as the inter-electrode insulating film, charges can be stably held in the floating gate electrode with a simple configuration, and low-voltage driving is possible.
  • a simple flash memory can be realized.
  • a uniform and uniform silicon oxide film on a polysilicon film irrespective of the orientation of a silicon crystal by exposing it to atomic oxygen 0 *.
  • Such a silicon oxide film has excellent leakage current characteristics comparable to a thermal oxide film, and causes Fowler-Nordheim type tunneling similar to that of a thermal oxide film.
  • the surface of the polysilicon film is formed by exciting plasma by a microphone mouth wave into a mixed gas of a gas containing nitrogen and hydrogen as component elements and an inert gas mainly containing Ar or r gas. Forming a nitride film on the surface of the polysilicon film by exposing to a hydrogen nitride radical NH *.
  • a nitride film having excellent characteristics can be formed on the surface of a polysilicon film. And become possible.
  • the polysilicon layer was excited and formed by a microwave in a mixed gas of an inert gas mainly containing Ar or Kr, a gas containing oxygen as a component element, and a gas containing nitrogen as a component element.
  • a method of forming a dielectric film comprising exposing the surface of the polysilicon film to a dielectric film by exposing to a plasma.
  • a method for manufacturing a flash memory device comprising:
  • an oxide film having excellent leak current characteristics can be obtained as the inter-electrode oxide film, so that a flash can be stably held in the floating gate electrode with a simple configuration and can be driven at a low voltage.
  • a memory can be realized.
  • a method of manufacturing a flash memory device comprising: Depositing a polysilicon film as the first electrode on the silicon substrate;
  • the surface of the polysilicon film is formed by exciting plasma by a microphone mouth wave to a mixed gas of a gas containing nitrogen and hydrogen and an inert gas mainly containing Ar or Kr gas.
  • An object of the present invention is to provide a method for forming a silicon nitride film, which is formed by exposing to a hydrogen radical NH *.
  • a flash having excellent leak current characteristics can be obtained as the inter-electrode nitride film, a flash having a simple configuration, capable of stably retaining charges in the floating gate electrode, and capable of being driven at a low voltage.
  • a memory element can be realized.
  • Another subject of the present invention is:
  • a silicon substrate, a first electrode made of polysilicon formed on the silicon substrate via an insulating film, and a second electrode formed on the first electrode with an inter-electrode oxynitride film interposed therebetween A method for manufacturing a flash memory device, comprising:
  • the polysilicon layer is exposed to plasma excited by microwaves in a mixed gas of an inert gas mainly containing Ar or Kr and a gas containing oxygen and nitrogen, and Converting the surface of the film into a silicon oxynitride film.
  • an oxynitride film having excellent leakage current characteristics can be obtained as an inter-electrode oxynitride film, so that a flash memory that can stably hold charges in a floating gate electrode and can be driven at a low voltage An element can be realized.
  • a method for forming a silicon oxide film on a polysilicon film comprising:
  • a microphone mouth wave radiating antenna that irradiates the microphone mouth wave into the processing vessel through the plate is placed inside the processing vessel of the microphone mouth wave processing device. Then, a gas mainly containing Kr and a gas containing oxygen are supplied from the shower plate into the processing container, and the microphone mouth-wave radiation antenna enters the processing container via the shower plate. Supplying a microphone mouth wave to form a plasma containing atomic oxygen ⁇ * in the processing container;
  • Forming a silicon oxide film by oxidizing the surface of the polysilicon film formed on the substrate in the processing container with the plasma. It is in.
  • a plasma gas uniformly supplied from a shower plate is excited by a microwave, whereby a high-density plasma having a low electron temperature can be formed in a processing chamber. Oxidizing atomic oxygen is efficiently formed.
  • the silicon oxide film formed by the Kr plasma in this manner becomes a ground and does not depend on the orientation of the Si crystal, and is thus formed uniformly on the polysilicon film.
  • Such a silicon oxide film has preferable characteristics in which the interface state is small and the leak current is small.
  • the oxidation treatment of the polysilicon can be performed at a low temperature of 550 ° C. or less. As a result, even if the oxidation treatment is performed, substantial grain growth does not occur in the polysilicon film. Problems such as electric current applied to the oxide film due to grain growth are avoided.
  • a method for forming a silicon nitride film on a polysilicon film comprising:
  • a processing vessel of a microphone mouth wave processing device provided with a microphone mouth wave radiation antenna for irradiating a microphone mouth wave into the processing vessel via a plate, Ar or from the shower plate into the processing vessel.
  • a gas containing Kr as a main component, a gas containing nitrogen and hydrogen are supplied, and a microphone mouth wave is supplied from the microwave radiating antenna through the shower plate into the processing vessel.
  • a plasma gas uniformly supplied from a shower plate is excited by a microwave to form a high-density plasma having a low electron temperature in a processing chamber.
  • the nitrogen radical NH * for nitriding the silicon film is efficiently formed.
  • the silicon nitride film formed by the Kr plasma in this manner has a preferable characteristic of having a small leak current, despite being formed at a low temperature.
  • Another subject of the present invention is:
  • the silicon oxide film is formed by introducing a gas containing mainly oxygen-containing gas and Kr gas into a processing chamber and exciting plasma in the processing chamber by a microphone mouth wave. It is an object of the present invention to provide a method for manufacturing a flash memory device.
  • the surface of the first electrode can be oxidized at a low temperature in Kr plasma for efficiently forming atomic oxygen ⁇ *, and as a result, the silicon oxide film becomes an interface state It is possible to obtain an oxide film having a small amount and a small leak current.
  • Another subject of the present invention is:
  • An object of the present invention is to provide a method of manufacturing a flash memory device, which is formed by performing According to the present invention, the surface of the first electrode can be nitrided at a low temperature in an Ar or Kr plasma that efficiently forms hydrogen nitride radicals NH *, and as a result, the silicon nitride As the film, a nitride film having a small leak current can be obtained.
  • FIG. 1 is a diagram showing a schematic cross-sectional structure of a conventional flash memory device
  • FIG. 2 is a diagram showing the concept of a plasma device using a radial line slot antenna
  • FIG. 3 is a diagram showing the relationship between the obtained oxide film thickness and the gas pressure in the processing chamber for the oxide film formed according to the first embodiment of the present invention
  • FIG. 4 is a diagram showing the oxidation time dependence of the obtained oxide film thickness for the oxide film formed according to the first embodiment of the present invention
  • FIG. 5 is a diagram showing the distribution of the Kr density in the silicon oxide film in the depth direction according to the first embodiment of the present invention.
  • FIG. 6 is a diagram showing the interface state density of the silicon oxide film according to the first embodiment of the present invention
  • FIG. 7 is a relationship between the interface state density in the silicon oxide film and the withstand voltage according to the first embodiment of the present invention.
  • 8A and 8B are diagrams showing the relationship between the interface state density and the dielectric strength voltage in the silicon oxide film obtained in the first embodiment of the present invention and the total pressure in the processing chamber;
  • FIG. 9 is a diagram showing the gas pressure dependency of the processing chamber on the nitride film thickness of the nitride film formed according to the second embodiment of the present invention.
  • FIG. 10 shows the current-voltage characteristics of the silicon nitride film according to the second embodiment of the present invention.
  • FIGS. 11A and 1IB are diagrams showing an oxidizing process, a nitriding process, and a nitriding process of a polysilicon film according to a third embodiment of the present invention
  • FIG. 12 is a diagram showing the oxidation time dependency of the obtained oxide film thickness in the oxidation treatment of the polysilicon film according to the third embodiment of the present invention
  • FIGS. 13A to 13C are diagrams showing changes in the surface state due to the oxidation treatment of the polysilicon film according to the third embodiment of the present invention.
  • Figures 14A and 14B show the changes in surface state when a polysilicon film is thermally oxidized
  • FIGS. 15A and 15B show transmission electron microscope images of the polysilicon film formed according to the third embodiment of the present invention.
  • FIGS. 16 to 17 are diagrams showing electrical characteristics of an oxide film formed on polysilicon according to a third embodiment of the present invention in comparison with a thermal oxide film;
  • FIG. 18 shows a cross-sectional structure of a flash memory device according to a fourth embodiment of the present invention.
  • FIG. 19 is a view showing a cross-sectional structure of a flash memory device according to a fifth embodiment of the present invention.
  • 20 to 23 are views showing a manufacturing process of a flash memory device according to a fifth embodiment of the present invention.
  • FIG. 24 is a diagram showing a cross-sectional structure of a flash memory device according to a sixth embodiment of the present invention.
  • FIG. 25 is a diagram showing a cross-sectional structure of a flash memory device according to a seventh embodiment of the present invention.
  • FIG. 2 is a cross-sectional view showing an example of a microwave plasma processing apparatus using a radial line slot antenna for realizing the oxidation method of the present invention (see WO99Z333362). .
  • This embodiment has a novel feature in that Kr is used as a plasma excitation gas for forming an oxide film.
  • the microphone mouth-wave plasma processing apparatus includes a vacuum vessel (processing chamber) 101 having a sample stage 104 holding a substrate 103 to be processed. 0 1 was evacuated and the processing chamber 1 0 1 the pressure in the treatment chamber from the shower plate 1 0 2 formed on a part by introducing K r gas and 0 2 gas wall 1 T or r. ( i Set it to about 33P a).
  • a circular substrate such as a silicon wafer is placed on the sample stage 104 having a heating mechanism as the substrate 103 to be processed, and the temperature of the sample is set to about 400 ° C.
  • This temperature setting is preferably in the range of 200-550, within which the results described below are almost similar.
  • 2.45 GHz The microphone mouth wave is supplied to generate high-density plasma in the processing chamber 101. If the frequency of the supplied microphone mouth wave is in the range from 900 MHz to 10 GHz, the results described below are almost the same.
  • the distance between the shower plate 102 and the substrate 103 is 6 cm in this embodiment. The shorter the distance, the faster the film formation.
  • Microphone port wave plasma processing apparatus of FIG. 2 it is possible to realize a plasma density exceeding surface odor T lx 1 0 12 c m-3 of the substrate to be processed 1 0 3. Further, the formed high-density plasma is excited by the microphone mouth wave, so that the electron temperature is low, and the plasma potential on the surface of the substrate 103 to be processed becomes 10 V or less. Therefore, the surface of the substrate 103 to be processed is not damaged by the plasma, and plasma sputtering of the processing chamber 101 does not occur, so that the substrate 103 to be processed is not contaminated.
  • the reaction product quickly flows to the side in the space, and the reaction holding table 104 Since the gas is exhausted from a large volume of space formed around, very uniform treatment is possible.
  • Mashi is Nozomu the higher pressure in the processing chamber 101 Iga, when too high, the generated ⁇ * comrade collide, intends island back to the 0 2 molecule . For this reason, of course, there is an optimal gas pressure.
  • FIG. 3 shows the thickness of the oxide film obtained when the total pressure of the processing chamber 101 was changed while maintaining the pressure ratio between Kr and oxygen in the processing chamber 101 at Kr 97% and oxygen 3%. Is shown. However, in the experiment in Fig. 3, the silicon substrate temperature was set to 400 ° C and the oxidation treatment was performed for 10 minutes.
  • the thickness of the oxide film obtained when the gas pressure in the processing chamber 101 is 1 Torr is maximized, and that this pressure or an oxidizing condition in the vicinity thereof is optimal. . Moreover, this optimum pressure is the same whether the plane orientation of the silicon substrate is 100 or 111.
  • Figure 4 shows the relationship between the r / ⁇ 2 film thickness and oxidation time of oxide film obtained in the oxidation process the silicon substrate surface using a high-density plasma. However, FIG. 4 shows both the results when the silicon substrate has the (100) plane and the (111) plane. FIG. 4 also shows the dependence on the oxidation time due to the conventional 900 ° C. dry thermal oxidation.
  • a substrate temperature of 400 ° C the processing rate of oxidation by KrZ ⁇ 2 high density plasma oxidation treatment in the chamber pressure Iotatauomikuron rr, oxidation during the atmospheric dry ⁇ 2 oxidation at a substrate temperature of 900 ° C It turns out that it is faster than the speed.
  • Oxidation of the silicon substrate surface using K r / 0 2 high-density plasma is as expected, and the (111) plane is as dense as the (100) plane. It is considered that an oxide film was formed.
  • the oxidation rate of the (111) plane is higher than that of the (100) plane. Indicates that the density is lower than that of the oxide film formed on the (100) plane.
  • Fig. 5 shows the depth distribution of the Kr density in the silicon oxide film formed by the above procedure, which was examined using a total reflection X-ray fluorescence spectrometer.
  • the silicon oxide film was formed by setting the oxygen partial pressure in Kr to 3%, the pressure in the processing chamber to 1 Torr (about 133 Pa), and the substrate temperature to 400 ° C. ing.
  • the surface density of Kr decreases as it approaches the silicon / silicon oxide interface, but is included at a density of about 2 ⁇ 10 11 cm ⁇ 2 on the silicon oxide film surface. That is, FIG. 5, the silicon oxide film formed by the silicon substrate surface oxidation using Kr / ⁇ 2 high density plasma, the K r concentration in the case the film thickness is more than 4 nm with a constant real qualitatively, silicon This indicates that the Kr concentration decreases toward the interface of the silicon oxide film. According to the silicon oxide film forming method of the present invention, 10 10 cm- Kr of two or more surface density is contained in the silicon oxide film. The result of FIG. 5 is similarly obtained on the (100) plane and also on the (111) plane.
  • Figure 6 shows the results of low-frequency CV measurements of the interface state density of the oxide film.
  • the silicon oxide film was formed at a substrate temperature of 400 ° C. using the apparatus shown in FIG.
  • the partial pressure of oxygen in the rare gas was fixed at 3%, and the pressure in the processing chamber was fixed at 1 Torr (about 133 Pa).
  • the interface state density of the thermal oxide film formed in an atmosphere of 900% oxygen and 100% oxygen is also shown.
  • the interface state density of the oxide film formed using Kr gas is low on both the (100) plane and the (111) plane, and the oxide film was formed in a dry oxidation atmosphere at 900 ° C. It can be seen that the interface state density is the same as that of the thermal oxide film formed on the () plane. In contrast, the interface state density of the thermal oxide film formed on the (111) plane is one order of magnitude higher.
  • the relationship between the partial pressure of oxygen in Kr in the silicon oxide film deposition atmosphere, the dielectric strength of the silicon oxide film, and the interface order density in the formed silicon oxide film was determined by the pressure in the processing chamber. When the film was measured at (133 Pa), the same results were obtained for both the (100) and (111) planes. A value equivalent to the interface order density in the oxide film is obtained. Also, the withstand voltage of the silicon oxide film becomes maximum near the oxygen partial pressure of 3%. This et al., When performing Sani ⁇ with KrZ_ ⁇ 2 mixed gas, the oxygen partial pressure is 2 to 4% is good suitable.
  • FIG. 7 shows the relationship between the pressure at the time of forming the silicon oxide film, the withstand voltage of the silicon oxide film, and the interface order density. At this time, the partial pressure of oxygen is 3%.
  • the dielectric strength of the silicon oxide film becomes maximum and the interface order density becomes minimum when the pressure during film formation is around 1 Torr.
  • the pressure in the case of forming the oxide film using the K r / 0 2 mixed gas 800- 1200 m the To rr is found to be optimal.
  • the results shown in FIG. 7 are similarly obtained on the (100) plane and also on the (111) plane.
  • the oxide film obtained by oxidizing the silicon substrate surface using K r ⁇ 2 high-density plasma had the same good characteristics as the thermal oxidation at 900 ° C.
  • Figures 8A and 8B show the stress current-induced leakage current characteristics of the obtained silicon oxide film in comparison with the case of the conventional thermal oxide film. However, in FIGS. 8A and 8B, the thickness of the oxide film is 3.2 nm.
  • K r / ⁇ 2 oxide films grown with a high density plasma even though oxidized at a low temperature of 4 0 0 ° C, (1 0 0) plane, (1 1 1) plane
  • Kr is contained in the oxide film. Since Kr is contained in the oxide film, stress in the film and at the Si / Si 2 interface is reduced, the charge and interface state density in the film are reduced, and the electrical conductivity of the silicon oxide film is reduced. It is considered that the characteristics were greatly improved.
  • r with a density of 101 (1 cm- 2 or more) contributes to the improvement of the electrical and reliability characteristics of the silicon oxide film.
  • the apparatus used for forming the nitride film is the same as the apparatus in FIG. 2, and uses Ar or Kr as a plasma excitation gas for forming the nitride film.
  • the inside of the processing chamber 101 is evacuated to a high vacuum state by introducing the Ar gas and NH 3 gas from the shower plate 102 as an example.
  • Set the pressure to about 10 O mT orr (about 13 Pa).
  • a circular substrate 103 such as a silicon wafer is placed on the sample stage 104, and the substrate temperature is set to about 550 ° C.
  • the substrate temperature is within the range of 400-550 ° C, almost the same results can be obtained.
  • a microwave aperture of 2.45 GHz is supplied into the processing chamber through the coaxial waveguide 105, the radial line slot antenna 106 and the dielectric plate 107, and the processing chamber To generate high density plasma.
  • the frequency of the supplied microwave is in the range from 900 MHz to 10 GHz.
  • the interval between the shower plate 102 and the substrate 103 is set to 6 em. The shorter the distance, the faster the film formation.
  • a microwave may be introduced into a processing chamber using another method.
  • Ar is used as the plasma excitation gas, but the same result can be obtained by using Kr.
  • NH 3 is used as the plasma process gas, but a mixed gas such as N 2 and H 2 may be used.
  • the nitride film has been formed by plasma CVD or other methods.However, such a method can provide a high-quality nitride film that can be used as a gate film of a transistor. I didn't.
  • a high-quality nitride film can be formed at a low temperature on the (100) plane or the (111) plane regardless of the plane orientation of silicon. Becomes
  • the presence of hydrogen is one important requirement. Due to the presence of hydrogen in the plasma, dangling pounds in the silicon nitride film and at the interface are terminated by forming Si_H, NH bonds, and as a result, electron traps at the silicon nitride film and the interface are eliminated.
  • the presence of the Si-H bond and the NH bond in the nitride film of the present invention has been confirmed by measuring the infrared absorption spectrum and the X-ray photoelectron spectrum, respectively.
  • FIG. 9 shows the pressure dependency of the silicon nitride film thickness formed by the above-described procedure.
  • the partial pressure ratio of Ar: NH 3 was 98: 2
  • the deposition time was 30 minutes.
  • the growth rate of the nitride film is higher when the pressure in the processing chamber 101 is reduced to increase the energy given by the rare gas (Ar or Kr) to NH 3 (or N 2 ZH 2 ). It turns out that it becomes.
  • the gas pressure is preferably 50-10 OmTorr (about 7-13 Pa).
  • the partial pressure of NH 3 (or N 2 / H 2 ) in the rare gas is preferably in the range of 1 to 10%, more preferably 2 to 6%.
  • the dielectric constant of the silicon nitride film of this example was 7.9, which was about twice that of the silicon oxide film.
  • FIG. 10 shows the current-voltage characteristics of the silicon nitride film of this example.
  • Ar N 2: the partial pressure ratio 93 H 2: 5: 2 is set to a thickness of 4. 2 nm of silicon nitride film ( (Equivalent to a dielectric constant-equivalent oxide film of 2.1 nm). This result is shown in Fig. 10 in comparison with a thermal oxide film with a thickness of 2.1 nm.
  • a leak current characteristic that is at least four orders of magnitude lower than that of the silicon oxide film can be obtained when an IV voltage is applied.
  • the obtained silicon nitride film is an insulating film suitable for suppressing a leakage current between the floating gate electrode and the control gate electrode in the flash memory device.
  • the film formation conditions, physical properties, and electrical characteristics described above are the same for the (100) plane and the (111) plane regardless of the plane orientation of silicon.
  • a silicon nitride film having excellent film quality can be obtained.
  • the effect of the present invention relates to the fact that not only Si—H bonds and N—H bonds but also Ar or Kr are contained in the oxide film.
  • the above-described method of forming an oxide film and a nitride film is similarly applied to the oxidation and nitridation of polysilicon, and a high-quality oxide film and nitride film can be formed on polysilicon.
  • a polysilicon film 203 is deposited on a silicon substrate 201 covered with an insulating film 202. Therefore, the polysilicon silicon slag 203 is subjected to the process of FIG. 11 (B) in the processing vessel 101 of the microwave plasma processing apparatus described in FIG. 2 in a high-density mixed gas of Kr or Ar and oxygen.
  • a silicon oxide film 204 having excellent film quality that is, a small interface state density and a small leak current can be obtained on the surface of the polysilicon film 203.
  • the polysilicon film 203 is exposed to a high-density mixed gas plasma of Kr or Ar and NH 3 or N 2 and H 2 , whereby the polysilicon film 203 is exposed.
  • a similar excellent nitride film 205 can be obtained on the surface of No. 3.
  • the polysilicon film 203 is exposed to a high-density mixed gas plasma of Kr or Ar and oxygen and NH 3 , or N 2 and H 2 , thereby An oxynitride film 206 having excellent film quality can be obtained on the surface of the polysilicon film 203.
  • Polysilicon formed on an insulating film is stable when the (1 1 1) plane orientation is perpendicular to the insulating film, and is dense, has good crystallinity, and has high quality. Actually, crystal grains having another plane orientation also exist in polysilicon. According to the method for forming an oxide film, a nitride film, or an oxynitride film according to the present embodiment, as described above, a high-quality oxide film, a nitride film, or an oxynitride film is formed regardless of the plane orientation of silicon. Can be. For this reason, the processes shown in FIGS.
  • 11A and 11B are performed on a thin high-quality oxide film, nitride film, and oxide film on a polysilicon film such as a first polysilicon gate electrode, which is a floating electrode of flash memory.
  • a polysilicon film such as a first polysilicon gate electrode, which is a floating electrode of flash memory.
  • a polysilicon film such as a first polysilicon gate electrode, which is a floating electrode of flash memory.
  • the oxide film, nitride film and oxynitride film of the present invention can be formed at a low temperature of 550 ° C. or less, so that the polysilicon surface is not roughened.
  • Figure 12 shows a thermal oxide film with a thickness of 100 nm formed on a Si substrate having a (100) plane orientation, and an additional 200 nm thick n-type polysilicon film formed on the thermal oxide film.
  • the results of an oxide film formation experiment performed on the Si substrate are shown in comparison with the case where the (100) and (111) planes of the Si substrate were directly oxidized.
  • the vertical axis represents the thickness of the formed oxide film
  • the horizontal axis represents time. Further in FIG.
  • it is the case in this way to form polysilicon film surface is treated with K r / 0 2 plasma to form an oxide film
  • indicates the case where the (100) plane of the Si substrate was thermally oxidized
  • the opening indicates the case where the (111) plane of the Si substrate was thermally oxidized
  • indicates the case where the surface of the polysilicon film was thermally oxidized. The following shows the case.
  • the heat treatment step is performed in a 100% oxygen atmosphere at 900 ° C.
  • the polysilicon film is de-loop to a carrier concentration of greater than 10 2 ° cm- 3.
  • FIG. 12 when using the Kr / ⁇ 2 plasma oxidation process may be a single crystal surface of any surface orientation S i table surface being oxidized and also shall apply polycrystalline surfaces containing grain boundary It can be seen that almost the same oxidation rate can be obtained.
  • FIG. 13A shows the result of inspecting the surface of the polysilicon film thus formed with an atomic force microscope before performing the oxidation treatment.
  • FIG. 1 3 B shows a state in which the surface of FIG. 1 3 A was treated with K r / ⁇ 2 plasma, namely the state of the polysilicon surface Sani ⁇ is formed on the surface.
  • FIG. 13C shows the surface of the polysilicon surface in a state where the oxide film has been removed from the surface of FIG. 13B by HF treatment.
  • the K r / ⁇ 2 for oxidation using plasma to be efficiently effective even at a low temperature of about 4 0 0 ° C, the crystalline Te polysilicon film odor It can be seen that almost no grain growth occurred, the surface roughness was suppressed, and the formed oxide film had a substantially uniform thickness.
  • Fig. 148 shows the surface state including the oxide film when the polysilicon film of Fig. 13A was thermally oxidized at 900 ° C
  • Fig. 14B shows the oxide film in Fig. 14A. This shows the surface condition after removing.
  • Figure 1 5 A, 1 5 B is, the polysilicon film surface, shows the results of the K r Z0 2 plasma treated sample of a cross section forming a more acid I arsenide film was observed by a transmission electron microscope.
  • Figure 15B is an enlarged view of a part of Figure 15A.
  • an A1 layer is formed on the oxide film (denoted as polyoxide), but the oxide film is formed with a uniform thickness on the surface of the polysilicon film. I understand. Also, referring to the enlarged view of FIG. 15B, it can be seen that the oxide film is uniform.
  • FIG. 16 shows the relationship between the current density of the silicon oxide film thus obtained on the polysilicon film and the applied electric field in comparison with the thermal oxide film.
  • FIG. 17 is a diagram showing FIG. 16 as a Fowler-Nordheim plot.
  • the tunneling current is applied electric field the 5 M VZ cm super
  • the plots in Fig. 17 show that the tunnel current flowing in the film is a Fowler-Nordheim type tunnel current as in the case of the thermal oxide film.
  • K r Z_ ⁇ is ⁇ Baria height of the tunneling current ⁇ in oxidation film formed by oxidation treatment with 2 plasma, greater than that of the thermal oxide film and the breakdown voltage conventional thermal It can be seen that it is larger than the oxide film.
  • the flash memory device is formed on a silicon substrate 1.001, and a tunnel oxide film 1002 formed on the silicon substrate 1001, and the tunnel oxide film.
  • illustration of a source region, a drain region, a contact hole, a wiring pattern, and the like is omitted.
  • the flash memory device of such a configuration in the polysilicon gate electrode 1 0 0 3 in microphone port wave plasma processing apparatus of FIG. 2, by exposure to high-density plasma in which the K r / ⁇ 2 plasma gas, the oxidizing Since an excellent film having a small leakage current can be obtained as the film 104, the thickness of the oxide film 104 can be reduced, and the flash memory element can be driven at a low voltage. Become. Note that, in the flash memory device of FIG. 18, instead of the oxide film 104, the nitride film 1005 formed by the above-described Kr / NH 3 plasma processing step, It is also possible to use the oxynitride film 109 described in the above.
  • a flash memory device which includes a high-voltage transistor and a low-voltage transistor having a gate electrode of a polysilicon / silicide laminated structure using a semiconductor device, will be described.
  • FIG. 19 shows a schematic cross-sectional structure of a flash memory device 100 according to the present embodiment.
  • the flash memory device 100 is formed on a silicon substrate 1001, and a tunnel oxide film 1002 formed on the silicon substrate 1001,
  • a first polysilicon gate electrode formed on the tunnel oxide film and serving as a gate electrode; and a silicon nitride film on the polysilicon gate electrode.
  • 100 4 a silicon oxide film 100 5, a silicon nitride film 100 6, and a silicon oxide film 100 7 are sequentially formed, and a control is provided on the silicon nitride film 100 7.
  • a second polysilicon gate electrode 108 serving as a gate electrode is formed.
  • illustration of a source region, a drain region, a contact hole, a wiring pattern, and the like is omitted.
  • the silicon oxide films 100, 100, and 107 are formed by the silicon oxide film forming method described above.
  • 06 is formed by the silicon nitride film forming method described above, so that even if the film thickness of these films is reduced to about half that of the conventional oxide film and nitride film, good electrical characteristics can be obtained. Guaranteed.
  • a flash memory cell area A, a high-voltage transistor area B, and a low-voltage transistor area C are formed on a silicon substrate 1101 by a field oxide film 1102.
  • a silicon oxide film 1103 is formed in each of the regions A to C.
  • the field oxide film 1102 can be formed by a selective oxidation method (LOCOS method), a shallow trench isolation method, or the like.
  • Kr is used as a plasma excitation gas for forming an oxide film and a nitride film.
  • the microwave plasma shown in Fig. 2 is used to form the oxide and nitride films. Use processing equipment.
  • the silicon oxide film 1103 is removed in the memory cell region A, and a tunnel oxide film 110 is formed in the memory cell region A to a thickness of about 5 nm. .
  • the inside of the vacuum chamber (processing chamber) 101 is evacuated, and Kr gas and O 2 gas are introduced from the shower plate 102.
  • the pressure was set to about 1 Torr (about 1333 Pa)
  • the temperature of the silicon wafer was set to 450 ° C
  • the frequency supplied from the coaxial waveguide 105 was 2.56 GHz.
  • the waves are supplied into the processing chamber through the radial line slot antenna 106 and the dielectric plate 107 to generate high-density plasma.
  • a first polysilicon layer 110 5 is further deposited so as to cover the tunnel oxide film 110.
  • the surface of the deposited polysilicon layer 1105 is flattened by hydrogen radical treatment.
  • the first polysilicon layer 1105 is removed by patterning from the high-voltage transistor region B and the low-voltage transistor region C, and only on the tunnel oxide film 110 in the memory cell region A. The first polysilicon 110 is left.
  • a lower nitride film 110 A, a lower oxide film 110 B, an upper nitride film 110 C, and an upper oxide film 111 are formed on the structure of FIG. 6D are sequentially formed, and an insulating film 110 having a NONO structure is formed using the microwave plasma processing apparatus of FIG.
  • the inside of the vacuum chamber (processing chamber) 101 is evacuated to a high vacuum state in the microphone mouth-wave plasma processing apparatus shown in FIG. 2, and the Kr gas, N 2 gas, H Two gases are introduced, the pressure inside the processing chamber is set at about 10 OmTorr (about 13 Pa), and the temperature of the silicon wafer is set at 500 ° C. Then, in this state, a microwave having a frequency of 2.45 GHz from the coaxial waveguide 105 is supplied into the processing chamber through the radial line slot antenna 106 and the dielectric plate 107 to perform processing. Generates high-density plasma in the room.
  • a silicon nitride film having a thickness of about 2 nm is formed as the lower nitride film 110 A on the surface of the polysilicon.
  • introduction of the Kr gas, N 2 gas, and H 2 gas is stopped, and the inside of the vacuum chamber (processing chamber) 101 is evacuated.
  • introduced and K r gas and 0 2 gas from the shower plates 1 0 2 in a state where the pressure in the treatment chamber was set at l T orr (about 1 3 3 P a) extent, again 2. 4 5 GH z
  • a high-density plasma is generated in the processing chamber 101, and a silicon oxide film having a thickness of about 2 nm is formed on the lower oxide film 110. Formed as B.
  • the insulating film 1106 having the N ⁇ N ⁇ structure can be formed to a thickness of 9 nm.
  • the orientation of the polysilicon does not depend, and the thickness and quality of each oxide film and nitride film are extremely uniform.
  • the insulating film 1106 thus formed is further patterned and selectively removed in the high-voltage transistor region B and the low-voltage transistor region C.
  • ion implantation for threshold voltage control is performed on the high-voltage transistor region B and the low-voltage transistor region C, and the oxide film 110 3 on the regions B and C is further implanted. Is removed. Further, in the high-voltage transistor region B, Forms a gate oxide film 1107 with a thickness of 7 nm, and then forms a gate oxide film 1108 with a thickness of 3.5 nm in the low voltage transistor region C.
  • a second polysilicon layer 1109 and a silicide layer 111 are sequentially formed on the entire structure including the field oxide film 1102, and furthermore, The gate electrodes 1 1 1 1 B and 1 1 1 1 C are formed in the high-voltage and low-voltage transistor regions B and C, respectively, by performing these processes.
  • the polysilicon layer 1109 and the silicide layer 111 are patterned to form a gate electrode 111A.
  • the device is completed by forming the source and drain, forming the insulating film, forming the contact, and forming the wiring according to standard semiconductor processes.
  • a flash memory integrated circuit device formed by two-dimensionally arranging a plurality of flash memory elements of the present invention can perform information writing and erasing operations at a low voltage, suppress generation of a substrate current, and reduce the tunnel insulating film. Inferiority is suppressed, and the characteristics of the element are stabilized.
  • the flash memory device of the present invention has excellent low-leakage characteristics, can operate for writing and erasing at a voltage of about 7 V, increases the memory retention time by one digit or more, and increases the number of rewritable times by about one digit or more. be able to.
  • a flash memory according to a sixth embodiment of the present invention having a gate electrode of a polysilicon / silicide laminated structure using a technique of forming an oxide film and a nitride film at a low temperature using the high-density microphone mouth-wave plasma at a low temperature.
  • the element will be described.
  • FIG. 24 shows a schematic sectional structure of a flash memory device 1500 according to the present embodiment.
  • the flash memory element 150 A tunnel nitride film 1502 formed on the silicon substrate 1501, and a first polysilicon gate formed on the tunnel nitride film 1502 and serving as a floating gate electrode.
  • a second polysilicon electrode 1507 serving as a control gate electrode is formed on the silicon oxide film 1506.
  • illustration of a source region, a drain region, a contact hole, a wiring pattern, and the like is omitted.
  • the silicon oxide films 1502, 1504 and 1506 are the silicon oxide film forming method using the high-density microwave plasma described above.
  • the silicon nitride film 1505 is formed by the silicon nitride film forming method using the high-density microphone mouth-wave plasma described above.
  • the steps up to patterning the first polysilicon layer 1503 are the same as the steps in FIGS. 20 and 21 described above.
  • the tunnel nitride film 1 5 0 2 after evacuating the vacuum vessel (processing chamber) 1 0 in 1, A r gas from the shower plate 1 0 2, New 2 gas, Eta 2 gas And set the pressure in the processing chamber to about 10 OmTorr (about 13 Pa), supply a 2.45 GHz microphone mouth wave, and generate high-density plasma in the processing chamber. It has a thickness of about 4 nm.
  • a lower silicon oxide film 1504 and a silicon nitride film 150 are formed on the first polysilicon layer in the region A.
  • 05 and an upper silicon oxide film 1506 are sequentially formed, and an insulator film having an ONO structure is formed.
  • evacuating the vacuum vessel (processing chamber) 1 0 1 of the microwave plasma processing device described above in FIG. 2 in a high vacuum state K r gas from the shower plate 1 0 2 0 2 Gas is introduced, and the pressure in the processing chamber 101 is set to about l Torr (about 133 Pa).
  • a microphone mouth wave of 2.45 GHz is supplied into the processing chamber 101 to generate a high-density plasma, whereby the first polysilicon is produced.
  • a silicon oxide film having a thickness of about 2 nm is formed on the surface of the silicon layer 1503.
  • the inside of the vacuum chamber (processing chamber) 101 was evacuated. N 2 gas and H 2 gas are introduced, and the pressure in the processing chamber is set to about 1 Torr (about 133 Pa).
  • high-density plasma is generated in the processing chamber 101 by supplying a microwave of 2.445 GHz again, and the silicon nitride film is converted into a hydrogen nitride radical NH * accompanying the high-density plasma. Exposure to a dense silicon nitride film.
  • a silicon oxide film was formed to a thickness of about 2 nm on the dense silicon nitride film by the CVD method, and again from the shower plate 102 using a microwave plasma apparatus, Kr gas, 0 2 gas was introduced and to set the pressure of the processing chamber 1 0 1 the degree 1 T orr (about 1 3 3 P a).
  • a microwave of 2.45 GHz is supplied again into the processing chamber 101 to generate high-density plasma in the processing chamber 101.
  • the CVD silicon oxide film is converted into a dense silicon oxide film.
  • an ONO film having a thickness of about 7 nm is formed on the polysilicon film 1503, but the formed ⁇ N ⁇ film does not depend on the plane orientation of the polysilicon.
  • the ONO film has a very uniform thickness.
  • the ONO film is then subjected to a patterning process for removing the portions corresponding to the high-voltage and low-voltage transistor regions B and C, and subsequently to the same steps as in the fourth embodiment, and Complete the device.
  • This flash memory device has excellent low leakage characteristics and can operate at a write / erase voltage of about 6 V.
  • the memory retention time is one digit higher than that of the conventional flash memory.
  • the number of rewritable times can be increased by about one digit or more.
  • a technology for forming a low-temperature oxide film and a nitride film using the microphone mouth-wave high-density plasma A description will be given of a flash memory device 160 according to a seventh embodiment of the present invention having a gate electrode of a polysilicon Z-silicide laminated structure using the same.
  • FIG. 25 shows a schematic sectional structure of the flash memory device 160.
  • the flash memory device 160 of this embodiment is formed on a silicon substrate 1601, and a tunnel oxide film formed on the silicon substrate 1601.
  • a first polysilicon gate electrode 163 formed on the tunnel oxide film 162 and constituting a floating gate electrode, wherein the first polysilicon gate electrode 166 is provided.
  • a silicon nitride film 1604 and a silicon oxide film 1605 are sequentially formed on 03.
  • a second polysilicon gate electrode 166 serving as a control gate electrode is formed on the silicon oxide film 1605.
  • FIG. 25 illustration of a source region, a drain region, a contact hole, a wiring pattern, and the like is omitted.
  • the silicon oxide films 1602 and 1605 are formed by the silicon oxide film forming method described above, and the silicon nitride film 1604 is described above. Formed by the silicon nitride film forming method described above.
  • the first polysilicon layer 163 is formed in the region A until the first polysilicon layer 163 is patterned.
  • a silicon nitride film and a silicon nitride film are sequentially formed on the first polysilicon layer 163 to form an insulator film having an NO structure.
  • the NO film is formed as follows using the microwave plasma processing apparatus of FIG.
  • the inside of the vacuum chamber (processing chamber) 101 is evacuated, 1 ⁇ 1 "gas, N 2 gas, and H 2 gas are introduced from the shower plate 102, and the pressure in the processing chamber is adjusted to 10 O mT orr (approx. In this state, a microwave of 2.45 GHz is supplied to generate high-density plasma in the processing chamber, and the nitrogen of the polysilicon layer 1603 is reduced.
  • a silicon nitride film with a thickness of about 3 nm is formed by the arsenic reaction.
  • a silicon oxide film is formed to a thickness of about 2 nm by the CVD method, and the mask is formed again.
  • high-density plasma is generated in the processing chamber, and the oxide film formed by the CVD method is converted into an atom accompanying the high-density plasma. Exposure to oxygen O *.
  • the CVD oxide film is converted into a dense silicon oxide film.
  • the NO film thus formed had a thickness of about 5 nm, but did not depend on the plane orientation of polysilicon, and was extremely uniform. After the N ⁇ film is formed in this manner, it is patterned, and portions formed in the high-voltage and low-voltage transistor regions B and C are selectively removed.
  • the flash memory device thus formed has excellent low-leakage characteristics, and can perform writing and erasing at a low voltage of about 5 V.
  • the retention time can be increased by one digit or more, and the number of rewritable times can be increased by approximately one digit or more.
  • the method of forming the memory cell, the high-voltage transistor, and the low-voltage transistor described in the above embodiments is merely an example, and the present invention is not limited to these.
  • Ar may be used in place of Kr in forming the nitride film of the present invention.
  • polysilicon / silicide, polysilicon Z high melting point metal / amorphous It is also possible to use a film having a laminated structure such as silicon or polysilicon.
  • the oxide film ⁇ ⁇ nitride film of the present invention in addition to the microwave plasma processing apparatus shown in FIG. 2, another plasma processing apparatus capable of forming a low-temperature oxide film using plasma is used. May be used.
  • the example in which the film is formed by using the plasma apparatus using the radial line slot antenna is described.
  • the microphone mouth wave may be introduced into the processing chamber by using another method.
  • a plasma gas such as Kr gas or Ar gas is discharged from the first shower plate, and the processing gas is discharged from a second gas discharge unit different from the first gas discharge unit.
  • shower from the shower plate It is also possible to use a plate type plasma processing apparatus. In this case, for example, oxygen gas may be released from the second shower plate.
  • a process is performed such that a floating gate electrode of a flash memory element is formed by the first polysilicon electrode and a gate electrode of a high-voltage transistor is formed by the same first polysilicon electrode. It is also possible to design.
  • the film can be formed at a high temperature of about 100 ° C.
  • Silicon oxide film, silicon nitride film or silicon oxynitride film is equivalent to or better than silicon thermal oxide film and silicon nitride film deposited by CVD.
  • a high-quality, high-performance flash memory device with excellent charge retention characteristics that can be rewritten at a low voltage can be realized.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

フラッシュメモリ素子およびその製造方法、 誘電体膜の形成方法 技術分野
本発明は一般に半導体装置およびその製造方法に係り、特に誘電体膜の形成方 法、およびフラッシュメモリ素子を含む電気的に情報の書き換えが可能な不揮発 性半導体メモリ素子およびその製造方法に関する。
半導体メモリ装置には、揮発性メモリ装置である D RAMや S RAM、不揮発 性メモリであるマスク ROMや P R OM、 E P ROM、 E E P ROM等が含まれ るが、メモリセル 1個当り 1個のトランジスタを有する E E P R OMであるいわ ゆるフラッシュメモリは小型 ·大容量 ·低消費電力を特徴とし、 その改良に向け て多大の努力がなされている。特にフラッシュメモリを低電圧で長期間にわたり、 安定して駆動するには、 均一で優れた膜質の絶縁膜が必要不可欠である。 背景技術
まず、従来のフラッシュメモリ素子を、一般的な積層型ゲート(stacked-gate) 構造を有するフラッシュメモリ素子の概念を示す図 1を参照しながら説明する。 図 1を参照するに、フラッシュメモリ素子はシリコン基板 1 7 0 0上に構成さ れており、前記シリコン基板 1 7 0 0中に形成されたソース領域 1 7 0 1および ドレイン領域 1 7 0 2と、前記シリコン基板 1 7 0 0上において前記ソース領域 1 7 0 1とドレイン領域 1 7 0 2との間に形成されたトンネルゲ一ト酸化膜 1 7 0 3と、前記トンネルゲート酸化膜 1 7 0 3上に形成されたフローティングゲ ート 1 7 0 4とを含み、前記フローティングゲ一ト 1 7 0 4上にはシリコン酸化 膜 1 7 0 5とシリコン窒化膜 1 7 0 6とシリコン酸化膜 1 7 0 7とが順次積層 され、さらに前記シリコン酸化膜 1 7 0 7上にはコントロールゲート 1 7 0 8が 形成されている。すなわち、 かかる積層構造のフラッシュメモリセルでは、 図 1 に示されるように、フローティングゲート 1 7 0 4とコントロールゲ一ト 1 7 0 8が絶縁膜 1 7 0 5、 1 7 0 6および 1 7 0 7よりなる絶縁構造を間に挟むよう に積層されている。
前記フローティングゲ一ト 1 7 0 4とコントロールゲート 1 7 0 5間に設け られる前記絶縁構造は、フローティングゲ一ト 1 7 0 4とコントロールゲート 1 7 0 5との間のリーク電流を抑えるため、このように窒化物膜 1 7 0 6を酵化物 膜 1 7 0 5および 1 7 0 7で挟持した、いわゆる ONO構造を有するのが一般的 である。通常のフラッシュメモリ素子では、 トンネルゲート酸化膜 1 7 0 3およ びシリコン酸化膜 1 7 0 5は熱酸化法で、 また、 シリコン窒化膜 1 7 0 6、 シリ コン酸化膜 1 7 0 7は CVD法で形成される。シリコン酸化膜 1 7 0 5は C VD で形成される場合もある。 トンネルゲート酸化膜 1 7 0 3の膜厚は 8 nm程度お よび絶縁膜 1 7 0 5、 1 7 0 6、 1 7 0 7の膜厚の総和は酸化膜厚換算で 1 5 n m程度である。 また、 このメモリセルの他に、 3〜7 nm程度の厚さのゲート酸 ィ匕膜を有する低電圧用トランジスタと 1 5〜3 O nm厚さのゲート酸化膜を有 する高電圧用トランジス夕が同一シリコン上に形成される。
このように構成された積層構造のフラッシュメモリセルでは、例えば一例とし て、情報の書き込み時にドレイン 1 7 0 2に約 5〜 7 Vを印加し、 さらにコント ロールゲート 1 7 0 8に 1 2 V程度以上の高電圧を印加することにより、 ドレイ ン領域 1 7 0 2付近に発生するチャネルホット電子をトンネル絶縁膜 1 7 0 3 を通してフロ一ティングゲートに蓄積する。 また、 このようにして蓄積された電 子を消去するときには、 ドレイン領域 1 7 0 2をフローティングにし、 コント口 —ルゲート 1 7 0 8を接地し、ソース領域 1 7 0 1に 1 2 V程度以上の高電圧を 印加することで、前記フロ一ティングゲ一ト 1 7 0 4に蓄積された電子を前記ソ ース領域 1 7 0 1に引き抜く。
しかし、かかる従来のフラッシュメモリ素子は、情報の書き込み及び消去動作 時に高電圧を必要とし、かかる高電圧の印加によって多量の基板電流が発生して、 トンネル絶縁膜が劣化し、素子の特性の低下を招くという問題点があった。 また、 高電圧印加が原因となって、書き換え回数の制限や過消去等の問題を内包してい た。
従来のフラッシュメモリ素子において高電圧を印加しなければならない原因 は、絶縁膜 1 7 0 5、 1 7 0 6および 1 7 0 7からなる ONO膜の膜厚が厚いこ とにある。
従来の成膜技術では、フローティングゲート 1 7 0 4上に前記絶縁膜 1 7 0 5 として酸化膜を形成する際に熱酸化等の高温処理を使うとポリシリコンゲート 1 7 0 4と前記酸化膜との界面がサ一マルバジェット等の影響で粗悪になって しまう問題が生じていた。一方、 この問題を回避するために C VD等の低温処理 でかかる酸化膜を形成しょうとした場合、高品質で薄膜の酸化膜を形成すること が困難であった。 このような理由で、従来のフラッシュメモリ素子では絶縁膜 1 7 0 5、 1 7 0 6、 1 7 0 7の膜厚を厚くすることで絶縁膜のリーク電流を抑制 せざるを得なかった。
しかし絶縁膜 1 7 0 5、 1 7 0 6、 1 7 0 7の膜厚を厚くしなければならない ことから、かかる従来のフラッシュメモリ素子では書き込みおよび消去電圧が必 然的に高くなつてしまい、 その結果、 前記トンネルゲート絶縁膜 1 7 0 3も、 高 電圧に耐えるよう厚くする必要があった。 発明の開示
そこで、本発明は上記の課題を解決した新規で有用なフラッシュメモリ素子お よびその製造方法、および絶縁膜の形成方法を提供することを概括的課題とする。 本発明のより具体的な課題は、リーク電流を生じることなくトンネルゲート絶 縁膜やフローティングゲ一卜とコントロールゲートとの間の絶縁膜の膜厚を減 少させることができ、低電圧で書き込み消去が可能な、低温で形成された高品質 な絶縁膜を有する信頼性の高い高性能なフラッシュメモリ素子、およびその製造 方法を提供することにある。
本発明の他の課題は、ポリシリコン上において高品質な絶縁膜を形成できる絶 縁膜の形成方法を提供することにある。
本発明の他の課題は、
シリコン基板と、
前記シリコン基板上にトンネル絶縁膜を介して形成された第 1の電極と、 前記第 1の電極上に絶縁膜を挟んで形成された第 2の電極とよりなるフラッ シュメモリ素子において、 前記絶縁膜は少なくともシリコン酸化膜とシリコン窒化膜を 1層ずつ含む積 層構造を有し、 前記シリコン酸化膜の少なくとも一部に 1 01Q c m-2以上の面密 度の K rを含有することを特徴とするフラッシュメモリ素子を提供することに める。
本発明によれば、フラッシュメモリ素子においてフローティングゲ一ト電極と コントロールゲ一ト電極との間の絶縁膜を、 原子状酸素〇*あるいは窒ィ匕水素ラ ジカル NH*を効率よく形成する A rまたは K rプラズマ中における酸化反応あ るいは窒化反応により形成することにより、前記絶縁膜の膜質が向上し、 これに 伴いリーク電流を増やすことなく前記絶縁膜の膜厚を低減することができる。そ の結果、本発明のフラッシュメモリ素子は低電圧で高速動作が可能で、 また長い 寿命を有する。
本発明のその他の課題は、
シリコン基板と、前記シリコン基板上に絶縁膜を介して形成されたポリシリコ ンよりなる第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された 第 2の電極とよりなり、前記電極間絶縁膜は少なくともシリコン酸化膜とシリコ ン窒化膜を 1層ずつ含む積層構造を有するフラッシュメモリ素子の製造方法で あって、 前記シリコン酸化膜は、
CVD法により堆積されたシリコン酸化膜を、酸素を含むガスと K rガスを主 体とする不活性ガスとよりなる混合ガスにマイク口波によりプラズマを励起す ることで形成される原子状酸素 O*に曝すことにより形成されることを特徴とす るフラッシュメモリ素子の製造方法を提供することにある。
本発明によれば、前記電極間絶縁膜として優れたリ一ク電流特性を有する酸化 膜が得られるため、簡単な構成で、'フローティングゲート電極中に安定に電荷を 保持でき、 低電圧駆動が可能なフラッシュメモリを実現することができる。 本発明のその他の課題は、
シリコン基板と、前記シリコン基板上に絶縁膜を介して形成されたポリシリコ ンょりなる第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された 第 2の電極とよりなり、前記電極間絶縁膜は少なくともシリコン酸化膜とシリコ ン窒化膜を 1層ずつ含む積層構造を有するフラッシュメモリ素子の製造方法で あって、 前記シリコン窒化膜は、
C V D法により堆積されたシリコン窒化膜を、 N H3ガスまたは N2および H2 を含むガスと A rまたは K rガスを主体とするガスとよりなる混合ガスにマイ ク口波によりプラズマを励起することで形成された窒化水素ラジカル NH*に曝 すことで形成されることを特徴とするフラッシュメモリ素子の製造方法を提供 することにある。
本発明によれば、前記電極間絶縁膜として優れたリーク電流特性を有する窒化 膜が得られるため、簡単な構成で、 フロ一ティングゲート電極中に安定に電荷を 保持でき、 低電圧駆動が可能なフラッシュメモリを実現することができる。
本発明のその他の課題は、
基板上にポリシリコン膜を堆積する工程と、
前記ポリシリコン膜の表面を、酸素を含むガスと K rガスを主体とする不活性 ガスとよりなる混合ガスにマイク口波によりプラズマを励起することで形成さ れる原子状酸素 O*に曝すことにより、 前記ポリシリコン膜の表面にシリコン酸 化膜を形成する工程とよりなることを特徴とするシリコン酸化膜の形成方法を 提供することにある。
本発明によれば、 原子状酸素 0*に曝すことにより、 ポリシリコン膜上にシリ コン結晶の方位によらず、一様で均質なシリコン酸化膜を形成することが可能に なる。かかるシリコン酸化膜は熱酸化膜に匹敵する、優れたリーク電流特性を有 し、熱酸化膜の場合と同様なファウラー ·ノルトハイム型のトンネリングを生じ る。
本発明のその他の課題は、
基板上にポリシリコン膜を堆積する工程と、
前記ポリシリコン膜の表面を、窒素と水素を成分元素として含むガスと A rま たは rガスを主体とする不活性ガスとよりなる混合ガスにマイク口波により プラズマを励起することで形成される窒化水素ラジカル NH*に曝すことにより、 前記ポリシリコン膜の表面に窒化膜を形成する工程とよりなることを特徴とす るシリコン窒化膜の形成方法を提供することにある。
本発明によれば、 ポリシリコン膜の表面に、優れた特性の窒化膜を形成するこ とが可能になる。
本発明のその他の課題は、
基板上〖こポリシリコン層を堆積する工程と、
前記ポリシリコン層を、 A rまたは K rを主とする不活性ガスと酸素を成分元 素として含むガスと窒素を成分元素として含むガスとの混合ガス中にマイク口 波により励起 ·形成されたプラズマに曝し、前記ポリシリコン膜の表面を誘電体 膜〖こ変換する工程とよりなることを特徴とする誘電体膜の形成方法を提供する ことにある。
本発明によれば、前記ポリシリコン膜の表面に、優れた特性の酸窒化膜を形成 することが可能になる。
本発明のその他の課題は、
シリコン基板と、前記シリコン基板上に絶縁膜を介して形成されたポリシリコ ンょりなる第 1の電極と、前記第 1の電極上に電極間酸化膜を挟んで形成された 第 2の電極とよりなるフラッシュメモリ素子の製造方法であって、前記電極間酸 化膜は、
前記シリコン基板上にポリシリコン膜を前記第 1の電極として堆積する工程 と、
前記ポリシリコン膜の表面を、酸素を含むガスと K rガスを主体とする不活性 ガスとよりなる混合ガスにマイク口波によりプラズマを励起することで形成さ れる原子状酸素〇*に曝すことにより形成されることを特徵とすることを特徴と するフラッシュメモリ素子の製造方法を提供することにある。
本発明によれば、前記電極間酸化膜として優れたリーク電流特性を有する酸化 膜が得られるため、簡単な構成で、 フローティングゲート電極中に安定に電荷を 保持でき、 低電圧駆動が可能なフラッシュメモリを実現することができる。 本発明のその他の課題は、
シリコン基板と、前記シリコン基板上に絶縁膜を介して形成されたポリシリコ ンょりなる第 1の電極と、前記第 1の電極上に電極間窒化膜を挟んで形成された 第 2の電極とよりなるフラッシュメモリ素子の製造方法であつて、前記電極間窒 化膜は、 前記シリコン基板上にポリシリコン膜を前記第 1の電極として堆積する工程 と、
前記ポリシリコン膜の表面を、窒素と水素を含むガスと A rまたは K rガスを 主体とする不活性ガスとよりなる混合ガスにマイク口波によりプラズマを励起 することで形成される窒ィ匕水素ラジカル NH*に曝すことにより形成されること を特徴とするシリコン窒化膜の形成方法を提供することにある。
本発明によれば、前記電極間窒化膜として優れたリーク電流特性の窒化膜が得 られるため、簡単な構成で、 フローティングゲート電極中に安定に電荷を保持で き、 低電圧駆動が可能なフラッシュメモリ素子を実現することができる。
本発明の他の課題は、
シリコン基板と、前記シリコン基板上に絶縁膜を介して形成されたポリシリコ ンょりなる第 1の電極と、前記第 1の電極上に電極間酸窒化膜を挟んで形成され た第 2の電極とよりなるフラッシュメモリ素子の製造方法であつて、前記電極間 酸窒化膜は、
前記シリコン基板上にポリシリコン膜を前記第 1の電極として堆積する工程 と、
前記ポリシリコン層を、 A rまたは K rを主とする不活性ガスと酸素おょぴ窒 素を含むガスとの混合ガス中にマイクロ波により励起'形成されたプラズマに曝 し、前記ポリシリコン膜の表面をシリコン酸窒化膜に変換する工程とにより形成 されることを特徴とするフラッシュメモリの製造方法を提供することにある。 本発明によれば、電極間酸窒化膜として、優れたリーク電流特性を有する酸窒 ィ匕膜が得られるため、 フローティングゲート電極中に安定に電荷を保持でき、低 電圧駆動が可能なフラッシュメモリ素子を実現することが可能である。
本発明のその他の課題は、
ポリシリコン膜上へのシリコン酸化膜の形成方法であつて、
処理容器を備え、さらに前記処理容器の一部に被処理基板に平行に延在しブラ ズマガスを前記被処理基板に向って供給する多数の開口部を有するシャワープ レ一トを備え、さらに前記シャワープレートを介して処理容器内にマイク口波を 照射するマイク口波放射アンテナを備えたマイク口波処理装置の処理容器中に おいて、前記シャワープレートから前記処理容器中に、 K rを主体とするガスと 酸素を含むガスとを供給し、前記マイク口波放射ァンテナから前記シャワープレ 一トを介して前記処理容器中にマイク口波を供給し、前記処理容器中において原 子状酸素〇*を含むプラズマを形成する工程と、
前記処理容器中において、基板上に形成されたポリシリコン膜の表面を、前記 プラズマにより酸化させ、シリコン酸化膜を形成する工程とよりなることを特徴 とするシリコン酸化膜の形成方法を提供することにある。
本発明によれば、シャワープレートから一様に供給されるプラズマガスをマイ クロ波により励起することで、処理室中に電子温度の低い高密度プラズマを形成 でき、かかるプラズマにより、ポリシリコン膜を酸化する原子状酸素が効率的に 形成される。このようにして K rプラズマにより形成されたシリコン酸化膜は下 地となり S i結晶の方位に依存せず、従ってポリシリコン膜上に一様に形成され る。かかるシリコン酸ィヒ膜は界面準位が少なく、 リーク電流が少ない好ましい特 徵を有する。本発明では前記ポリシリコンの酸化処理が 5 5 0 ° C以下の低温で 可能であり、その結果、 かかる酸化処理を行ってもポリシリコン膜中に実質的な 粒成長が生じることがなく、かかる粒成長に伴う酸化膜への電 中等の問題が 回避される。
本発明のその他の課題は、
ポリシリコン膜上へのシリコン窒化膜の形成方法であって、
処理容器を備え、さらに前記処理容器の一部に被処理基板に平行に延在しブラ ズマガスを前記被処理基板に向って供給する多数の開口部を有するシャワープ レ一トを備え、さらに前記シャワープレ一トを介して処理容器内にマイク口波を 照射するマイク口波放射アンテナを備えたマイク口波処理装置の処理容器中に おいて、前記シャワープレートから前記処理容器中に、 A rまたは K rを主体と するガスと窒素と水素とを含むガスとを供給し、前記マイクロ波放射アンテナか ら前記シャワープレートを介して前記処理容器中にマイク口波を供給し、前記処 理容器中において窒化水素ラジカル NH*を含むプラズマを形成する工程と、 前記処理容器中において、基板上に形成されたポリシリコン膜の表面を、前記 プラズマにより窒ィ匕させ、シリコン窒化膜を形成する工程とよりなることを特徴 とするシリコン窒化膜の形成方法を提供することにある。
本発明によれば、シャワープレー卜から一様に供給されるプラズマガスをマイ ク口波により励起することで、処理室中に電子温度の低い高密度プラズマを形成 でき、 力 るプラズマにより、ポリシリコン膜を窒化する窒ィ匕水素ラジカル NH *が効率的に形成される。 このようにして K rプラズマにより形成されたシリコ ン窒化膜は低温で形成されているにもかかわらず、リーク電流が少ない好ましい 特徴を有する。
本発明の他の課題は、
シリコン基板と、前記シリコン基板上にトンネル絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に絶縁膜を挟んで形成された第 2の電極とよりな り、前記絶縁膜は少なくともシリコン酸化膜とシリコン窒化膜を 1層ずつ含む積 層構造を有するフラッシュメモリ素子の製造方法であつて、
前記シリコン酸化膜は、処理室中に酸素を含むガスと K rガスを主体とするガ スを導入し、マイク口波により前記処理室中においてプラズマを励起することに より形成されることを特徴とするフラッシュメモリ素子の製造方法を提供する ことにある。
本発明によれば、 前記第 1の電極表面を原子状酸素〇*を効率よく形成する K rプラズマ中において、低温で酸化処理することが可能になり、その結果前記シ リコン酸化膜として界面準位の少ない、またリーク電流の小さい酸化膜を得るこ とができる。
本発明の他の課題は、
シリコン基板と、前記シリコン基板上にトンネル絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に絶縁膜を挟んで形成された第 2の電極とよりな り、前記絶縁膜は少なくともシリコン酸化膜とシリコン窒化膜を 1層ずつ含む積 層構造を有するフラッシュメモリ素子の製造方法であって、
前記シリコン窒化膜は、 処理室中に NH3ガスまたは N2および H2を含むガス と A rまたは K rガスを主体とするガスを導入し、マイク口波により前記処理室 中にプラズマを励起することにより形成されることを特徴とするフラッシュメ モリ素子の製造方法を提供することにある。 本発明によれば、 前記第 1の電極表面を窒化水素ラジカル NH*を効率よく形 成する A rまたは K rプラズマ中において、低温で窒化処理することが可能にな り、その結果前記シリコン窒化膜としてリーク電流の少ない窒化膜を得ることが できる。 本発明のその他の特徴および利点は、以下に図面を参照しながら行う発明の詳 細な説明より明らかとなろう。 図面の簡単な説明
図 1は、 従来のフラッシュメモリ素子の断面構造の概略断面構造を示す図; 図 2はラジアルラインスロットアンテナを用いたプラズマ装置の概念を示す 図;
図 3は本発明の第 1実施例により形成された酸化膜について、得られた酸化膜 厚と処理室内のガス圧力との関係を示す図;
図 4は本発明の第 1実施例により形成された酸化膜について、得られた酸化膜 厚の酸化時間依存性を示す図;
図 5は本発明の第 1実施例によるシリコン酸化膜中の K r密度の深さ方向分 布を示す図;
図 6は本発明の第 1実施例によるシリコン酸化膜の界面準位密度を示す図; 図 7は本発明の第 1実施例によるシリコン酸化膜中の界面準位密度と絶縁耐 圧との関係を示す図;
図 8 A, 8 Bは本発明の第 1実施例において得られたシリコン酸化膜中の界面 準位密度および絶縁耐圧と、 処理室内の全圧との関係を示す図;
図 9は本発明の第 2実施例により形成された窒化膜について、窒化膜厚の処理 室内ガス圧力依存性を示す図;
図 1 0は本発明の第 2実施例によるシリコン窒化膜の電流電圧特性を示す 図:
図 1 1 A, 1 I Bは、本発明の第 3実施例によるポリシリコン膜の酸化処理、 窒化処理および ¾窒化処理を示す図; 図 1 2は、本発明の第 3実施例によるポリシリコン膜の酸化処理について、得 られた酸化膜厚の酸化時間依存性を示す図;
図 1 3 A〜1 3 Cは、本発明の第 3実施例によるポリシリコン膜の酸化処理に 伴う表面状態の変化を示す図;
図 1 4 A, 1 4 Bは、 ポリシリコン膜を熱酸化処理した場合の表面状態の変化 を示す図;
図 1 5 A, 1 5 Bは、本発明の第 3実施例により形成されたポリシリコン膜の 透過型電子顕微鏡像を示す図;
図 1 6〜1 7は、本発明の第 3実施例によりポリシリコン上に形成された酸化 膜の電気的特性を、 熱酸化膜と比較して示す図;
図 1 8は、本発明の第 4実施例によるフラッシュメモリ素子の断面構造を示す 図;
図 1 9は、本発明の第 5実施例によるフラッシュメモリ素子の断面構造を示す 図;
図 2 0〜 2 3は、本発明の第 5実施例によるフラッシュメモリ素子の製造工程 を示す図;
図 2 4は、本発明の第 6実施例によるフラッシュメモリ素子の断面構造を示す 図;
図 2 5は、本発明の第 7実施例によるフラッシュメモリ素子の断面構造を示す 図である。 発明を実施するための最良の態様
以下に、 本発明を実施例をあげて詳細に説明する。
[第 1実施例]
まずは、 プラズマを用いた低温の酸化膜形成について述べる。
図 2は、本発明の酸化方法を実現するための、 ラジアルラインスロットアンテ ナを用いたマイクロ波プラズマ処理装置の一例を示す断面図である(W0 9 8 Z 3 3 3 6 2号公報参照)。 本実施例においては、 酸化膜形成時のために K rをプ ラズマ励起ガスとして使用していることに新規な特徴がある。 図 2を参照するに、前記マイク口波プラズマ処理装置は被処理基板 1 0 3を保 持する試料台 1 0 4を備えた真空容器(処理室) 1 0 1を有し、 前記処理室 1 0 1内を真空にし、前記処理室 1 0 1の壁面の一部に形成したシャワープレート 1 0 2から K rガスおよび 02ガスを導入することで処理室内の圧力を 1 T o r r. ( i 3 3 P a)程度に設定する。 さらにシリコンウェハ等の円形状の基板を前 記被処理基板 1 0 3として加熱機構を持つ試料台 1 0 4に置き、試料の温度を 4 0 0 °C程度に設定する。この温度設定は 2 0 0 - 5 5 0 の範囲であるのが好ま しく、 この範囲内であれは以下に述べる結果はほとんど同様のものとなる。 次に外部のマイクロ波源に接続された同軸導波管 1 0 5力ゝら、ラジアルライン スロットアンテナ 1 0 6および誘電体板 1 0 7を通して、処理室 1 0 1内に 2. 4 5 GH zのマイク口波を供給し、処理室 1 0 1内に高密度のプラズマを生成す る。供給するマイク口波の周波数が 9 0 0 MH z以上 1 0 GH z以下の範囲にあ れば、以下に述べる結果はほとんど同様のものとなる。 シャワープレート 1 0 2 と基板 1 0 3の間隔は、本実施例では 6 c mにしてある。 この間隔は狭いほうが より高速な成膜が可能となる。
図 2のマイク口波プラズマ処理装置では、前記被処理基板 1 0 3の表面におい T l x 1 012 c m-3を超えるプラズマ密度を実現することができる。 また形成さ れる高密度プラズマはマイク口波により励起されるため電子温度が低く、被処理 基板 1 0 3の表面におけるプラズマ電位は 1 0 V以下となる。このため被処理基 板 1 0 3表面がプラズマにより損傷することがなく、また処理室 1 0 1のプラズ マスパッタリングが生じないため、被処理基板 1 0 3が汚染されることもない。 またプラズマ処理がシャワープレート 1 0 2と被処理基板 1 0 3との間の狭い 空間においてなされるため、反応生成物は前記空間を速やかに側方へと流れ、試 料保持台 1 0 4の周囲に形成された大容積の空間から排気されるため、非常に均 一な処理が可能である。
このようにして形成された K rガスと 02ガスが混合された高密度励起プラズ マ中では、 中間励起状態にある K r *と 02分子が衝突し、 原子状酸素〇*が効率 よく発生し、 この原子状酸素により基板表面が酸化される。従来のシリコン表面 の酸ィ匕は H20肝あるいは〇2分子により行われ、 処理温度は 8 0 0 °C以上と極 めて高いものであつたが、本発明の原子状酸素による酸化は、 550°C以下と十 分に低い温度で可能である。
Kr*と〇2の衝突機会を大きくするには、処理室 101内の圧力が高い方が望 ましいが、 あまり高くすると、 発生した〇*同志が衝突し、 02分子に戻ってしま う。 このため当然ながら、 最適ガス圧力が存在する。
図 3に、 前記処理室 101内での K rと酸素の圧力比を K r 97%, 酸素 3 % に維持しながら処理室 101の全圧を変えたときの、得られる酸化膜の厚さを示 す。 ただし図 3の実験では、 シリコン基板温度を 400° Cに設定し、酸化処理 を 10分間行っている。
図 3を参照するに、前記処理室 101内のガス圧が 1 T o r rの時に得られる 酸化膜の膜厚は最大になり、この圧力ないしはその近傍の酸ィ匕条件が最適である ことがわかる。 しかも、 この最適圧力は基板シリコンの面方位が 100面でも 1 11面でも変わらない。
図 4は、 前記 r /〇2高密度プラズマを用いたシリコン基板表面の酸化処理 の際に得られる酸化膜の膜厚と酸化時間との関係を示す。ただし図 4中には、 シ リコン基板の面配向が(100)面と (111) 面の場合の両方の結果を示して いる。 また図 4には、従来の 900°Cのドライ熱酸化による酸ィ匕時間依存性をも 示している。
図 4を参照するに、基板温度 400° C、処理室内圧力 ΙΤο r rでの KrZ 〇2高密度プラズマ酸化処理による酸化速度は、 基板温度 900° Cでの大気圧 ドライ〇2酸化の際の酸化速度よりも速いことがわかる。
また、 従来の 900°Cドライ熱酸ィ匕では (111) 面方位シリコンの方が、 (1 00) 面方位シリコンよりも酸化膜の成長速度が速いが、 KrZ〇2高密度ブラ ズマ酸化では、 逆に (111) 面方位シリコンの方が(100) 面方位シリコン よりも成長速度が遅くなつているのがわかる。本来 S i基板では( 111 )面方 位の方が(100)面よりもシリコンの面原子密度が多いので、 酸素ラジカルの 供給量が同じであれば酸化速度は(111) 面の方が(100) 面よりも遅くな るはずである。 K r /02高密度プラズマを用いたシリコン基板表面酸化では、 この予測通りになっており、 (111) 面上にも (100) 面と同様に、 緻密な 酸化膜が形成されていると考えられる。 これに対し従来の熱酸化処理では、 (1 11) 面の酸化速度の方が(100)面の酸化速度よりも大きくなつているが、 このことは形成されている (111)面の酸化膜が (100) 面上に形成された 酸化膜に比べ疎であることを示している。
図 5は、上記の手順で形成されるシリコン酸化膜中の K r密度の深さ方向分布 を、全反射蛍光 X線分光装置を用いて調べたものである。ただし図 5の実験では、 シリコン酸化膜の形成は、 K r中の酸素分圧を 3 %、処理室内の圧力を 1 T o r r (約 133Pa) とし、 基板温度を 400° Cに設定して行っている。
図 5を参照するに、 K rの面密度はシリコン/シリコン酸化膜界面に近接する につれて減少するが、 シリコン酸化膜表面では 2 X 1011 c m-2程度の密度で含 まれる。 すなわち図 5は、 Kr/〇2高密度プラズマを用いたシリコン基板表面 酸化で形成されるシリコン酸化膜は、膜厚が 4 nm以上の場合には K r濃度が実 質的に一定で、シリコン /シリコン酸ィ匕膜の界面に向かって K r濃度が減少する 膜となることを示している。 本発明のシリコン酸化膜形成方法によれば、 1010 cm-2以上の面密度の Krがシリコン酸化膜中に含有される。図 5の結果は、 (1 00) 面上においても、 また (111) 面上においても、 同様に得られる。
図 6は、酸化膜の界面準位密度を、低周波 C— V測定から求めた結果である。 シリコン酸化膜の形成は図 2に示した装置を用いて、基板温度 400度で成膜し た。希ガス中の酸素の分圧は 3 %、処理室内の圧力は 1 To r r (約 133 P a) に固定した。比較のために、 900度酸素 100 %の雰囲気で成膜した熱酸化膜 の界面準位密度も同時に示す。
図 6を参照するに、 Krガスを用いて成膜した酸化膜の界面準位密度は(10 0) 面、 (111) 面とも低く、 900°Cのドライ酸化雰囲気で成膜した (10 0)面に形成した熱酸化膜の界面準位密度と同等であることがわかる。 これに対 し、 (111) 面に形成した熱酸化膜の界面準位密度はこれらに比べ 1桁以上大 さい。
これは次のような機構によると考えられる。
シリコン結晶を酸化膜側からみると、 (100) 面ではシリコン原子の結合手 が 2本、 (111) 面ではシリコンの結合手が 1本と 3本交互に現れる。 そこで 従来の (111) 面の熱酸化処理では、 (111) 面においてあるシリコン原子 の 3本の結合手に酸素原子が結合してしまうと、そのシリコン原子の後ろ側の結 合手が伸びてウィークポンドになったり、切れてダングリングポンドになったり して界面準位が増加してしまう。
これに対し、 Krと〇2の混合ガスの高密度励起プラズマ酸ィ匕を行うと、 中間 励起状態にある K r *と〇2分子が衝突し原子状酸素 O*が効率よく発生し、 この 原子状酸素がウィークポンドやダングリングポンドのところに効率よく達して シリコン—酸素の新たな結合をつくることで、 (111) 面においても界面準位 を低減すると考えられる。
シリコン酸化膜成膜雰囲気における Kr中での酸素の分圧と、シリコン酸化膜 の絶縁耐圧、 および、 成膜されたシリコン酸化膜中の界面順位密度の関係を、 処 理室内の圧力を lTo r r (約 133Pa) で成膜して測定すると、 (100) 面、 (111) 面とも同様の結果が得られ、 Kr中の酸素分圧が 3%のとき、 界 面順位密度は最小となり、熱酸化膜中での界面順位密度と同等の値が得られる。 また、 シリコン酸化膜の絶縁耐圧も、 酸素分圧 3%付近で最大となる。 このこと ら、 KrZ〇2混合ガスを用いて酸ィ匕を行うときの、 酸素分圧は 2— 4%が好 適である。
図 7は、 シリコン酸化膜成膜時の圧力と、 シリコン酸化膜の絶縁耐圧、 界面順 位密度の関係である。 このとき酸素の分圧は 3%としている。
図 7を参照するに、成膜時の圧力が 1 T o r r付近でシリコン酸化膜の絶縁耐 圧は最大となり、 界面順位密度は最小となることがわかる。 図 7の結果から、 K r/02混合ガスを用いて酸化膜を形成する場合の圧力は、 800— 1200m To r rが最適であることがわかる。図 7の結果は、 (100)面上においても、 また (111) 面上においても、 同様に得られる。
この他、 酸化膜の耐圧特性、 リーク特性、 ホットキャリア耐性、 ストレス電流 を流したときのシリコン酸化膜が破壊に至るまでの電荷量 QBD (Cha r ge — t o— B r e akd own) などの電気的特性、 信頼性的特性に関して、 K r Ζθ2高密度プラズマを用いたシリコン基板表面酸ィ匕による酸化膜は、 900 °C の熱酸化と同様の良好な特性が得られた。 図 8 A, 8 Bは、得られたシリコン酸化膜のストレス電流誘起リーク電流特性 を、 従来の熱酸化膜の場合と比較して示す。 ただし図 8 A, 8 Bにおいて酸ィ匕膜 の膜厚は 3 . 2 nmとしている。
図 8 A, 8 Bを参照するに、従来の熱酸化膜では電荷を注入するとリ一ク電流 が増加するのに対して、 本発明の K r /02によるプラズマ酸化では 1 0 0 CZ c m2の電荷を注入しても電流特性に変ィ匕がないことがわかる。 すなわち、 本発 明のシリコン酸化膜では卜ンネル電流を流しても酸化膜が劣ィ匕に至るまでの寿 命が極めて長く、フラッシュメモリ素子のトンネル酸化膜として用いるのに最適 である。
上述したように、 K r /〇2高密度プラズマにより成長した酸化膜は、 4 0 0 °C という低温で酸化しているにもかかわらず、 (1 0 0 )面、 (1 1 1 )面とも、従 来の(1 0 0 )面の高温熱酸化膜と同等ないしはより優れた特性を示している。 こうした効果が得られるのは、酸化膜中に K rが含有されることにも起因してい る。 酸化膜中に K rが含有されることにより、 膜中や S i / S i〇2界面でのス トレスが緩和され、膜中電荷や界面準位密度が低減され、 シリコン酸化膜の電気 的特性が大幅に改善されるためと考えられる。特に、 図 5に示されるように、 密 度において 1 01(1 c m-2以上の rを含むことがシリコン酸化膜の電気的特性、 信頼性的特性の改善に寄与していると考えられる。 [第 2実施例]
次に、高密度マイク口波プラズマを用いた低温での窒化膜形成について述べる。 窒化膜形成に使われる装置は図 2の装置と同じであり、窒化膜形成時のために A rまたは K rをプラズマ励起ガスとして使用する。
すなわち前記真空容器(処理室) 1 0 1内を高真空状態に排気し、 シャワープ レート 1 0 2から一例として A rガスおょぴ NH3ガスを導入することにより処 理室 1 0 1内の圧力を 1 0 O mT o r r (約 1 3 P a)程度に設定する。 さらに シリコンウェハ等の円形状の基板 1 0 3を前記試料台 1 0 4上に置き、基板温度 を約 5 0 0 ° Cに設定する。ただし基板温度が 4 0 0— 5 5 0 °Cの範囲内であれ ば、 ほとんど同様の結果が得られる。 次に前記同軸導波管 1 0 5力ら、ラジアルラインスロットアンテナ 1 0 6およ び誘電体板 1 0 7を通して処理室内に 2. 4 5 GH zのマイク口波を供給し、処 理室内に高密度プラズマを生成する。供給するマイクロ波の周波数が 9 0 0 MH z以上 1 0 GH z以下の範囲にあれば、 ほとんど同様の結果が得られる。 またシ ャワープレ一ト 1 0 2と基板 1 0 3の間隔は、本実施例では 6 e mに設定してあ る。 この間隔は狭いほうがより高速な成膜が可能となる。本実施例では、 ラジア ルラインスロットアンテナを用いたプラズマ装置を用いて成膜した例を示すが、 他の方法を用いてマイクロ波を処理室内に導入してもよい。
本実施例では、 プラズマ励起ガスに A rを使用しているが、 K rを用いても同 様の結果を得ることができる。 また、 本実施例では、 プラズマプロセスガスに N H3を用いているが、 N2と H2などの混合ガスを用いても良い。
A rまたは K rと NH3 (または N2と H2)の混合ガスに励起された高密度ブラ ズマ中では、 中間励起状態にある A r *または K r *により、 NH*ラジカルが効 率よく発生し、 この NH*ラジカルにより基板表面が窒化される。 従来よりシリ コン表面の直接窒化についての報告はなく、窒ィ匕膜はプラズマ C VD法などによ り形成されているが、かかる方法ではトランジスタのゲート膜に使える高品質な 窒化膜は得られていなかった。 これに対し、本実施例のシリコン窒化によれば、 シリコンの面方位を選ばず、 ( 1 0 0 ) 面でも (1 1 1 ) 面でも、 低温で高品質 な窒化膜を形成することが可能となる。
ところで、本発明のシリコン窒化膜形成においては、水素が存在することがひ とつの重要な要件である。 プラズマ中に水素が存在することにより、 シリコン窒 化膜中および界面のダングリングポンドが S i _H、 N— H結合を形成して終端 され、その結果シリコン窒化膜および界面の電子トラップが無くなる。 S i -H 結合、 N— H結合が本発明の窒ィ匕膜に存在することはそれぞれ赤外吸収スぺクト ル、 X線光電子分光スぺクトルを測定することで確認されている。水素が存在す ることで、 CV特性のヒステリシスも無くなり、 シリコン シリコン窒化膜界面 密度も、 基板温度を 5 0 0 °C程度以上にすれば 3 X 1 010 c m-2と低く抑えるこ とが可能である。 希ガス (八1"または 1" ) と N2/H2の混合ガスを使用してシ リコン窒化膜を形成する場合には水素ガスの分圧を 0. 5 %以上とすることで、 膜中の電子や正孔のトラップが急激に減少する。
図 9は、上述の手順で作成したシリコン窒化膜厚の圧力依存性を示す。ただし Ar : NH3の分圧比は 98 : 2、 成膜時間は 30分とした。
図 9を参照するに、窒化膜の成長速度は処理室 101内の圧力を下げて希ガス (A rまたは K r ) が NH3 (または N2ZH2) に与えるエネルギーを増した方が 速くなることがわかる。窒ィ匕の効率化の観点からは、 ガス圧力は 50-10 Om To r r (約 7〜13Pa) が好ましい。 また、 希ガス中の NH3 (または N2/ H2) の分圧は 1〜 10 %の範囲が良く、 さらに好ましくは 2〜 6 %が良い。 本実施例のシリコン窒化膜の誘電率は 7. 9であり、 シリコン酸化膜の約 2倍 のものが得られた。
図 10は、本実施例のシリコン窒化膜の電流電圧特性を示す。ただし図 10に 示す結果は、 Ar_ N2/H2ガスを用い、 Ar : N2: H2の分圧比を 93 : 5 : 2に設定し、 厚さが 4. 2 nmのシリコン窒化膜(誘電率換算酸化膜 2. 1 nm に相当) を成膜したときのものであり、 この結果を図 10では厚さが 2. 1 nm の熱酸化膜と比較して示している。
図 10を参照するに、 IVの電圧印加時にシリコン酸化膜より 4桁以上も低い リーク電流特性が得られるのがわかる。 これは、 得られたシリコン窒化膜が、 フ ラッシュメモリ素子においてフローテイングゲート電極とコント口一ルゲート 電極間のリ一ク電流を抑制するのに適した絶縁膜であることを示している。 上述した成膜条件、 物性的 ·電気的特性はシリコンの面方位によらず、 ( 10 0) 面でも (111) 面でも同様であり、 本実施例によれば、 いずれの面方位に おいても優れた膜質のシリコン窒化膜を得ることができる。本発明の効果は、酸 ィ匕膜中に S i — H結合、 N—H結合だけでなく A rまたは Krが含有されること にも関係しており、窒化膜中ゃシリコン Z窒化膜界面でのストレスが緩和され、 シリコン窒ィ匕膜中の固定電荷や界面準位密度が低減されて、電気的特性、信頼性 的特性が大幅に改善されるものと考えられる。特に、 図 5に示されたシリコン酸 化膜の場合と同様に、 密度において 101()01112以上の八1"または1^ 1"を含むこ とがシリコン窒化膜の電気的特性、信頼性的特性の改善に寄与していると考えら れる。 [第 3実施例]
以上説明した酸化膜および窒化膜形成方法は、ポリシリコンの酸ィ匕 ·窒化に対 しても同様に適用され、 良質な酸化膜、窒化膜をポリシリコン上に形成すること が可能である。
以下、本発明の第 3実施例による、ポリシリコン膜上への誘電体膜の形成方法 を、 図 1 1 (A), (B) を参照しながら説明する。
図 1 1 (A) を参照するに、 絶縁膜 2 0 2で覆われたシリコン基板 2 0 1上に はポリシリコン膜 2 0 3が堆積される。そこで、かかるポリシリコン薛 2 0 3を 図 1 1 (B) の工程で、 図 2で説明したマイクロ波プラズマ処理装置の処理容器 1 0 1内において K rあるいは A rと酸素の高密度混合ガスプラズマに曝すこ とにより、 ポリシリコン膜 2 0 3の表面に、膜質の優れた、 すなわち界面準位密 度が小さくリーク電流の少ないシリコン酸化膜 2 0 4を得ることができる。 また図 1 1 (B) の工程で、 前記ポリシリコン膜 2 0 3を K rあるいは A rと NH3あるいは N2と H2の高密度混合ガスプラズマに曝すことにより、 前記ポリ シリコン膜 2 0 3の表面に同様な、膜質の優れた窒化膜 2 0 5を得ることができ る。
また、 図 1 1 (B) の工程で、 前記ポリシリコン膜 2 0 3を K rあるいは A r と酸素および NH3、 あるいは N2と H2の高密度混合ガスプラズマに曝すことに より、前記ポリシリコン膜 2 0 3の表面に膜質の優れた酸窒化膜 2 0 6を得るこ とができる。
絶縁膜上に形成されるポリシリコンは、 (1 1 1 ) 面方位が絶縁膜に対して垂 直方向になった状態が安定であり、かつ緻密で結晶性が良く高品質なものとなる が、実際には他の面方位を持った結晶粒もポリシリコン内に存在する。本実施例 による酸化膜、窒化膜あるいは酸窒化膜の形成方法によれば、上に説明したよう に、 シリコンの面方位によらず高品質な酸化膜、窒化膜あるいは酸窒化膜を形成 することができる。 このため、 図 1 1 (A), (B) のプロセスは、 フラッシュメ モリのフロ一ティング電極である第 1ポリシリコンゲート電極等のポリシリコ ン膜上に薄い高品質な酸化膜、窒化膜および酸窒化膜を低温で形成するのに最適 である。 また、 本発明の酸化膜、 窒化膜および酸窒化膜は 550°C以下の低温で 形成できるので、 ポリシリコン表面が荒れることがない。
図 12は、 (100) 面方位を有する S i基板上に厚さが 100 nmの熱酸化 膜を形成し、かかる熱酸化膜上にさらに形成された厚さが 200 nmの n型ポリ シリコン膜について行われた酸化膜形成実験の結果を、 S i基板の (100) 面 および(111) 面を直接に酸化した場合と比較して示す。 ただし図 12中、 縦 軸は形成された酸化膜の厚さを、 また横軸は時間を示す。 さらに図 12中、 ▲は このようにして形成されたポリシリコン膜表面を K r /02プラズマにより処理 して酸化膜を形成し 場合を、 翁は3 i基板の (100) 面を Kr/〇2プラズ マにより処理して酸化膜を形成した場合を、 また圆は S i基板の (111) 面を Kr/〇2プラズマにより処理して酸化膜を形成した場合を示す。一方図 12中、 〇は S i基板の (100) 面を熱酸化した場合を、 口は S i基板の (111) 面 を熱酸化した場合を、さらに△はポリシリコン膜の表面を熱酸化した場合を示す。 前記 K rノ 02プラズマ処理は先に図 2で説明した装置を使い、 処理室 101の 内圧を 1 T o r r (約 133 P a) に、 また供給される K rガスと酸素ガスの流 量比を 97: 3に設定し、温度を 400° Cに設定して行っている。 これに対し 前記熱処理工程は、 900° Cの 100%酸素雰囲気中において行っている。 図 12の実験では、 前記ポリシリコン膜は 102°cm-3を超えるキャリア濃度にド ープされている。
図 12を参照するに、 酸化処理に KrZC^プラズマを使った場合には先にも 説明したように (100) 面と (111) 面の面方位依存性はほとんど見られず、 ポリシリコン膜表面を酸化した場合にもほぼ同様の酸化速度が得られているこ とがわかる。 またこの酸化速度は、ポリシリコン膜を熱酸化処理した場合とほと んど同じであることがわかる。 これに対し、従来の熱酸化処理では、 S i基板表 面を酸化した場合には酸化速度がはるかに遅く、形成される酸化膜の厚さが薄い ことがわかる。
図 12より、 酸化処理に Kr/〇2プラズマを使った場合、 酸化される S i表 面がいずれの面方位の単結晶表面であっても、また粒界を含む多結晶表面であつ ても、 ほぼ同様な酸化速度を得ることができるのがわかる。 図 1 3 Aは、 このようにして形成されたポリシリコン膜の表面を、酸化処理を ほどこす前に原子間力顕微鏡で検査した結果を示す。
これに対し図 1 3 Bは、 図 1 3 Aの表面を K r /〇2プラズマにより処理した 状態、すなわち表面に酸ィ匕膜が形成されているポリシリコン表面の状態を示す。 さらに図 1 3 Cは、図 1 3 Bの表面から酸ィ匕膜を H F処理により除去した状態の ポリシリコン表面の表面を示す。
図 1 3 A〜図 1 3 Cを参照するに、 前記 K r /〇2プラズマを使った酸化処理 は 4 0 0 ° C程度の低温でも効率よく実効されるため、ポリシリコン膜中におい て結晶粒の成長がほとんど生じておらず、表面の荒れが抑制され、形成される酸 ィ匕膜はほぼ一様な厚さを有することがわかる。
これに対し図 1 4八は図1 3 Aのポリシリコン膜を 9 0 0 ° Cで熱酸化した 場合の酸化膜を含んだ表面状態を、また図 1 4 Bは図 1 4 Aにおいて酸化膜を除 去した表面状態を示す。
図 1 4 A, 1 4 Bを参照するに、ポリシリコン膜中には熱処理により実質的な 結晶粒成長が生じており、その結果ポリシリコン膜の表面が荒れているのがわか る。このように荒れた表面に薄い酸化膜を形成した場合には電界集中の影響を受 けやすく、 リーク電流特性あるいは耐圧特性に問題が生じる。
図 1 5 A, 1 5 Bは、 ポリシリコン膜表面に、 前記 K r Z02プラズマ処理に より酸ィヒ膜を形成した試料の断面を透過型電子顕微鏡により観察した結果を示 す。 ただし図 1 5 Bは図 1 5 Aの一部の拡大図になっている。
図 1 5 Aを参照するに、 前記酸化膜 (polyoxideと表記) 上には A 1層が形成 されているが、前記酸化膜はポリシリコン膜表面に一様な厚さで形成されている のがわかる。 また図 1 5 Bの拡大図を参照するに、前記酸ィ匕膜は一様であるのが わかる。
図 1 6は、 このようにしてポリシリコン膜上に得られたシリコン酸化膜の電流 密度と印加電界との関係を、熱酸化膜と比較して示す。 また図 1 7は、 図 1 6を ファウラー ·ノルトハイムプロットで示した図である。
図 1 6, 1 7を参照するに、 ポリシリコン膜の K r /〇2プラズマによる酸化 処理により形成された酸化膜では、 トンネル電流は印加電界が 5 M VZ c mを超 えたあたりで立ち上がり、 図 1 7のプロットより、膜中を流れるトンネル電流は、 熱酸化膜の場合と同様にファウラー ·ノルトハイム型のトンネル電流であること がわかる。 また図 1 7より、 K r Z〇2プラズマによる酸化処理で形成された酸 化膜ではトンネル電流のバリァ高さ φΒが、 熱酸化膜の場合よりも大きくなり、 また降伏電圧も従来の熱酸化膜よりも大きくなっているのがわかる。
[第 4実施例]
次に、上述したマイクロ波プラズマを用いた低温での酸化膜形成技術を使用し た、本発明の第 4実施例によるフラッシュメモリ素子の構成を、 図 1 8を参照し ながら説明する。
図 1 8を参照するに、フラッシュメモリ素子はシリコン基板 1.0 0 1上に構成 されており、前記シリコン基板 1 0 0 1に形成されたトンネル酸化膜 1 0 0 2と、 前記トンネル酸化膜.1 0 0 2上に形成されフローティングゲート電極となる第 1のポリシリコンゲート電極 1 0 0 3とを含み、前記ポリシリコンゲート電極 1 0 0 3上にはシリコン酸化膜 1 0 0 4が形成され、さらに前記シリコン酸化膜 1 0 0 4上にはコントロールゲート電極となる第 2のポリシリコンゲート電極 1 0 0 8が形成されている。 図 1 8中、 ソース領域、 ドレイン領域、 コンタクトホ ール、 配線パターンなどの図示は省略して記載している。
かかる構成のフラッシュメモリ素子において、前記ポリシリコンゲート電極 1 0 0 3を図 2のマイク口波プラズマ処理装置中において、 K r /〇2をプラズマ ガスとした高密度プラズマに曝すことにより、前記酸化膜 1 0 0 4としてリーク 電流の少ない優れた膜が得られるため、前記酸化膜 1 0 0 4の膜厚を減少させる ことが可能になり、フラッシュメモリ素子を低電圧で駆動することが可能になる。 なお、 図 1 8のフラッシュメモリ素子において、前記酸化膜 1 0 0 4の代わり に、 先に説明した K r /NH3プラズマ処理工程により形成された窒化膜 1 0 0 5、 あるいは先の実施例で説明した酸窒化膜 1 0 0 9を使うことも可能である。
[第 5実施例]
次に、上述したマイク口波プラズマを用いた低温の酸化膜と窒化膜の形成技術 を使用した、ポリシリコン /シリサイド積層構造のゲート電極を有する高電圧用 トランジス夕と低電圧用卜ランジスタを包含する、本発明の第 5実施例によるフ ラッシュメモリ素子の製造工程を説明する。
図 1 9は、本実施例によるフラッシュメモリ素子 1 0 0 0の概略断面構造を示 す。
図 1 9を参照するに、フラッシュメモリ素子 1 0 0 0はシリコン基板 1 0 0 1 上に構成されており、前記シリコン基板 1 0 0 1に形成されたトンネル酸化膜 1 0 0 2と、前記トンネル酸化膜 1 0 0 2上に形成されフ口一ティングゲ一ト電極 となる第 1のポリシリコンゲ一ト電極 1 0 0 3とを含み、前記ポリシリコンゲ一 ト電極 1 0 0 3上にはシリコン窒化膜 1 0 0 4と、シリコン酸化膜 1 0 0 5と、 シリコン窒化膜 1 0 0 6と、 シリコン酸化膜 1 0 0 7とが順次形成され、 さらに 前記シリコン窒化膜 1 0 0 7上にはコントロールゲート電極となる第 2のポリ シリコンゲート電極 1 0 0 8が形成されている。 図 1 9中、 ソース領域、 ドレイ ン領域、 コンタクトホール、配線パターンなどの図示は省略して記載している。 本実施例のフラッシュメモリでは、前記シリコン酸化膜 1 0 0 2、 1 0 0 5、 1 0 0 7が先に説明したシリコン酸化膜形成方法により、 また、 シリコン窒化膜 1 0 0 4、 1 0 0 6が先に説明したシリコン窒化膜形成方法により形成されるの で、 これらの膜の膜厚を従来の酸化膜、 窒化膜の約半分にまで減少させても、 良 好な電気的特性が保証される。
次に、本実施例のフラッシュメモリ素子を含む半導体集積回路の製造方法を、 図 2 0〜図 2 5を参照しながら説明する。
図 2 0を参照するに、シリコン基板 1 1 0 1上にはフィールド酸化膜 1 1 0 2 によりフラッシュメモリセル領域 Aと、高電圧用トランジスタ領域 Bと、低電圧 用トランジス夕領域 Cとが画成されており、各々の領域 A〜 Cにはシリコン酸化 膜 1 1 0 3が形成されている。前記フィ一ルド酸化膜 1 1 0 2は、選択酸化法(L O C O S法)ゃシャロートレンチアイソレ一ション法などで形成することができ る。
本実施例においては、酸化膜および窒化膜形成のために K rをプラズマ励起ガ スとして使用する。酸化膜および窒化膜の形成には、 図 2のマイクロ波プラズマ 処理装置を使用する。
次に図 2 1の工程において、前記メモリセル領域 Aにおいてシリコン酸化膜 1 1 0 3を除去し、さらに前記メモリセル領域 Aにトンネル酸化膜 1 1 0 4を約 5 nmの厚さに形成する。前記トンネル酸化膜 1 1 0 4を形成する際には、真空容 器(処理室) 1 0 1内を真空にし、 シャワープレート 1 0 2から K rガスおよび 02ガスを導入し、 処理室内の圧力を 1 T o r r (約 1 3 3 P a) 程度、 シリコ ンウェハの温度を 4 5 0 ° Cに設定し、同軸導波管 1 0 5から供給される周波数 が 2 . 5 6 GH zのマイクロ波を、 ラジアルラインスロットアンテナ 1 0 6およ び誘電体板 1 0 7を通して処理室内に供給し、 高密度のプラズマを生成する。 図 2 1の工程では、前記トンネル酸化膜 1 1 0 4の形成の後、 さらに第 1のポ リシリコン層 1 1 0 5を、前記トンネル酸化膜 1 1 0 4を覆うように堆積し、 さ らに水素ラジカル処理により、堆積したポリシリコン層 1 1 0 5の表面を平坦化 する。次に、 前記高電圧用トランジスタ領域 Bおよび低電圧用トランジスタ領域 Cから前記第 1ポリシリコン層 1 1 0 5をパターニングにより除去し、前記メモ リセル領域 Aのトンネル酸化膜 1 1 0 4上にのみ、前記第 1ポリシリコン 1 1 0 5を残す。
次に図 2 2の工程において前記図 2 1の構造上に、下部窒化膜 1 1 0 6 Aと下 部酸化膜 1 1 0 6 Bと上部窒化膜 1 1 0 6 Cと上部酸化膜 1 1 0 6 Dとを順次 形成し、 NONO構造を有する絶縁膜 1 1 0 6を、 図 2のマイクロ波プラズマ処 理装置を使って形成する。
より詳細に説明すると、図 2のマイク口波プラズマ処理装置において真空容器 (処理室) 1 0 1内を高真空状態に排気し、 さらにシャワープレート 1 0 2から K rガス、 N2ガス、 H2ガスを導入し、 処理室内の圧力を 1 0 O mT o r r (約 1 3 P a)程度に、 またシリコンウェハの温度を 5 0 0 °Cに設定する。そして、 この状態で前記同軸導波管 1 0 5からの周波数が 2. 4 5 GH zのマイクロ波を ラジアルラインスロットアンテナ 1 0 6および誘電体板 1 0 7を通して処理室 内に供給し、 処理室内に高密度のプラズマを生成する。その結果、 前記ポリシリ コン表面には、約 2 nmの厚さのシリコン窒化膜が、前記下部窒化膜 1 1 0 6 A として形成される。 次に、 マイクロ波の供給を一時停止した後、 K rガス、 N2ガス、 H2ガスの導 入を止め、 真空容器(処理室) 1 0 1内を排気する。 次いで前記シャワープレー ト 1 0 2から K rガスおよび 02ガスを導入し、処理室内の圧力を l T o r r (約 1 3 3 P a)程度に設定した状態で、 再び 2. 4 5 GH zのマイク口波を供給す ることにより、前記処理室 1 0 1内に高密度のプラズマを生成して、厚さが約 2 nmのシリコン酸ィ匕膜を、 前記下部酸化膜 1 1 0 6 Bとして形成する。
次に、 再びマイクロ波の供給を一時停止した後、 K rガス、 〇2ガスの導入を 止め、 真空容器(処理室) 1 0 1内を排気する。 さらに前記シャワープレート 1 0 2から K rガス、 N2ガスおよび H2ガスを導入し、処理室内の圧力を 1 0 0 m T o r r (約 1 3 P a) 程度に設定し、 この状態で 2. 4 5 GH zのマイクロ波 を供給することにより、 前記処理室 1 0 1内に高密度のプラズマを生成する。か かる高密度プラズマ処理により、さらに 3 nmの厚さのシリコン窒化膜が形成さ れる。
最後にマイクロ波の供給を一時停止した後、 K rガス、 N2ガス、 H2ガスの導 入を止め、 真空容器(処理室) 1 0 1内を排気し、 シャワープレート 1 0 2から K rガス、 〇2ガスを導入して、 処理室内の圧力を 1 T o r r (約 1 3 3 P a ) 程度に設定する。 この状態で再び 2 . 4 5 GH zのマイク口波を供給することに より、前記処理室 1 0 1内に高密度のプラズマを生成して、厚さが 2 nmのシリ コン酸化膜を前記上部酸ィ匕膜 1 1 0 6 Dとして形成する。
すなわち、 このような工程により、 N〇N〇構造を有する絶縁膜 1 1 0 6を 9 nmの厚さに形成することができる。このようにして形成された NONO膜 1 1 0 6では、ポリシリコンの面方位依存も見られず、各々の酸化膜および窒化膜の 膜厚および膜質は極めて均一である。
図 2 2の工程では、さらにこのようにして形成された絶縁膜 1 1 0 6をパター ニングし、高電圧用トランジスタ領域 Bおよび低電圧用トランジスタ領域 Cにお いて選択的に除去する。
次に図 2 3の工程において高電圧用トランジスタ領域 Bおよび低電圧用トラ ンジスタ領域 C上に閾値電圧制御用のイオン注入を行い、さらに前記領域 Bおよ び C上の酸化膜 1 1 0 3を除去する。さらに前記高電圧用トランジスタ領域 Bに はゲート酸化膜 1 1 0 7を 7 nmの厚さに形成し、次いで低電圧用トランジスタ 領域 Cにゲート酸化膜 1 1 0 8を 3. 5 nmの厚さに形成する。
図 2 3の工程では、その後前記フィ一ルド酸化膜 1 1 0 2を包含する構造全体 上に第 2のポリシリコン層 1 1 0 9及びシリサイド層 1 1 1 0を順次形成し、さ らにこれらをパ夕一ニングすることにより、前記高電圧用及び低電圧用トランジ スタ領域 B、 Cにゲート電極 1 1 1 1 B、 1 1 1 1 Cをそれぞれ形成する。次に、 メモリセル領域において前記ポリシリコン層 1 1 0 9およびシリサイド層 1 1 1 0をパターニングしてゲート電極 1 1 1 1 Aを形成する。
最後に、 標準的な半導体工程に準拠して、 ソース ' ドレイン形成、 絶縁膜形成、 コンタクト形成、 配線形成などを行って素子を完成させる。
このようにして形成された N〇N〇膜 1 1 0 6中のシリコン酸化膜およびシ リコン窒化膜は非常に薄膜ィ匕されているが、にもかかわらず良好な電気的特性を 有し、緻密でまた高品質であることを特徴とする。かかるシリコン酸化膜および シリコン窒化膜は低温で形成されているためゲ一トポリシリコンと酸化膜との 界面でサーマルバジェット等が発生することはなく、良好な界面特性が得られる。 本発明のフラッシュメモリ素子を 2次元に複数配置して作成したフラッシュ メモリ集積回路装置は、情報の書き込み及び消去動作が低電圧で行え、基板電流 の発生を抑制することができ、 トンネル絶縁膜の劣ィ匕が抑えられ、素子の特性が 安定する。本発明のフラッシュメモリ素子は優れた低リーク特性をもち、書き込 み消去が 7 V程度の電圧で動作可能であり、メモリ保持時間を従来より 1桁以上、 書き換え可能回数を約 1桁以上増すことができる。
[第 6実施例]
次に、前記高密度マイク口波プラズマを用いた低温での酸化膜と窒化膜の形成 技術を使用した、 ポリシリコン/シリサイド積層構造のゲート電極を有する、本 発明の第 6実施例によるフラッシュメモリ素子について説明する。
図 2 4は、本実施例によるフラッシュメモリ素子 1 5 0 0の概略断面構造を示 す。
図 2 4を参照するに、フラッシュメモリ素子 1 5 0 0はシリコン基板 1 5 0 1 上に形成されており、前記シリコン基板 1 5 0 1に形成されたトンネル窒化膜 1 5 0 2と、前記トンネル窒化膜 1 5 0 2上に形成されフローティングゲート電極 となる第 1のポリシリコンゲ一ト電極 1 5 0 3とを含み、前記第 1のポリシリコ ンゲート電極 1 5 0 3上にはシリコン酸化膜 1 5 0 4と、シリコン窒化膜 1 5 0 5、 とシリコン酸化膜 1 5 0 6とが順次形成されている。 さらに、 前記シリコン 酸化膜 1 5 0 6上にはコントロールゲート電極となる第 2ポリシリコン電極 1 5 0 7が形成されている。 図 2 4中、 ソース領域、 ドレイン領域、 コンタクトホ ール、 配線パターンなどの図示は省略して記載している。
図 2 4のフラッシュメモリ素子 1 5 0 0では、前記シリコン酸化膜 1 5 0 2、 1 5 0 4および 1 5 0 6は先に説明した高密度マイクロ波プラズマを使ったシ リコン酸化膜形成方法により、 また、 シリコン窒化膜 1 5 0 5は先に説明した高 密度マイク口波プラズマを使ったシリコン窒化膜形成方法により形成される。 次に本実施例のフラッシュメモリ集積回路の作成方法を説明する。
本実施例においても、前記第 1のポリシリコン層 1 5 0 3をパターンニングす るまでの工程は先の図 2 0および図 2 1の工程と同様である。ただし、本実施例 では、 前記トンネル窒化膜 1 5 0 2は、 真空容器(処理室) 1 0 1内を排気して から、 シャワープレート 1 0 2から A rガス、 Ν2ガス、 Η2ガスを導入し、 処理 室内の圧力を 1 0 O mT o r r (約 1 3 P a) 程度に設定し、 2 . 4 5 GH zの マイク口波を供給し、処理室内に高密度のプラズマを生成することにより形成さ れており、 約 4 nmの厚さを有する。
このようにして前記第 1のポリシリコン層 1 5 0 3が形成された後、前記領域 Aにおいて前記第 1のポリシリコン層上に、下部シリコン酸化膜 1 5 0 4とシリ コン窒化膜 1 5 0 5と上部シリコン酸化膜 1 5 0 6とが順次形成され、 ONO構 造を有する絶縁体膜が形成される。
より詳細に説明すると、先に図 2で説明したマイクロ波プラズマ処理装置の真 空容器(処理室) 1 0 1内を高真空状態に排気し、 シャワープレート 1 0 2から K rガス、 02ガスを導入し、 処理室 1 0 1内の圧力を l T o r r (約 1 3 3 P a)程度に設定する。 この状態で 2. 4 5 GH zのマイク口波を前記処理室 1 0 1内に供給し、高密度のプラズマを生成することにより、前記第 1のポリシリコ ン層 1 5 0 3の表面に約 2 nmの厚さのシリコン酸化膜が形成される。
次に、前記シリコン酸化膜上に C VD法によりシリコン窒化膜を 3 nm形成し た後、 真空容器(処理室) 1 0 1内を排気し、 さらにシャワープレート 1 0 2か ら A rガス、 N2ガス、 H2ガスを導入し、 処理室内の圧力を 1 T o r r (約 1 3 3 P a)程度に設定する。 この状態で再び 2 · 4 5 GH zのマイク口波を供給す ることにより前記処理室 1 0 1内に高密度プラズマを生成し、前記シリコン窒化 膜を高密度プラズマに伴う窒化水素ラジカル NH*に曝すことにより、 緻密なシ リコン窒化膜へと変換する。
次に、前記緻密なシリコン窒ィ匕膜上に C VD法により、 シリコン酸化膜を約 2 nmの厚さに形成し、 再び、 マイクロ波プラズマ装置により、 シャワープレート 1 0 2から K rガス、 02ガスを導入し、処理室 1 0 1内の圧力を 1 T o r r (約 1 3 3 P a) 程度に設定する。 この状態で再び 2. 4 5 GH zのマイクロ波を前 記処理室 1 0 1中に供給することにより、前記処理室 1 0 1中に高密度のプラズ マを生成する。前記 C VD法で形成した酸化膜を、前記高密度プラズマに伴う原 子状酸素〇*に曝すことにより、 前記 C VDシリコン酸ィ匕膜は緻密なシリコン酸 化膜に変換される。
このようにして前記ポリシリコン膜 1 5 0 3上には ONO膜が約 7 nmの厚 さに形成されるが、形成された〇N〇膜にはポリシリコンの面方位依存も見られ ず、 ONO膜は極めて均一な膜厚を有する。 かかる ONO膜には、 その後、 高電 圧用及び低電圧用トランジスタ領域 B、 Cに対応する部分を除去するパターニン グェ程を行い、 引き続き、 先の第 4実施例と同様の工程を行うことにより、 素子 を完成させる。
このフラッシュメモリ素子は優れた低リ一ク特性をもっており、書き込み消去 電圧は 6 V程度で動作可能で、先の実施例のフラッシュメモリ 1 0 0 0と同様に、 メモリ保持時間を従来より 1桁以上、書き換え可能回数を約 1桁以上増すことが できる。
[第 7実施例]
次に、前記マイク口波高密度プラズマを用いた低温酸化膜と窒化膜の形成技術 を使用した、ポリシリコン Zシリサイド積層構造のゲート電極を有する本発明の 第 7実施例によるフラッシュメモリ素子 1 6 0 0について説明する。
図 2 5は、 前記フラッシュメモリ素子 1 6 0 0の概略的断面構造を示す。 図 2 5を参照するに、本実施例のフラッシュメモリ素子 1 6 0 0はシリコン基 板 1 6 0 1上に形成されており、前記シリコン基板 1 6 0 1上に形成されたトン ネル酸化膜 1 6 0 2と、前記トンネル酸化膜 1 6 0 2上に形成されフローティン グゲート電極を構成する第 1のポリシリコンゲート電極 1 6 0 3とを含み、前記 第 1のポリシリコンゲ一ト電極 1 6 0 3上にはシリコン窒化膜 1 6 0 4と、シリ コン酸化膜 1 6 0 5とが順次形成されている。 さらに、前記シリコン酸ィ匕膜 1 6 0 5上にはコントロールゲート電極となる第 2ポリシリコンゲート電極 1 6 0 6が形成されている。
図 2 5中、 ソース領域、 ドレイン領域、 コンタクトホール、 配線パターンなど の図示は省略して記載している。
図 2 5のフラッシュメモリ 1 6 0 0において、前記シリコン酸化膜 1 6 0 2、 1 6 0 5は上に説明したシリコン酸化膜形成方法により、 また、 シリコン窒化膜 1 6 0 4は上に説明したシリコン窒化膜形成方法により形成される。
次に本実施例によるフラッシュメモリ集積回路の製造方法を説明する。
本実施例においても前記第 1のポリシリコン層 1 6 0 3をパターニングする までは、実施例 1と同様で、前記第 1のポリシリコン層 1 6 0 3を領域 Aに形成 した後、前記第 1のポリシリコン層 1 6 0 3上にシリコン窒ィ匕膜及びシリコン酸 ィ匕膜を順次形成して NO構造を有する絶縁体膜を形成する。
より詳細に説明すると、前記 NO膜は、 図 2のマイクロ波プラズマ処理装置を 使つて次のようにして形成される。
真空容器(処理室) 1 0 1内を真空にし、 シャワープレート 1 0 2から1^ 1"ガ ス、 N2ガス、 H2ガスを導入し、 処理室内の圧力を 1 0 O mT o r r (約 1 3 P a) 程度に設定する。 この状態で 2. 4 5 GH zのマイクロ波を供給し、 処理室 内に高密度のプラズマを生成して、前記ポリシリコン層 1 6 0 3の窒ィヒ反応によ り約 3 nmの厚さのシリコン窒化膜を形成する。
次に、 CVD法によりシリコン酸化膜を約 2 nmの厚さに形成し、再び前記マ イク口波プラズマ処理装置において前記シャワープレート 1 0 2から K rガス および〇2ガスを導入し、 処理室内の圧力を I T o r r (約 1 3 3 P a) 程度に 設定する。 この状態で周波数が 2. 4 5 GH zのマイク口波を供給することによ り、 処理室内に高密度のプラズマを生成し、 CVD法で形成した酸化膜を、 前記 高密度プラズマに伴う原子状酸素 O*に曝す。 その結果、 前記 CVD酸化膜は緻 密なシリコン酸化膜に変換される。
このようにして形成された NO膜は約 5 nmの厚さを有するが、ポリシリコン の面方位依存も見られず、極めて均一な膜厚であった。 前記 N〇膜は、 このよう にして形成された後、パ夕一ニングされ、高電圧用及び低電圧用トランジスタ領 域 B、 Cに形成された部分が選択的に除去される。
さらに引き続き、 図 2 3の工程と同様の工程を行い、 素子を完成させた。 このようにして形成されたフラッシュメモリ素子は優れた低リーク特性をも つており、書き込み消去を 5 V程度の低電圧で行うことが可能で、先の実施例の フラッシュメモリ素子と同様に、 メモリ保持時間を従来より 1桁以上、書き換え 可能回数を約 1桁以上増すことが出来る。
以上の実施例に示したメモリセル、高電圧用トランジスタ、低電圧用トランジ ス夕の形成方法はあくまで一例であり、本発明はこれらに限定されるものではな い。本発明の窒化膜形成には K rの代わりに A rを用いてもよく、 また前記第 1 および第 2のポリシリコン層の代わりに、 ポリシリコン /シリサイド、 ポリシリ コン Z高融点金属/ァモルファスシリコンまたはポリシリコンなどの積層構造 を有する膜を使うことも可能である。
また、 本発明の酸化膜 '窒化膜を実現するためには、 図 2のマイクロ波プラズ マ処理装置の他に、プラズマを用いた低温の酸化膜形成を可能とする別のプラズ マプロセス用装置を使用してもかまわない。本発明の実施例ではラジアルライン スロットアンテナを用いたプラズマ装置を用いて成膜した例を示したが、他の方 法を用いてマイク口波を処理室内に導入してもよい。
また、 図 2のマイクロ波プラズマ処理装置の代わりに、 K rガスあるいは A r ガス等のプラズマガスを第 1のシャワープレートより放出し、処理ガスを前記第 1のガス放出部とは異なる第 2のシャワープレートから放出する 2段シャワー プレート型プラズマプロセス装置を使うことも可能である。 この場合は、例えば 酸素ガスを前記第 2のシャワープレートより放出するようにしてもよい。また、 前記第 1のポリシリコン電極によりフラッシュメモリ素子のフローティングゲ ート電極を形成すると同時に、 同じ第 1のポリシリコン電極により、高電圧用ト ランジス夕のゲート電極が形成されるようにプロセスを設計することも可能で ある。
以上、本発明を好ましい実施例について説明したが、本発明はかかる特定の実 施例に限定されるものではなく、本発明の要旨内において様々な変形'変更が可 能である。 産業上の利用可能性
本発明によれば、 5 5 0 °C以下の低温の新規なプラズマ酸化 '窒化で成膜した K rを含有する絶縁膜を使用することで、 1 0 0 0 ° C程度の高温で成膜したシ リコン熱酸化膜および C VD成膜したシリコン窒化膜と同程度ないしはそれよ り優れた特性、信頼性を有する高品質はシリコン酸化膜、 シリコン窒化膜あるい はシリコン酸窒化膜をポリシリコン上に形成することが可能になり、低電圧で書 き換え動作が可能で、電荷保持特性の優れた高品質で高性能なフラッシュメモリ 素子を実現することが可能となつた。

Claims

請求の範囲
1 . シリコン基板と、
前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、
前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極とよりなる フラッシュメモリ素子において、
前記電極間絶縁膜は少なくともシリコン酸化膜とシリコン窒化膜を 1層ずつ 含む積層構造を有し、 前記シリコン酸化膜の少なくとも一部に 1 01Q c m-2以上 の面密度の K rを含有することを特徴とするフラッシュメモリ素子。
2 . 前記第 1の電極表面にはポリシリコンが存在し、前記電極間絶縁膜は第 1のシリコン窒化膜と第 1のシリコン酸化膜と第 2のシリコン窒化膜と第 2の シリコン酸化膜を順次積層した積層構造を有することを特徴とする請求項 1に 記載のフラッシュメモリ素子。
3. 前記第 1の電極表面にはポリシリコンが存在し、前記電極間絶縁膜はシ リコン酸化膜、 シリコン窒化膜、 シリコン酸化膜の 3つの層からなることを特徴 とする請求項 1に記載のフラッシュメモリ素子。
4. 前記第 1の電極表面にはポリシリコンが存在し、前記電極間絶縁膜は第 1のシリコン窒化膜と第 2のシリコン酸化膜の 2つの層からなることを特徴と する請求項 1に記載のフラッシュメモリ素子。
5 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1 の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極とよ りなり、前記電極間絶縁膜は少なくともシリコン酸化膜とシリコン窒化膜を 1層 ずつ含む積層構造を有するフラッシュメモリ素子の製造方法であつて、
前記シリコン酸化膜は、処理室中に酸素を含むガスと K rガスを主体とするガ スを導入し、マイク口波により前記処理室中においてプラズマを励起することに より形成されることを特徴とするフラッシュメモリ素子の製造方法。
6. シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1 の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極とよ りなり、前記電極間絶縁膜は第 1のシリコン窒化膜と第 1のシリコン酸ィ匕膜と第 2のシリコン窒ィ匕膜と第 2のシリコン酸ィ匕膜を順次積層した積層構造を有し、前 記第 1の電極表面はポリシリコンより形成されているフラッシュメモリ素子の 製造方法であって、
前記第 1および第 2のシリコン酸化膜は、処理室中に酸素を含むガスと rガ スを主体とするガスを導入し、マイクロ波により前記処理室中にプラズマを励起 することにより形成されることを特徴とするフラッシュメモリ素子の製造方法。
7. シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1 の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極とよ りなり、前記電極間絶縁膜は第 1のシリコン酸化膜とシリコン窒化膜と第 2のシ リコン酸化膜とを順次積層した積層構造を有し、前記第 1の電極表面はポリシリ コンより形成されているフラッシュメモリの製造方法であつて、
前記第 1および第 2のシリコン酸化膜は、処理室中に酸素を含むガスと K rガ スを主体とするガスを導入し、マイクロ波により前記処理室中にプラズマを励起 することにより形成されることを特徴とするフラッシュメモリ素子の製造方法。
8. シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1 の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極とよ りなり、前記電極間絶縁膜はシリコン酸化膜とシリコン窒ィ匕膜とを順次積層した 2層構造を有し、前記第 1の電極表面はポリシリコンより形成されているフラッ シュメモリの製造方法であつて、
前記シリコン酸化膜は、処理室中に酸素を含むガスと K rガスを主体とするガ スを導入し、マイク口波により前記処理室中にプラズマを励起することにより形 成されることを特徴とするフラッシュメモリ素子の製造方法。
9 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1 の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極とよ りなり、前記電極間絶縁膜は少なくともシリコン酸化膜とシリコン窒化膜を 1層 ずつ含む積層構造を有するフラッシュメモリ素子の製造方法であつて、
前記シリコン酸化膜は、 C VD法により堆積されたシリコン酸化膜を、酸素を 含むガスと K rガスを主体とするガスとよりなる混合ガスにマイクロ波により プラズマを励起することで形成される原子状酸素〇*に曝すことで形成されるこ とを特徴とするフラッシュメモリ素子の製造方法。
1 0 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜は第 1のシリコン窒化膜と第 1のシリコン酸化膜と 第 2のシリコン窒化膜と第 2のシリコン酸化膜を順次積層した積層構造を有し、 前記第 1の電極表面はポリシリコンより形成されているフラッシュメモリ素子 の製造方法であって、
前記第 1および第 2のシリコン酸化膜は、 CVD法により堆積されたシリコン 酸化膜を、酸素を含むガスと K rガスを主体とするガスとよりなる混合ガスにマ ィク口波によりプラズマを励起することで形成される原子状酸素 0*に曝すこと で形成されることを特徴とするフラッシュメモリ素子の製造方法。
1 1 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜は第 1のシリコン酸化膜とシリコン窒化膜と第 2の シリコン酸化膜とを順次積層した積層構造を有し、前記第 1の電極表面はポリシ リコンより形成されているフラッシュメモリの製造方法であつて、
前記第 2のシリコン酸化膜は、 C VD法により堆積されたシリコン酸化膜を、 酸素を含むガスと K rガスを主体とするガスとの混合ガスにマイク口波により プラズマを励起することで形成される原子状酸素〇*に曝すことで形成されるこ とを特徴とするフラッシュメモリ素子の製造方法。
1 2. シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜は少なくともシリコン酸化膜とシリコン窒化膜を 1 層ずつ含む積層構造を有するフラッシュメモリ素子の製造方法であつて、 前記シリコン窒化膜は、 処理室中に NH3ガスまたは N2および H2を含むガス と A rまたは K rガスを主体とするガスとを導入し、マイクロ波により前記処理 室中にプラズマを励起することにより形成されることを特徴とするフラッシュ メモリ素子の製造方法。
1 3 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜は第 1のシリコン窒化膜と第 1のシリコン酸化膜と 第 2のシリコン窒化膜と第 2のシリコン酸化膜を順次積層した積層構造を有し、 前記第 1の電極表面はポリシリコンより形成されているフラッシュメモリ素子 の製造方法であって、
前記第 1および第 2のシリコン窒化膜は、処理室中に NH3ガスまたは N2およ び H2を含むガスと A rまたは K rガスを主体とするガスとを導入し、 マイクロ 波により前記処理室中にプラズマを励起することにより形成されることを特徴 とするフラッシュメモリ素子の製造方法。
1 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜は第 1のシリコン酸化膜とシリコン窒化膜と第 2の シリコン酸化膜とを順次積層した積層構造を有し、前記第 1の電極表面はポリシ リコンより形成されているフラッシュメモリの製造方法であって、
前記シリコン窒化膜は、 処理室中に NH3ガスまたは N2および H2を含むガス と A rまたは K rガスを主体とするガスとを導入し、マイクロ波により前記処理 室中にプラズマを励起することにより形成されることを特徴とするフラッシュ メモリ素子の製造方法。
1 5 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜はシリコン酸化膜とシリコン窒化膜とを順次積層し た 2層構造を有し、前記第 1の電極表面はポリシリコンより形成されているフラ ッシュメモリの製造方法であつて、
シリコン窒化膜は、 処理室中に NH3ガスまたは N2および H2を含むガスと A rまたは K rガスを主体とするガスとを導入し、マイクロ波により前記処理室中 にプラズマを励起することにより形成されることを特徴とするフラッシュメモ リ素子の製造方法。
1 6. シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜は少なくともシリコン酸化膜とシリコン窒化膜を 1 層ずつ含む積層構造を有するフラッシュメモリ素子の製造方法であつて、 前記シリコン窒化膜は、 C VD法により堆積されたシリコン窒化膜を、 NH3 ガスまたは N2および H2を含むガスと A rまたは K rガスを主体とするガスと よりなる混合ガスにマイク口波によりプラズマを励起することで形成された窒 ィ匕水素ラジカル NH*に曝すことで形成されることを特徴とするフラッシュメモ リ素子の製造方法。
1 7 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜は第 1のシリコン窒化膜と第 1のシリコン酸化膜と 第 2のシリコン窒化膜と第 2のシリコン酸化膜を順次積層した積層構造を有し、 前記第 1の電極表面はポリシリコンより形成されているフラッシュメモリ素子 の製造方法であって、 前記第 1および第 2のシリコン窒化膜の各々は、 C VD法で堆積されたシリコ ン窒化膜を、 NH3ガスまたは N2および H2を含むガスと A rまたは K rガスを 主体とするガスとよりなる混合ガスにマイク口波によりプラズマを励起するこ とで形成される窒ィヒ水素ラジカル NH*に曝すことで形成されることを特徴とす るフラッシュメモリ素子の製造方法。
1 8 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜は第 1のシリコン酸化膜とシリコン窒化膜と第 2の シリコン酸化膜とを順次積層した積層構造を有し、前記第 1の電極表面はポリシ リコンより形成されているフラッシュメモリの製造方法であつて、
前記シリコン窒化膜は、 C VD法により堆積されたシリコン窒化膜を、 NH3 ガスまたは N2および H2を含むガスと A rまたは K rガスを主体とするガスと よりなる混合ガスにマイク口波によりプラズマを励起することで形成される窒 化水素ラジカル NH*に曝すことで形成されることを特徴とするフラッシュメモ リ素子の製造方法。
1 9 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成された第 1の電極と、前記第 1の電極上に電極間絶縁膜を挟んで形成された第 2の電極と よりなり、前記電極間絶縁膜はシリコン酸化膜とシリコン窒化膜とを順次積層し た 2層構造を有し、前記第 1の電極表面はポリシリコンより形成されているフラ ッシュメモリの製造方法であつて、
前記シリコン窒化膜は、 C VD法で堆積されたシリコン窒ィ匕膜を、 NH3ガス または N2および H2を含むガスと A rまたは K rガスを主体とするガスとより なる混合ガスにマイク口波によりプラズマを励起することで形成される窒化水 素ラジカル NH*に曝すことで形成されることを特徴とするフラッシュメモリ素 子の製造方法。
2 0. シリコン基板と、前記シリコン基板上に絶縁膜を介して形成されたポ リシリコンよりなる第 1の電極と、前記第 1の電極上に電極間酸化膜を挟んで形 成された第 2の電極とよりなるフラッシュメモリ素子の製造方法であつて、前記 電極間酸化膜は、
前記シリコン基板上にポリシリコン膜を前記第 1の電極として堆積する工程 と、
前記ポリシリコン膜の表面を、酸素 含むガスと K rガスを主体とする不活性 ガスとよりなる混合ガスにマイク口波によりプラズマを励起することで形成さ れる原子状酸素〇*に曝すことにより形成されることを特徴とすることを特徴と するフラッシュメモリ素子の製造方法。
2 1 . シリコン基板と、前記シリコン基板上に絶縁膜を介して形成されたポ リシリコンよりなる第 1の電極と、前記第 1の電極上に電極間窒化膜を挟んで形 成された第 2の電極とよりなるフラッシュメモリ素子の製造方法であって、前記 電極間窒化膜は、
前記シリコン基板上にポリシリコン膜を前記第 1の電極として堆積する工程 と、
前記ポリシリコン膜の表面を、窒素と水素を含むガスと K rガスを主体とする 不活性ガスとよりなる混合ガスにマイク口波によりプラズマを励起することで 形成される窒化水素ラジカル NH*に曝すことにより形成されることを特徴とす るシリコン窒化膜の形成方法。
2 2. シリコン基板と、前記シリコン基板上に絶縁膜を介して形成されたポ リシリコンよりなる第 1の電極と、前記第 1の電極上に電極間酸窒化膜を挟んで 形成された第 2の電極とよりなるフラッシュメモリ素子の製造方法であつて、前 記電極間酸窒化膜は、
前記シリコン基板上にポリシリコン膜を前記第 1の電極として堆積する工程 と、
前記ポリシリコン層を、 A rまたは K rを主とする不活性ガスと酸素おょぴ窒 素を含むガスとの混合ガス中にマイク口波により励起 ·形成されたプラズマに曝 し、前記ポリシリコン膜の表面をシリコン酸窒化膜に変換する工程とにより形成 されることを特徴とするフラッシュメモリの製造方法。
2 3 . 基板上にポリシリコン膜を堆積する工程と、
前記ポリシリコン膜の表面を、酸素を含むガスと K rガスを主体とする不活性 ガスとよりなる混合ガスにマイク口波によりプラズマを励起することで形成さ れる原子状酸素 0*に曝すことにより、 前記ポリシリコン膜の表面にシリコン酸 化膜を形成する工程とよりなることを特徴とするシリコン酸化膜の形成方法。 2 4. 前記混合ガスは、酸素と K rガスを主体とする不活性ガスの混合ガス であって、その混合比が酸素が 3 %、不活性ガスが 9 7 %であることを特徴とす る請求項 2 3記載のシリコン酸化膜の形成方法。
2 5 . 前記プラズマは、 前記ポリシリコン膜の表面において 1 012 c ΠΓ3以 上の電子密度を有することを特徴とする請求項 2 3記載のシリコン酸化膜の形 成方法。
2 6. 前記プラズマは、前記ポリシリコン膜の表面において 1 0 V以下のプ ラズマ電位を有することを特徴とする請求項 2 3記載のシリコン酸化膜の形成 方法。
2 7 . 基板上にポリシリコン膜を堆積する工程と、
前記ポリシリコン膜の表面を、窒素と水素を成分元素として含むガスと A rま たは K rガスを主体とする不活性ガスとよりなる混合ガスにマイクロ波により プラズマを励起することで形成される窒ィヒ水素ラジカル NH*に曝すことにより、 前記ポリシリコン膜の表面に窒化膜を形成する工程とよりなることを特徴とす るシリコン窒化膜の形成方法。
2 8. 前記窒素と水素を含むガスは、 NH3ガスであることを特徴とする請 求項 2 7記載のシリコン窒化膜の形成方法。
2 9. 前記混合ガスは、 NH3ガスと A rまたは K rガスを主体とする不活 性ガスとの混合ガスであって、 その混合比が NH3ガスが 2 %、 不活性ガスが 9 8 %であることを特徴とする請求項 2 7記載のシリコン窒化膜の形成方法。
3 0 . 前記窒素と水素を含むガスは、 N2ガスと H2ガスの混合ガスであるこ とを特徴とする請求項 2 7記載のシリコン窒化膜の形成方法。 3 1 . 前記プラズマは、 前記ポリシリコン膜の表面において 1 012 c πι·3以 上の電子密度を有することを特徴とする請求項 2 7記載のシリコン窒化膜の形 成方法。
3 2. 前記プラズマは、前記ポリシリコン膜の表面において 1 0 V以下のプ ラズマ電位を有することを特徴とする請求項 2 7記載のシリコン窒化膜の形成 方法。
3 3 . 基板上にポリシリコン層を堆積する工程と、
前記ポリシリコン層を、 A rまたは K rを主とする不活性ガスと酸素を成分元 素として含むガスと窒素を成分元素として含むガスとの混合ガス中にマイク口 波により励起 ·形成されたプラズマに曝し、前記ポリシリコン膜の表面をシリコ ン酸窒化膜に変換する工程とよりなることを特徴とするシリコン酸窒化膜の形 成方法。 3 4. 前記窒素を含むガスは、 NH3ガスであることを特徴とする請求項 3 3記載のシリコン酸窒化膜の形成方法。
3 5. 前記混合ガスは、 A rまたは K rを主とする不活性ガスと酸素と NH 3ガスの混合ガスであって、 その混合比が前記不活性ガスが 9 6 . 5 %、 酸素が 3 %、 NH3ガスが 0. 5 %であることを特徴とする請求項 3 3記載のシリコン 酸窒化膜の形成方法。
3 6 . 前記窒素を含むガスは、 N2ガスと H2ガスの混合ガスであることを特 徴とする請求項 3 3記載のシリコン酸窒化膜の形成方法。
3 7 . 前記プラズマは、 前記ポリシリコン膜の表面において 1 012 c ΠΓ3以 上の電子密度を有することを特徴とする請求項 3 3記載のシリコン酸窒化膜の 形成方法。
3 8 . 前記プラズマは、前記ポリシリコン膜の表面において 1 0 V以下のプ ラズマ電位を有することを特徴とする請求項 3 3記載のシリコン酸窒化膜の形 成方法。
3 9. ポリシリコン膜上へのシリコン酸化膜の形成方法であって、 処理容器を備え、さらに前記処理容器の一部に被処理基板に平行に延在しブラ ズマガスを前記被処理基板に向って供給する多数の開口部を有するシャワープ レートを備え、さらに前記シャワープレ一トを介して処理容器内にマイク口波を 照射するマイク口波放射アンテナを備えたマイク口波処理装置の処理容器中に おいて、 前記シャワープレートから前記処理容器中に、 K rを主体とする不活性 ガスと酸素を含むガスとを供給し、前記マイク口波放射アンテナから前記シャヮ 一プレートを介して前記処理容器中にマイク口波を供給し、前記処理容器中にお いて原子状酸素〇*を含むプラズマを形成する工程と、
前記処理容器中において、基板上に形成されたポリシリコン膜の表面を、前記 プラズマにより酸ィ匕させ、シリコン酸化膜を形成する工程とよりなることを特徴 とするシリコン酸化膜の形成方法。
4 0 . 前記プラズマは、 前記ポリシリコン膜の表面において 1 012 c πι·3以 上の電子密度を有することを特徴とする請求項 3 9記載のシリコン酸化膜の形 成方法。
4 1 . 前記プラズマは、前記ポリシリコン膜の表面において 1 0 V以下のプ ラズマ電位を有することを特徴とする請求項 3 9記載のシリコン酸化膜の形成 方法
4 2 . ポリシリコン膜上へのシリコン窒化膜の形成方法であって、 処理容器を備え、さらに前記処理容器の一部に被処理基板に平行に延在しブラ ズマガスを前記被処理基板に向って供給する多数の開口部を有するシャワープ レ一トを備え、さらに前記シャワープレートを介して処理容器内にマイクロ波を 照射するマイク口波放射ァンテナを備えたマイク口波処理装置の処理容器中に おいて、前記シャワープレートから前記処理容器中に、 A rまたは K rを主体と する不活性ガスと窒素と水素とを含むガスとを供給し、前記マイク口波放射アン テナから前記シャワープレートを介して前記処理容器中にマイク口波を供給し、 前記処理容器中において窒化水素ラジカル N H*を含むプラズマを形成する工程 と、
前記処理容器中において、基板上に形成されたポリシリコン膜の表面を、前記 プラズマにより窒ィ匕させ、シリコン窒化膜を形成する工程とよりなることを特徴 とするシリコン窒化膜の形成方法。
4 3. 前記窒素と水素を含むガスは、 NH3ガスであることを特徴とする請 求項 4 2記載のシリコン窒化膜の形成方法。
4 4. 前記窒素と水素を含むガスは、 N2ガスと H2ガスの混合ガスであるこ とを特徴とする請求項 4 2記載のシリコン窒化膜の形成方法。
4 5 . 前記プラズマは、 前記ポリシリコン膜の表面において 1 012 c πι·3以 上の電子密度を有することを特徴とする請求項 4 2記載のシリコン窒化膜の形 成方法。
4 6 . 前記プラズマは、前記ポリシリコン膜の表面において 1 0 V以下のプ ラズマ電位を有することを特徴とする請求項 4 2記載のシリコン窒化膜の形成 方法。
4 7 . ポリシリコン膜上へのシリコン酸窒化膜の形成方法であって、 処理容器を備え、さらに前記処理容器の一部に被処理基板に平行に延在しブラ ズマガスを前記被処理基板に向って供給する多数の開口部を有するシャワープ レートを備え、さらに前記シャワープレートを介して処理容器内にマイク口波を 照射するマイク口波放射アンテナを備えたマイク口波処理装置の処理容器中に おいて、前記シャワープレートから前記処理容器中に、 A rまたは K rを主体と する不活性ガスと酸素を成分元素として含むガスと窒素を成分元素として含む ガスとを供給し、前記マイク口波放射アンテナから前記シャヮ一プレートを介し て前記処理容器中にマイク口波を供給し、前記処理容器中において原子状酸素 O *および窒化水素ラジカル NH*を含むプラズマを形成する工程と、
前記処理容器中において、基板上に形成されたポリシリコン膜の表面を、前記 プラズマにより酸窒化させ、シリコン酸窒化膜を形成する工程とよりなることを 特徴とするシリコン酸窒化膜の形成方法。
4 8 . 前記窒素を含むガスは、 NH3ガスであることを特徴とする請求項 4 7記載のシリコン酸窒化膜の形成方法。
4 9 . 前記窒素を含むガスは、 N2ガスと H2ガスの混合ガスであることを特 徴とする請求項 4 7記載のシリコン酸窒化膜の形成方法。 5 0 . 前記プラズマは、 前記ポリシリコン膜の表面において 1 012 c πι·3以 上の電子密度を有することを特徴とする請求項 4 7記載のシリコン酸窒化膜の 形成方法。
5 1 . 前記プラズマは、前記ポリシリコン膜の表面において 1 0 V以下のプ ラズマ電位を有することを特徴とする請求項 4 7記載のシリコン酸窒化膜の形 成方法。
PCT/JP2001/001967 2000-03-13 2001-03-13 Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique WO2001069673A1 (fr)

Priority Applications (11)

Application Number Priority Date Filing Date Title
DE60140179T DE60140179D1 (de) 2000-03-13 2001-03-13 Verfahren zur herstellung eines flash-speicherbausteins
EP01912317A EP1265279B1 (en) 2000-03-13 2001-03-13 Method of fabricating a flash memory device
JP2001567036A JP4987206B2 (ja) 2000-03-13 2001-03-13 フラッシュメモリ素子の製造方法
US09/867,699 US6551948B2 (en) 2000-03-13 2001-05-31 Flash memory device and a fabrication process thereof, method of forming a dielectric film
US10/359,592 US7026681B2 (en) 2000-03-13 2003-02-07 Flash memory device and fabrication process thereof, method of forming a dielectric film
US10/359,714 US6998354B2 (en) 2000-03-13 2003-02-07 Flash memory device and fabrication process thereof, method of forming a dielectric film
US10/359,701 US7001855B2 (en) 2000-03-13 2003-02-07 Flash memory device and fabrication process thereof, method of forming a dielectric film
US10/721,513 US6838394B2 (en) 2000-03-13 2003-11-26 Flash memory device and a fabrication process thereof, method of forming a dielectric film
US10/721,473 US6846753B2 (en) 2000-03-13 2003-11-26 Flash memory device and a fabrication process thereof, method of forming a dielectric film
US10/762,522 US7109083B2 (en) 2000-03-13 2004-01-23 Flash memory device and a fabrication process thereof, method of forming a dielectric film
US10/762,520 US6998355B2 (en) 2000-03-13 2004-01-23 Flash memory device and a fabrication process thereof, method of forming a dielectric film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000115940 2000-03-13
JP2000-115940 2000-03-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/867,699 Continuation US6551948B2 (en) 2000-03-13 2001-05-31 Flash memory device and a fabrication process thereof, method of forming a dielectric film

Publications (1)

Publication Number Publication Date
WO2001069673A1 true WO2001069673A1 (fr) 2001-09-20

Family

ID=18627463

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/JP2001/001967 WO2001069673A1 (fr) 2000-03-13 2001-03-13 Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique
PCT/JP2001/001966 WO2001069665A1 (fr) 2000-03-13 2001-03-13 Procede de formation de pellicule dielectrique

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/001966 WO2001069665A1 (fr) 2000-03-13 2001-03-13 Procede de formation de pellicule dielectrique

Country Status (8)

Country Link
US (9) US6551948B2 (ja)
EP (3) EP1265276B1 (ja)
JP (6) JP4987206B2 (ja)
KR (2) KR100833406B1 (ja)
AT (1) ATE514181T1 (ja)
DE (1) DE60140179D1 (ja)
TW (2) TW498544B (ja)
WO (2) WO2001069673A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6551948B2 (en) 2000-03-13 2003-04-22 Tadahiro Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
JP2005530341A (ja) * 2002-06-12 2005-10-06 アプライド マテリアルズ インコーポレイテッド 基板を処理するためのプラズマ方法及び装置
WO2006025363A1 (ja) * 2004-08-31 2006-03-09 Tokyo Electron Limited シリコン酸化膜の形成方法、半導体装置の製造方法およびコンピュータ記憶媒体
JP2006310393A (ja) * 2005-04-26 2006-11-09 Toshiba Corp 半導体記憶装置及びその製造方法
JP2006332619A (ja) * 2005-04-28 2006-12-07 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2007005696A (ja) * 2005-06-27 2007-01-11 Tokyo Electron Ltd プラズマ窒化処理方法および半導体装置の製造方法
JP2009076885A (ja) * 2007-08-31 2009-04-09 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US7955995B2 (en) 2006-05-26 2011-06-07 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device and manufacturing method thereof, semiconductor device and manufacturing method thereof, and manufacturing method of insulating film
US8372761B2 (en) 2007-03-30 2013-02-12 Tokyo Electron Limited Plasma oxidation processing method, plasma processing apparatus and storage medium

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
JP4382265B2 (ja) * 2000-07-12 2009-12-09 日本電気株式会社 酸化シリコン膜の形成方法及びその形成装置
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100426481B1 (ko) * 2001-06-26 2004-04-13 주식회사 하이닉스반도체 코드 저장 메모리 셀 제조 방법
TW557514B (en) * 2001-08-02 2003-10-11 Tokyo Electron Ltd Method for processing a substrate and material for electronic devices
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
JP4727102B2 (ja) * 2001-09-27 2011-07-20 株式会社アルバック 光学薄膜の成膜方法及び成膜装置
JP2003115587A (ja) * 2001-10-03 2003-04-18 Tadahiro Omi <110>方位のシリコン表面上に形成された半導体装置およびその製造方法
US7517751B2 (en) * 2001-12-18 2009-04-14 Tokyo Electron Limited Substrate treating method
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003282873A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置およびその製造方法
KR101153978B1 (ko) 2002-03-26 2012-06-14 카부시키카이샤 시.브이.리서어치 비결정질 금속 산화막의 제조 방법 및 비결정질 금속산화막을 가지는 커패시턴스 소자와 반도체 장치를제조하는 방법
EP1492161A4 (en) * 2002-03-29 2006-05-24 Tokyo Electron Ltd METHOD FOR CONSTITUTING AN UNDERLYING INSULATING FILM
TWI225668B (en) * 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
JP2004095918A (ja) * 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及び半導体装置の製造方法
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
JP2004149093A (ja) 2002-11-01 2004-05-27 Pacific Ind Co Ltd タイヤ状態監視装置
SG124265A1 (en) * 2002-12-02 2006-08-30 Tadahiro Ohmi Semiconductor device and method of manufacturing the same
JP4320167B2 (ja) * 2002-12-12 2009-08-26 忠弘 大見 半導体素子及びシリコン酸化窒化膜の製造方法
WO2004070816A1 (ja) * 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
US6822284B2 (en) * 2003-04-16 2004-11-23 Macronix International Co., Ltd. ONO dielectric for memory cells
JP2004356114A (ja) * 2003-05-26 2004-12-16 Tadahiro Omi Pチャネルパワーmis電界効果トランジスタおよびスイッチング回路
CN1316574C (zh) * 2003-06-11 2007-05-16 旺宏电子股份有限公司 Ono介电质及其制造方法
KR100672753B1 (ko) * 2003-07-24 2007-01-22 주식회사 하이닉스반도체 전자트랩을 억제할 수 있는 트렌치형 소자분리막의 형성방법
US7183143B2 (en) * 2003-10-27 2007-02-27 Macronix International Co., Ltd. Method for forming nitrided tunnel oxide layer
US20060079100A1 (en) * 2004-03-15 2006-04-13 Sharp Laboratories Of America, Inc. High density plasma grown silicon nitride
KR20070004881A (ko) * 2004-03-26 2007-01-09 세키스이가가쿠 고교가부시키가이샤 산질화막 및 질화막의 형성 방법, 형성 장치, 산질화막,질화막 및 기재
US20050214456A1 (en) * 2004-03-29 2005-09-29 Donghul Lu Enhanced dielectric layers using sequential deposition
JP2005303074A (ja) * 2004-04-13 2005-10-27 Renesas Technology Corp 薄膜形成装置および薄膜形成方法
US7163877B2 (en) * 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
CN101027941A (zh) * 2004-09-24 2007-08-29 大见忠弘 有机el发光元件及其制造方法以及显示装置
US20060138392A1 (en) * 2004-10-28 2006-06-29 Bowden Ned B Mild methods for generating patterned silicon surfaces
CN101048858B (zh) * 2004-11-04 2010-11-03 东京毅力科创株式会社 绝缘膜形成方法及基板处理方法
US20060113586A1 (en) * 2004-11-29 2006-06-01 Macronix International Co., Ltd. Charge trapping dielectric structure for non-volatile memory
KR100623177B1 (ko) * 2005-01-25 2006-09-13 삼성전자주식회사 높은 유전율을 갖는 유전체 구조물, 이의 제조 방법, 이를포함하는 불휘발성 반도체 메모리 장치 및 그 제조 방법
KR100900073B1 (ko) 2005-03-16 2009-05-28 가부시키가이샤 히다치 고쿠사이 덴키 기판처리방법 및 기판처리장치
JP4689324B2 (ja) * 2005-04-04 2011-05-25 東京エレクトロン株式会社 成膜装置、成膜方法および記録媒体
US20060270066A1 (en) * 2005-04-25 2006-11-30 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
JP2006310601A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 半導体装置およびその製造方法
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
JP4853857B2 (ja) * 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
KR100648194B1 (ko) * 2005-07-27 2006-11-23 삼성전자주식회사 반도체 장치의 제조 방법
KR100670925B1 (ko) * 2005-08-01 2007-01-19 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
JP5283833B2 (ja) * 2005-09-29 2013-09-04 株式会社東芝 半導体装置の製造方法
KR100729354B1 (ko) * 2005-12-07 2007-06-15 삼성전자주식회사 유전막의 전기적 특성 향상을 위한 반도체 소자의 제조방법
EP1818989A3 (en) * 2006-02-10 2010-12-01 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor storage device and manufacturing method thereof
KR101488516B1 (ko) * 2006-03-21 2015-02-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 불휘발성 반도체 기억장치
TWI416738B (zh) 2006-03-21 2013-11-21 Semiconductor Energy Lab 非揮發性半導體記憶體裝置
EP1837917A1 (en) 2006-03-21 2007-09-26 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
EP1837900A3 (en) * 2006-03-21 2008-10-15 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
US7786526B2 (en) * 2006-03-31 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
EP1840947A3 (en) * 2006-03-31 2008-08-13 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
US7554854B2 (en) * 2006-03-31 2009-06-30 Semiconductor Energy Laboratory Co., Ltd. Method for deleting data from NAND type nonvolatile memory
US8022460B2 (en) * 2006-03-31 2011-09-20 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
US20100024732A1 (en) * 2006-06-02 2010-02-04 Nima Mokhlesi Systems for Flash Heating in Atomic Layer Deposition
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20070281082A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Flash Heating in Atomic Layer Deposition
JP4764267B2 (ja) * 2006-06-27 2011-08-31 株式会社東芝 半導体装置およびその製造方法
KR100904729B1 (ko) * 2006-06-30 2009-06-26 주식회사 하이닉스반도체 반도체소자의 듀얼 게이트 형성방법
JP5305620B2 (ja) * 2006-07-14 2013-10-02 株式会社半導体エネルギー研究所 不揮発性メモリ
US8344347B2 (en) * 2006-12-15 2013-01-01 Macronix International Co., Ltd. Multi-layer electrode structure
US7687359B2 (en) * 2006-12-22 2010-03-30 Dongbu Hitek Co., Ltd. Method for fabricating flash memory device
JP4861204B2 (ja) * 2007-01-22 2012-01-25 株式会社東芝 半導体装置およびその製造方法
JP2008270706A (ja) * 2007-03-26 2008-11-06 Tokyo Electron Ltd 窒化珪素膜および不揮発性半導体メモリ装置
WO2008123289A1 (ja) * 2007-03-26 2008-10-16 Tokyo Electron Limited 窒化珪素膜および不揮発性半導体メモリ装置
JP4562751B2 (ja) * 2007-05-28 2010-10-13 東京エレクトロン株式会社 絶縁膜の形成方法
JP4963679B2 (ja) * 2007-05-29 2012-06-27 キヤノン株式会社 液体吐出ヘッド用基体及びその製造方法、並びに該基体を用いる液体吐出ヘッド
WO2009031886A2 (en) * 2007-09-07 2009-03-12 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
KR100933835B1 (ko) * 2007-11-12 2009-12-24 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US7687338B2 (en) * 2007-12-05 2010-03-30 International Business Machines Corporation Method of reducing embedded SiGe loss in semiconductor device manufacturing
JP4611414B2 (ja) 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5374748B2 (ja) * 2008-02-08 2013-12-25 東京エレクトロン株式会社 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
KR101250057B1 (ko) * 2008-02-08 2013-04-03 도쿄엘렉트론가부시키가이샤 절연막의 플라즈마 개질 처리 방법 및 플라즈마 처리 장치
JP5374749B2 (ja) * 2008-02-08 2013-12-25 東京エレクトロン株式会社 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
WO2009099254A1 (ja) 2008-02-08 2009-08-13 Tokyo Electron Limited 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
KR100950477B1 (ko) * 2008-03-05 2010-03-31 주식회사 하이닉스반도체 전하 트랩층을 갖는 불휘발성 메모리소자의 제조방법
US8807075B2 (en) * 2008-09-22 2014-08-19 Applied Materials, Inc. Shutter disk having a tuned coefficient of thermal expansion
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8524616B2 (en) * 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
KR101563541B1 (ko) * 2010-12-30 2015-10-27 어플라이드 머티어리얼스, 인코포레이티드 마이크로파 플라즈마를 이용한 박막 증착
JP5663384B2 (ja) * 2011-04-19 2015-02-04 三菱電機株式会社 絶縁膜の製造方法
FR2978867B1 (fr) * 2011-08-01 2014-03-21 St Microelectronics Rousset Resistance ajustable
KR101361673B1 (ko) 2011-10-07 2014-02-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US8741785B2 (en) 2011-10-27 2014-06-03 Applied Materials, Inc. Remote plasma radical treatment of silicon oxide
US8664729B2 (en) 2011-12-14 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for reduced gate resistance finFET
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
CN104952734B (zh) * 2015-07-16 2020-01-24 矽力杰半导体技术(杭州)有限公司 半导体结构及其制造方法
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
JP6419762B2 (ja) * 2016-09-06 2018-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20180033369A (ko) 2016-09-23 2018-04-03 삼성전자주식회사 반도체 장치의 제조 방법
US9991363B1 (en) * 2017-07-24 2018-06-05 Globalfoundries Inc. Contact etch stop layer with sacrificial polysilicon layer
US10276697B1 (en) * 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
JP6839672B2 (ja) 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
DE102020120420A1 (de) 2020-08-03 2022-02-03 VON ARDENNE Asset GmbH & Co. KG Verfahren

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59105371A (ja) * 1982-12-08 1984-06-18 Hitachi Ltd 不揮撥性半導体装置
JPH05267684A (ja) * 1992-03-18 1993-10-15 Rohm Co Ltd 不揮発性記憶素子
JPH0851164A (ja) * 1994-08-08 1996-02-20 Matsushita Electron Corp 不揮発性半導体記憶装置およびその製造方法
JPH09205155A (ja) * 1996-01-25 1997-08-05 Sony Corp 半導体記憶装置の製造方法
JPH09213820A (ja) * 1996-02-01 1997-08-15 Hitachi Ltd 不揮発性半導体記憶装置の製造方法
JPH09223752A (ja) * 1996-02-16 1997-08-26 Hitachi Ltd 不揮発性半導体記憶装置の製造方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US44187A (en) * 1864-09-13 Machine for cutting lacings
JPS5684462A (en) * 1979-12-10 1981-07-09 Shunpei Yamazaki Plasma nitriding method
JPS61183459A (ja) * 1985-02-07 1986-08-16 Hitachi Ltd 保護膜の形成方法
JPS6251264A (ja) * 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
JPS62254432A (ja) * 1986-04-28 1987-11-06 Toshiba Corp 表面処理方法及び装置
JP2821138B2 (ja) * 1988-05-27 1998-11-05 株式会社日立製作所 薄膜形成方法及びその装置
US5304829A (en) * 1989-01-17 1994-04-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor device
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
JP3029434B2 (ja) * 1989-12-29 2000-04-04 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
JPH03229871A (ja) * 1990-02-01 1991-10-11 Toagosei Chem Ind Co Ltd 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
JPH0492423A (ja) * 1990-08-08 1992-03-25 Hitachi Ltd 半導体集積回路装置の製造方法
US5268320A (en) * 1990-12-26 1993-12-07 Intel Corporation Method of increasing the accuracy of an analog circuit employing floating gate memory devices
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JPH053319A (ja) * 1991-06-25 1993-01-08 Canon Inc 薄膜半導体装置及びその製造方法
JP3295133B2 (ja) * 1992-07-30 2002-06-24 シャープ株式会社 非晶質半導体の製造方法
EP0600303B1 (en) * 1992-12-01 2002-02-06 Matsushita Electric Industrial Co., Ltd. Method for fabrication of dielectric thin film
JPH06192827A (ja) * 1992-12-28 1994-07-12 Canon Inc 堆積膜及びその形成方法
JP2786071B2 (ja) * 1993-02-17 1998-08-13 日本電気株式会社 半導体装置の製造方法
JPH0714803A (ja) * 1993-06-24 1995-01-17 Kawasaki Steel Corp Al薄膜の形成方法
JP2640910B2 (ja) * 1993-07-14 1997-08-13 株式会社フロンテック 電子素子およびその製造方法
JP3413276B2 (ja) * 1994-04-20 2003-06-03 東京エレクトロン株式会社 薄膜の形成方法
JPH08115912A (ja) * 1994-10-14 1996-05-07 Nippon Telegr & Teleph Corp <Ntt> 窒化ケイ素薄膜の作製方法
JP3586332B2 (ja) * 1995-02-28 2004-11-10 新日本製鐵株式会社 不揮発性半導体記憶装置及びその製造方法
US5707888A (en) * 1995-05-04 1998-01-13 Lsi Logic Corporation Oxide formed in semiconductor substrate by implantation of substrate with a noble gas prior to oxidation
JPH0955351A (ja) * 1995-08-15 1997-02-25 Sony Corp 半導体装置の製造方法
JPH0964307A (ja) * 1995-08-29 1997-03-07 Hitachi Ltd 酸化物薄膜の熱処理方法
US5891809A (en) * 1995-09-29 1999-04-06 Intel Corporation Manufacturable dielectric formed using multiple oxidation and anneal steps
JPH09172081A (ja) * 1995-12-19 1997-06-30 Sony Corp 半導体装置の製造方法
US6110842A (en) * 1996-06-07 2000-08-29 Texas Instruments Incorporated Method of forming multiple gate oxide thicknesses using high density plasma nitridation
JPH1060655A (ja) * 1996-08-22 1998-03-03 Canon Inc 薄膜形成方法および装置
US5939763A (en) * 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
JPH1098038A (ja) * 1996-09-19 1998-04-14 Sony Corp 半導体装置におけるシリコン酸化膜の形成方法
KR100282413B1 (ko) * 1996-10-24 2001-03-02 김영환 아산화질소 가스를 이용한 박막 형성 방법
GB2319533B (en) * 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
JPH10237662A (ja) * 1996-12-24 1998-09-08 Sony Corp 金属膜のプラズマcvd方法、および金属窒化物膜の形成方法ならびに半導体装置
JP4356117B2 (ja) * 1997-01-29 2009-11-04 財団法人国際科学振興財団 プラズマ装置
US6287988B1 (en) * 1997-03-18 2001-09-11 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device
US6461984B1 (en) * 1997-03-18 2002-10-08 Korea Advanced Institute Of Science & Technology Semiconductor device using N2O plasma oxide and a method of fabricating the same
JP3191745B2 (ja) * 1997-04-23 2001-07-23 日本電気株式会社 薄膜トランジスタ素子及びその製造方法
US6040216A (en) * 1997-08-11 2000-03-21 Mosel Vitelic, Inc. Method (and device) for producing tunnel silicon oxynitride layer
JPH11162970A (ja) * 1997-11-25 1999-06-18 Sony Corp 酸化膜の形成方法
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
JPH11204517A (ja) * 1998-01-12 1999-07-30 Sony Corp シリコン酸化膜の形成方法、及びシリコン酸化膜形成装置
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
JPH11340321A (ja) * 1998-05-27 1999-12-10 Sony Corp 半導体装置およびその製造方法
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
JP3513018B2 (ja) * 1998-06-30 2004-03-31 株式会社東芝 半導体装置及びその製造方法
US6727148B1 (en) * 1998-06-30 2004-04-27 Lam Research Corporation ULSI MOS with high dielectric constant gate insulator
JP3837934B2 (ja) * 1998-09-16 2006-10-25 セイコーエプソン株式会社 半導体装置の製造方法
JP3837938B2 (ja) * 1998-09-28 2006-10-25 セイコーエプソン株式会社 薄膜半導体装置の製造方法
JP4135236B2 (ja) * 1998-11-19 2008-08-20 ソニー株式会社 Cvd膜の成膜方法
JP2000223439A (ja) * 1999-01-27 2000-08-11 Sony Corp 半導体装置及びその製造方法
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP3326718B2 (ja) * 1999-03-19 2002-09-24 富士通株式会社 半導体装置の製造方法
JP3736189B2 (ja) * 1999-03-30 2006-01-18 セイコーエプソン株式会社 SiO2膜の形成方法、薄膜トランジスタデバイスの製造方法、及び液晶表示装置
JP2000332009A (ja) * 1999-05-25 2000-11-30 Sony Corp 絶縁膜の形成方法及びp形半導体素子の製造方法
JP2001015507A (ja) * 1999-06-30 2001-01-19 Toshiba Corp シリコン酸化窒化膜の形成方法
JP2001044375A (ja) * 1999-07-29 2001-02-16 Fujitsu Ltd 半導体装置およびその製造方法
US6380033B1 (en) * 1999-09-20 2002-04-30 Advanced Micro Devices, Inc. Process to improve read disturb for NAND flash memory devices
US6337289B1 (en) * 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
KR20010066386A (ko) * 1999-12-31 2001-07-11 박종섭 플래시 메모리의 게이트전극 제조방법
US6297103B1 (en) * 2000-02-28 2001-10-02 Micron Technology, Inc. Structure and method for dual gate oxide thicknesses
WO2001069673A1 (fr) * 2000-03-13 2001-09-20 Tadahiro Ohmi Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique
JP4056195B2 (ja) * 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59105371A (ja) * 1982-12-08 1984-06-18 Hitachi Ltd 不揮撥性半導体装置
JPH05267684A (ja) * 1992-03-18 1993-10-15 Rohm Co Ltd 不揮発性記憶素子
JPH0851164A (ja) * 1994-08-08 1996-02-20 Matsushita Electron Corp 不揮発性半導体記憶装置およびその製造方法
JPH09205155A (ja) * 1996-01-25 1997-08-05 Sony Corp 半導体記憶装置の製造方法
JPH09213820A (ja) * 1996-02-01 1997-08-15 Hitachi Ltd 不揮発性半導体記憶装置の製造方法
JPH09223752A (ja) * 1996-02-16 1997-08-26 Hitachi Ltd 不揮発性半導体記憶装置の製造方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
M. HIRAYAMA ET AL: "Low-Temperature Growth of High-Integrity Silicon Oxide Films by Oxygen Radical Generated in High-Density Krypton Plasma", TECHNICAL DIGEST, INTERNATIONAL ELECTRON DEVICES MEETING, 1999, WASHINGTON, D.C., pages 249 - 252, XP002940674 *
TETSUYA ITO, DAISUKE KITAYAMA AND HIDEAKI IKOMA: "Silicon Oxynitridation with Inductively Coupled Oxygen-Nitrogen Mixed Plasma", JAPAN. J. APPL. PHYS., vol. 36, no. 2, T 2, 1997, pages 612 - 616, XP002940676 *
YUJI SAITO ET AL: "Micro-ha reiki koumitsudo plasma wo mochiita kouhinshitsu silicon chikkamaku no teion keisei", TECHNICAL RESEARCH REPORT, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS, SHINGAKU GIHOU, vol. 99, no. 229, 1999, pages 85 - 90, ED99-95, XP002940675 *

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109083B2 (en) 2000-03-13 2006-09-19 Tadahiro Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
US6838394B2 (en) 2000-03-13 2005-01-04 Tadahiro Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
US6846753B2 (en) 2000-03-13 2005-01-25 Tadahiro Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
US6998355B2 (en) 2000-03-13 2006-02-14 Tadahiro Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
US6998354B2 (en) 2000-03-13 2006-02-14 Tadahiro Ohmi Flash memory device and fabrication process thereof, method of forming a dielectric film
US7001855B2 (en) 2000-03-13 2006-02-21 Tadahiro Ohmi Flash memory device and fabrication process thereof, method of forming a dielectric film
US7026681B2 (en) 2000-03-13 2006-04-11 Tadahiro Ohmi Flash memory device and fabrication process thereof, method of forming a dielectric film
US6551948B2 (en) 2000-03-13 2003-04-22 Tadahiro Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
JP2011119747A (ja) * 2000-03-13 2011-06-16 Foundation For Advancement Of International Science 窒化膜の形成方法、酸窒化膜の形成方法、窒化膜のスパッタリング方法、ゲート絶縁膜の形成方法
JP2005530341A (ja) * 2002-06-12 2005-10-06 アプライド マテリアルズ インコーポレイテッド 基板を処理するためのプラズマ方法及び装置
WO2006025363A1 (ja) * 2004-08-31 2006-03-09 Tokyo Electron Limited シリコン酸化膜の形成方法、半導体装置の製造方法およびコンピュータ記憶媒体
JPWO2006025363A1 (ja) * 2004-08-31 2008-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、半導体装置の製造方法およびコンピュータ記憶媒体
JP4739215B2 (ja) * 2004-08-31 2011-08-03 東京エレクトロン株式会社 酸化膜の形成方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置
JP2006310393A (ja) * 2005-04-26 2006-11-09 Toshiba Corp 半導体記憶装置及びその製造方法
US7927949B2 (en) 2005-04-26 2011-04-19 Kabushiki Kaisha Toshiba Semiconductor memory device and method of manufacturing the same
JP4734019B2 (ja) * 2005-04-26 2011-07-27 株式会社東芝 半導体記憶装置及びその製造方法
JP2006332619A (ja) * 2005-04-28 2006-12-07 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP4522916B2 (ja) * 2005-06-27 2010-08-11 東京エレクトロン株式会社 プラズマ窒化処理方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置
JP2007005696A (ja) * 2005-06-27 2007-01-11 Tokyo Electron Ltd プラズマ窒化処理方法および半導体装置の製造方法
US7955995B2 (en) 2006-05-26 2011-06-07 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device and manufacturing method thereof, semiconductor device and manufacturing method thereof, and manufacturing method of insulating film
US9231070B2 (en) 2006-05-26 2016-01-05 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device and manufacturing method thereof, semiconductor device and manufacturing method thereof, and manufacturing method of insulating film
US8372761B2 (en) 2007-03-30 2013-02-12 Tokyo Electron Limited Plasma oxidation processing method, plasma processing apparatus and storage medium
JP2009076885A (ja) * 2007-08-31 2009-04-09 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法

Also Published As

Publication number Publication date
JP2013239749A (ja) 2013-11-28
EP1265276A1 (en) 2002-12-11
JP5479437B2 (ja) 2014-04-23
US6551948B2 (en) 2003-04-22
JP2012089855A (ja) 2012-05-10
US6669825B2 (en) 2003-12-30
US6838394B2 (en) 2005-01-04
TW527683B (en) 2003-04-11
EP1265276B1 (en) 2011-06-22
KR20020086638A (ko) 2002-11-18
WO2001069665A1 (fr) 2001-09-20
US20030148568A1 (en) 2003-08-07
KR100760078B1 (ko) 2007-09-18
EP1265279A4 (en) 2004-11-24
US6998355B2 (en) 2006-02-14
US7026681B2 (en) 2006-04-11
EP1912253A3 (en) 2009-12-30
EP1265276A4 (en) 2005-07-13
EP1265279A1 (en) 2002-12-11
US6846753B2 (en) 2005-01-25
KR100833406B1 (ko) 2008-05-28
JP2013077823A (ja) 2013-04-25
US20030137007A1 (en) 2003-07-24
DE60140179D1 (de) 2009-11-26
US20030148629A1 (en) 2003-08-07
US20040171216A1 (en) 2004-09-02
JP2011119747A (ja) 2011-06-16
US7109083B2 (en) 2006-09-19
JP4966466B2 (ja) 2012-07-04
JP4987206B2 (ja) 2012-07-25
TW498544B (en) 2002-08-11
US20020040847A1 (en) 2002-04-11
ATE514181T1 (de) 2011-07-15
EP1912253A2 (en) 2008-04-16
US6998354B2 (en) 2006-02-14
US20040150031A1 (en) 2004-08-05
JP5386515B2 (ja) 2014-01-15
EP1265279B1 (en) 2009-10-14
US20020025691A1 (en) 2002-02-28
KR20030009386A (ko) 2003-01-29
US20040152263A1 (en) 2004-08-05
US7001855B2 (en) 2006-02-21
US20040121538A1 (en) 2004-06-24

Similar Documents

Publication Publication Date Title
WO2001069673A1 (fr) Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique
TW525217B (en) Dielectric film and method of producing the same, semiconductor device nonvolatile semiconductor memory device, and method producing semiconductor device
TW587273B (en) Method of producing semiconductor device
JPWO2008117798A1 (ja) 窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置
JP5460011B2 (ja) 窒化珪素膜の成膜方法、コンピュータ読み取り可能な記憶媒体およびプラズマcvd装置
WO2008150032A1 (ja) 半導体メモリ装置およびその製造方法
JP4358503B2 (ja) 不揮発性半導体記憶装置の製造方法
US20110254078A1 (en) Method for depositing silicon nitride film, computer-readable storage medium, and plasma cvd device
JP4358504B2 (ja) 不揮発性半導体記憶装置の製造方法
JP2008270706A (ja) 窒化珪素膜および不揮発性半導体メモリ装置
JPH09223752A (ja) 不揮発性半導体記憶装置の製造方法

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref country code: US

Ref document number: 2001 867699

Date of ref document: 20010531

Kind code of ref document: A

Format of ref document f/p: F

AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 567036

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020027011936

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2001912317

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020027011936

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001912317

Country of ref document: EP