TWI806884B - 整合磨料拋光墊及製造方法 - Google Patents

整合磨料拋光墊及製造方法 Download PDF

Info

Publication number
TWI806884B
TWI806884B TW107125822A TW107125822A TWI806884B TW I806884 B TWI806884 B TW I806884B TW 107125822 A TW107125822 A TW 107125822A TW 107125822 A TW107125822 A TW 107125822A TW I806884 B TWI806884 B TW I806884B
Authority
TW
Taiwan
Prior art keywords
polishing
abrasive particles
droplets
particles
sub
Prior art date
Application number
TW107125822A
Other languages
English (en)
Other versions
TW201930011A (zh
Inventor
愛沙瓦尼 庫馬
亞敘溫 裘卡林姆
西法帕奇亞 卡納帕西亞潘
拉吉菲 巴札
傅博詣
丹尼爾 瑞特法德
納格B 帕逖邦德拉
瑪利歐達吉歐 寇爾內喬
艾姆立坦修 申那
趙岩
蘭卡拉歐 阿奈巴里
佛瑞德C 瑞德可
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201930011A publication Critical patent/TW201930011A/zh
Application granted granted Critical
Publication of TWI806884B publication Critical patent/TWI806884B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D11/00Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
    • B24D11/001Manufacture of flexible abrasive materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • B24B37/245Pads with fixed abrasives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/22Lapping pads for working plane surfaces characterised by a multi-layered structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D11/00Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
    • B24D11/04Zonally-graded surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D18/00Manufacture of grinding tools or other grinding devices, e.g. wheels, not otherwise provided for
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C64/00Additive manufacturing, i.e. manufacturing of three-dimensional [3D] objects by additive deposition, additive agglomeration or additive layering, e.g. by 3D printing, stereolithography or selective laser sintering
    • B29C64/10Processes of additive manufacturing
    • B29C64/106Processes of additive manufacturing using only liquids or viscous materials, e.g. depositing a continuous bead of viscous material
    • B29C64/112Processes of additive manufacturing using only liquids or viscous materials, e.g. depositing a continuous bead of viscous material using individual droplets, e.g. from jetting heads
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y10/00Processes of additive manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y80/00Products made by additive manufacturing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • C09G1/14Other polishing compositions based on non-waxy substances
    • C09G1/16Other polishing compositions based on non-waxy substances on natural or synthetic resins
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/12Lapping plates for working plane surfaces
    • B24B37/16Lapping plates for working plane surfaces characterised by the shape of the lapping plate surface, e.g. grooved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D2203/00Tool surfaces formed with a pattern
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y30/00Apparatus for additive manufacturing; Details thereof or accessories therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Polishing Bodies And Polishing Tools (AREA)

Abstract

本文所述之實施例關於整合磨料(IA)拋光墊,以及製造IA拋光墊之方法,該方法在加成製造製程(諸如3D噴墨印刷製程)中至少部分使用表面官能化磨料顆粒。一個實施例中,一種形成拋光製品的方法包括:配發第一前驅物的複數個第一液滴;固化該複數個第一液滴,而形成第一層,該第一層包括子拋光元件的一部分;將該第一前驅物與第二前驅物的複數個第二液滴配發至該第一層上;以及固化該複數個第二液滴,而形成第二層,該第二層包括該子拋光元件的多個部分與複數個拋光元件的多個部分。在此,該第二前驅物包括官能化磨料顆粒,該等顆粒具有化學鍵結至該等顆粒之表面的可聚合基團。

Description

整合磨料拋光墊及製造方法
本案揭露內容的實施例大致上涉及拋光墊以及形成拋光墊之方法,更特定而言,涉及用於在電子元件製造製程中拋光基板的拋光墊。
化學機械拋光(CMP)常用於高密度積體電路的製造,以藉由使待平坦化的材料層與拋光墊接觸且在拋光流體與磨料顆粒存在下移動該拋光墊及/或基板(從而移動該材料層之表面),而平坦化或拋光在基板上所沉積的材料層。 CMP的兩種常見應用為:主體膜(bulk film)的平坦化,例如前金屬介電質(PMD)或層間介電質(ILD)之拋光,其中下面的特徵在層表面中產生凹部和突出部;以及,淺溝槽隔離(STI)和中間層金屬互連件拋光,其中拋光用於從一層之暴露表面(場)移除介層窗(via)、接觸件、或溝槽填充材料,該層具有該特徵。
在典型的CMP製程中,將基板保持在載具頭中,該載具頭將基板的背側朝向拋光墊壓抵。透過化學活動和機械活動之組合,遍及與拋光墊接觸的材料層表面移除材料,該化學活動與該機械活動是由拋光流體和磨料顆粒所提供。一般而言,該等磨料顆粒懸浮在拋光流體(已知為漿料)中,或是嵌在拋光墊中,已知為固定磨料拋光墊。
當在拋光流體(漿料)中懸浮磨料顆粒時,一般是使用非磨料拋光墊將磨料顆粒運送到基板的材料層,其中該等磨料顆粒提供與基板之表面的機械作用,且在一些實施例中,引發化學反應。與上述相反,利用固定磨料拋光墊,磨料顆粒一般藉由將它們嵌在支撐材料中而整合到拋光墊中,舉例而言,該支撐材料經常是稱作黏結劑材料,諸如環氧樹脂。大致上,在CMP製程期間,該黏結劑材料將該等磨料顆粒固定地保持在拋光墊表面的適當位置處,在該處,該等磨料顆粒在CMP製程期間提供機械性拋光作用給該基板之材料層,並且有時提供與基板之材料層的化學反應。
大致上,固定磨料拋光墊在拋光效能的某些態樣上比標準(非固定式磨料拋光墊)卓越,諸如,在具有高特徵密度的區域中,平坦表面的非期望侵蝕較少,並且在諸如溝槽、接觸件和線之類的凹陷特徵中,膜材料之上表面少有非期望的碟形化(dishing)。然而,固定磨料拋光墊傾向有較短的壽命(每個墊幾次拋光),在從基板表面移除膜的速率方面,基板至基板間的穩定性不佳,並且在遍及基板上的膜移除的均勻度方面,基板至基板間的穩定性不佳。
一般而言,與標準的拋光墊一併使用固定磨料調節盤(例如鑽石調節盤),以使拋光墊表面復原(rejuvenate)和平坦化,且因此維持基板至基板間的穩定性拋光效能。然而,固定磨料調節盤大致上與固定磨料拋光墊的使用不相容,因為該調節盤會從其中嵌有磨料材料之支撐環氧樹脂材料的固有脆性表面移除嵌入的磨料顆粒。 這樣的非期望磨料顆粒移除使墊表面空乏(或幾乎空乏)有效CMP製程所必需的磨料顆粒。
因此,本技術中需要一種拋光墊,以及製造拋光墊的方法,該拋光墊具有與外部調節(諸如利用固定磨料調節盤)相容的固定研磨拋光墊的期望拋光特性。
本文之實施例大致上關於整合磨料(IA)拋光墊及形成該整合磨料拋光墊之方法,該整合磨料拋光墊包括磨料顆粒,該等磨料顆粒配置在該拋光墊的多個部分的拋光材料中,且與該拋光材料化學鍵結。尤其是,本文的多個實施例中,可固化樹脂前驅物混合物形成有磨料顆粒,該等磨料顆粒具有可聚合基團,該可聚合基團化學鍵結至該等磨料顆粒之表面。該可固化樹脂前驅物混合物用在加成(additive)製造製程中(伴隨可固化樹脂子拋光材料前驅物組成物),以形成拋光墊。一些實施例中,該拋光墊具有多個分立的(discrete)拋光元件,該等拋光元件具有磨料顆粒,該等磨料顆粒配置在該等拋光元件的拋光墊材料中,且與該拋光墊材料化學鍵結。
一個實施例中,一種形成拋光製品的方法包括,配發第一前驅物的複數個第一液滴,及固化該複數個第一液滴,而形成第一層,該第一層包括子拋光元件的一部分。該方法進一步包括將該第一前驅物與第二前驅物的複數個第二液滴配發至該第一層上,且固化該複數個第二液滴,而形成第二層,該第二層包括該子拋光元件的多個部分與複數個拋光元件的多個部分。在此,該第二前驅物包括官能化磨料顆粒,該等顆粒具有化學鍵結至該等顆粒之表面的可聚合基團。
另一實施例中,一種形成拋光製品之方法包括,從第一前驅物的複數個第一液滴形成子拋光元件,以及透過配發第二前驅物之複數個第二液滴而形成複數個拋光元件,該等拋光元件配置在該子拋光元件中且從該子拋光元件延伸。在此,該第二前驅物包括經處理的金屬氧化物奈米顆粒,該等奈米顆粒具有可聚合化合物,該等可聚合化合物鍵結至該等金屬氧化物奈米顆粒之表面上的不到約50%的鍵結位點(bonding site)。該經處理的金屬氧化物奈米顆粒包括金屬氧化物奈米顆粒與下述化合物之反應產物:矽烷化合物、氰酸酯化合物、磺酸化合物、磷酸化合物、羧酸化合物、或上述化合物之組合。
另一實施例中,一種拋光製品包括:子拋光元件,包括第一前驅物混合物的第一反應產物;以及複數個拋光元件,從該子拋光元件延伸。在此,該複數個拋光元件包括第二前驅物混合物的第二反應產物,其中該第二前驅物混合物包括官能化磨料顆粒。
本文描述的實施例大致上關於拋光製品及用於製造拋光製程中所用的拋光製品的方法。更詳言之,本文所述的實施例關於整合磨料(IA)拋光墊,以及製造IA拋光墊的方法,該等拋光墊具有固定磨料拋光墊的有利拋光特性,且還容許以固定磨料調節器(諸如鑽石調節器)進行調節。調節IA拋光的能力實現這樣的拋光製程:使用非磨料拋光流體,且還具有穩定且受控的拋光表現,以及延長的拋光墊壽命。
在此,以拋光墊描述的拋光製品及形成該拋光製品之方法可應用至其它拋光應用,包括例如磨光(buffing)。此外,儘管在此針對化學機械拋光(CMP)製程進行討論,但是該等製品及方法也可應用於使用化學活性及非化學活性之拋光流體的其他拋光製程。此外,本文所述的實施例可以用在尤其是至少以下產業:航空、陶瓷、硬碟驅動(HDD)、MEMS及奈米技術、金屬加工、光學和電光學製造、與半導體元件製造等。
本案揭露內容之實施例提供整合磨料(IA)拋光墊,該拋光墊至少部分是在加成製造製程中使用表面官能化磨料顆粒所製造,該加成製造製程諸如二維2D或三維3D噴墨印刷製程。加成製造製程(諸如本文所述的三維印刷(「3D印刷」)製程)能夠形成具有分立(discrete)拋光區域、及/或拋光特徵(拋光元件)(上述區域、及/或特徵具有獨特性質和屬性)的聚合物IA拋光墊。大致上,拋光元件之聚合物與相鄰拋光元件的聚合物在該等聚合物之界面處形成化學鍵,例如共價鍵或離子鍵。因為該拋光元件透過化學鍵結與相鄰的拋光元件連結,所以該等界面比起使用其他方法(諸如為利用黏著層或藉由熱接合)貼附的有分立元件之拋光墊更強且更牢固,以容許在期望時使用更劇烈的拋光或調節製程。
在此,磨料顆粒是指羥基封端的金屬氧化物奈米顆粒,例如單成分或多成分的金屬氧化物奈米顆粒,例如,二氧化鈰、氧化鋁、二氧化矽、二氧化矽/氧化鋁、或上述材料之組合。其他實施例中,該等磨料顆粒包含以羥基、硫醇基、羧酸基、氨基、或上述基團之組合所封端的金屬氧化物奈米顆粒。表面官能化磨料顆粒是指,包含化學鍵結至該等顆粒之表面的鍵結位點的至少一個可聚合基團的磨料顆粒。鍵結位點是指,能夠與本文所述之化合物反應而與可聚合基團形成共價鍵的位點。
在一些實施例中,達成表面官能化磨料顆粒的表面改質包括,使羥基封端的磨料顆粒的表面與表面改質有機化合物反應,該表面改質有機化合物諸如有機矽烷化合物、磺酸化合物、有機磷酸化合物、羧酸化合物、上述化合物之衍生物、或上述化合物之組合。在本文所述的實施例中,羥基封端的磨料顆粒的反應產物包括具有以烯烴和羥基封端的表面的磨料顆粒,在下文中,稱之為烯烴封端磨料顆粒。其他實施例中,可利用任何可聚合基團封端該等表面,該可聚合基團諸如環氧基團,例如環氧醛基團或環氧酮基團。
在一個實施例中,表面官能化磨料顆粒是藉由使磨料顆粒之表面與矽烷化合物反應而形成,該矽烷化合物諸如烷氧基矽烷或鹵化矽烷,諸如三氯(苯基)矽烷、三氯(己基)矽烷、三氯(十八烷基)矽烷、三甲氧基(7-辛烯-1-基)矽烷、三氯[2-(氯甲基)烯丙基]矽烷、乙烯基三甲氧基矽烷、氯(二甲基)乙烯基矽烷、烯丙基三甲氧基矽烷、丙烯醯氯、乙烯基三甲氧基矽烷、或上述矽烷之組合。磨料顆粒矽烷化合物之反應用於將期望的可聚合基團接枝到磨料顆粒的羥基封端的表面上(即,如下文所示之圓形元件),如化學反應(A)和(B)中所呈現,其中R是甲基(CH3)。
在另一實施例中,表面官能化磨料顆粒是藉由使磨料顆粒的表面與氰酸酯化合物反應而形成,該氰酸酯化合物例如基於異氰酸酯的單體,諸如三-[3-(三甲氧基甲矽烷基)丙基]異氰脲酸酯或2-(甲基丙烯醯氧基)乙基異氰酸酯。舉例而言,2-(甲基丙烯醯氧基)乙基異氰酸酯的異氰酸酯基團與羥基反應,且形成醯胺鍵,造成丙烯酸基團與磨料奈米顆粒的共價鍵結,如化學反應(C)中所呈現,其中R代表氫(H)或甲基(CH3 )。
另一實施例中,表面官能化磨料顆粒是藉由使磨料顆粒之表面與磺酸或磷酸衍生物反應而形成,該磺酸或磷酸衍生物諸如2-丙烯醯胺基-2-甲基-1-丙磺酸,如反應(D)中所示,或乙烯基膦酸酯,如反應(E)中所示,其中R代表氫(H)或甲基(CH3 )。
另一實施例中,表面官能化磨料顆粒是藉由使磨料顆粒的表面與包含丙烯酸基團的羧酸反應而形成,例如化學反應(F)中所示,其中R表示氫(H)或甲基 (CH3 ),且n為從1至50。一些實施例中,藉由使用亞硫醯氯(thionyl chloride)將丙烯酸基團之丙烯酸轉換為氯酸,而增加羧基的反應性。
圖1A至圖1D繪示根據一個實施例形成的表面官能化二氧化鈰顆粒的各種性質。除了其他CMP應用之外,二氧化鈰常用作淺溝槽隔離(STI)拋光應用的磨料顆粒,這是因為相較於氮化矽材料,二氧化鈰的羥基封端表面對氧化矽(SiO2 )材料呈現高親合力,而導致在兩個膜之間有如期望的高選擇性。雖然不希望受特定理論所限制,但相信二氧化鈰顆粒之表面與可聚合基團的過量負載(鍵結位點的百分比)會非期望地影響二氧化鈰顆粒與SiO2 之氫封端表面的反應,而衝擊拋光速率和選擇性的表現。因此,期望限制二氧化鈰顆粒的表面上的官能化表面位點的負載,使得有充足的羥基封端位點保持與SiO2 的氫封端表面反應。在此,期望具有可聚合基團的磨料顆粒表面(諸如二氧化鈰表面)的負載維持在約0.1%和約50%之間,諸如約1%和約25%之間,諸如約1%和約10%之間,諸如在約1%和約5%之間,舉例而言,在約2%和約5%之間,或者其中至少一些磨料顆粒表面被表面官能化不超過約5%。
在圖1A至圖1D中,藉由使羥基封端的表面位點與氯(二甲基)乙烯基矽烷反應,而使二氧化鈰顆粒進行表面官能化,如反應(G)中所示。
該反應是透過下述方式執行:使二氧化鈰顆粒與非水性的溶劑(諸如甲苯)混合,同時使用探針超音波器在60℃攪拌混合物。在超音波處理期間,將氯(二甲基)乙烯基矽烷一滴接著一滴(drop by drop)添加至混合物中,隨後將該混合物維持在60℃達約3小時,而完成反應,且提供經表面官能化的二氧化鈰顆粒。該經表面官能化的二氧化鈰顆粒藉由過濾、離心、及用甲苯清洗的組合進行純化,以移除未反應的氯(二甲基)乙烯基矽烷。利用熱重分析(TGA)、傅立葉轉換紅外光譜(FTIR)、穿透式電子顯微鏡(TEM)、及能量色散X射線(EDX)分析特徵標定(characterize)處理過的二氧化鈰顆粒,以確認該等顆粒的官能化。
圖1A顯示經處理的二氧化鈰顆粒之樣本的熱重分析(TGA)的結果。當處理過的二氧化鈰顆粒107的樣本從環境溫度加熱到100℃(第一範圍103)時,該等顆粒歷經快速的重量損,這可歸因於從純化製程中留下的殘留甲苯的蒸發。從100℃到800℃(特別是400℃到800℃)的第二溫度範圍105顯示經處理的二氧化鈰顆粒樣本的重量有更為逐步的下降,這可歸因於鍵結至該等顆粒之表面上的鍵結位點的可聚合基團的碳氫化合物的點燃。圖1B顯示經處理的二氧化鈰顆粒107與未經處理的二氧化鈰顆粒111相較的FTIR分析之結果。在約1620cm-1 的波長下CH=CH2 振動119以及在約2919cm-1 處與2850cm-1 處的甲基反對稱及對稱振動117指示,與未處理的二氧化鈰顆粒111相較,經處理的二氧化鈰顆粒107成功地以二甲基乙烯基矽烷基團進行表面改質(因此有成功的表面官能化)。在約3400cm-1 處的OH振動115指示,與未處理的二氧化鈰顆粒111相較,在經處理的二氧化鈰顆粒107上的羥基的一部分已在反應期間被消耗掉,且進一步地指出,經處理的二氧化鈰顆粒107成功地以二甲基乙烯基矽烷基團進行表面官能化。然而,如OH振動115所示,至少一部分的羥基保持維持鍵結至經處理的二氧化鈰顆粒107之表面的鍵結位點,因此在二氧化鈰顆粒上留下足夠的羥基封端位點,在CMP製程期間(諸如在STI拋光製程期間)維持期望的拋光速率及/或選擇性的表現,其中二氧化鈰顆粒的羥基封端位點與H2 封端的SiO2 表面反應。
圖1C顯示TEM影像120和130,其中經處理的二氧化鈰顆粒107的滴塗佈膜是藉由溶劑蒸發而形成在碳塗佈的銅研磨物(grind)上。如影像120和130所示,個別的處理過的二氧化鈰顆粒具有相當均勻的平均直徑,該直徑為約20nm至約50nm。然而,並非出乎意料地,個別的顆粒形成較大的顆粒凝聚體,在一般的配方中,需要磨碾該較大的顆粒凝聚體,這意味著在調配用於形成本文所述之IA拋光墊的前驅物混合物之前或期間,會需要將較大的顆粒凝聚體分離成較小的凝聚體及/或個別的顆粒。圖1D顯示影像145中所示的經處理之二氧化鈰顆粒的所選EDX光譜,其中Ce、O、和Si訊號可歸因於二氧化鈰顆粒及鍵結的二甲基乙烯基矽烷基團,這指示經處理的二氧化鈰顆粒的表面以可聚合的二甲基乙烯基矽烷基團成功官能化。另一實施例中,使用氣相反應製程(諸如流體化床)使磨料顆粒的表面與表面改質化合物反應。
圖2A是示範性拋光系統250的示意剖面視圖,該系統250使用根據本文所述之實施例形成的IA拋光墊200。一般而言,使用配置在IA拋光墊200和平台102之間的黏著劑(例如壓力敏感黏著劑)將IA拋光墊200固定至拋光系統250的平台252。基板載具258面向平台252及安裝在該平台252上的IA拋光墊200,該基板載具258具有可撓隔膜(diaphragm)261,該隔膜組裝成在將基板260之材料表面推抵IA拋光墊200之拋光表面的同時,對基板260的不同區域施加不同的壓力。該基板載具258包括圍繞基板260的載具環259。在拋光期間,載具環259上的下壓力(downforce)將載具環259推抵IA拋光墊200,而防止基板260從基板載具258滑動。在可撓隔膜261將基板260推抵IA拋光墊200的拋光表面的同時,該基板載具258繞載具軸264旋轉。平台252繞平台軸254以一方向旋轉,該方向與基板載具258之旋轉方向相反,同時該基板載具258從平台252之內徑至平台252之外徑來回掃掠,而部分地減少IA拋光墊200的不均等的磨耗。在此,平台252與IA拋光墊200所具有的表面積大於基板260之表面積,然而在一些拋光系統中,IA拋光墊200的表面積小於基板260之表面積。
在拋光期間,透過定位在平台252上方的流體配發器268將流體226引至IA拋光墊200。一般而言,流體226是水、拋光流體、拋光漿料、清潔流體、或上述流體之組合。在此,該拋光流體含有pH調整劑及/或化學活性成分(例如氧化劑),以實現基板260的材料表面之化學機械拋光。
一般而言,拋光系統250包括墊調節組件270,該墊調節組件270包括調節器278,諸如固定磨料調節器,例如鑽石調節器。該調節器278耦接調節臂272,該調節臂272具有致動器276,該致動器276使調節器278繞其中心軸旋轉。在拋光基板260之前、期間及/或之後,當調節器278掃過IA拋光墊200時,將下壓力施加至調節器278。調節器278磨損及復原(rejuvenate)IA拋光墊200,及/或清潔IA拋光墊200,這是藉由從該IA拋光墊之拋光表面移除拋光副產物或其他碎屑而達成。
圖2B至圖2C是根據本文所述之實施例的IA拋光墊200b、200c的示意透視剖面視圖。該IA拋光墊200b、200c能夠用作為圖2A之拋光系統250中的IA拋光墊200。在圖2B中,該IA拋光墊200b包括複數個拋光元件204b,該等拋光元件204b配置在子拋光元件206b內,且從該子拋光元件206b的表面延伸。該複數個拋光元件204b具有厚度215,該子拋光元件206b具有子厚度212。如圖2B和圖2C所繪示,該等拋光元件204b、204c由子拋光元件206b、206c的一部分(例如,在區域212A內的部分)所支撐。因此,當在處理期間由基板將負載施加到IA拋光墊200b、200c之拋光表面201(例如,頂表面)時,該負載將會傳輸通過拋光元件204b、204c及子拋光元件206b、206c之部分212A。在此,複數個拋光元件204b包括柱205及複數個同心環207,該柱205配置在IA拋光墊200b的中心,而該同心環207繞柱205配置,且從該柱205在徑向上向外延伸。該複數個拋光元件204b和該子拋光元件206b界定複數個通道218,該等通道218配置在IA拋光墊200b中,位於該等拋光元件204b之各者之間,並且在IA拋光墊200b之拋光表面的平面與該子拋光元件206b之表面之間。該複數個通道218使流體266(諸如拋光流體)能夠分佈遍及IA拋光墊200b上,且分佈於IA拋光墊200b與基板260之材料表面之間的界面。其他實施例中,拋光元件204b的圖案為矩形、螺旋形、碎形(fractal)、隨機(random)、其他圖案、或上述圖案之組合。在此,拋光元件204b、204c之寬度214介於約250微米和約5毫米之間,諸如介於約250微米和約2毫米之間。拋光元件204b之間的節距(pitch)216介於約0.5毫米和約5毫米之間。在一些實施例中,該寬度214及/或該節距216遍及IA拋光墊200b的半徑上變化,以界定墊材料性質及/或磨料顆粒濃度的多個區域。
在圖2C中,顯示拋光元件204c為從子拋光元件206c延伸的圓形柱狀體。其他實施例中,該拋光元件204b具有任何合適的剖面形狀,例如具有環形、部分環形(例如,弧形)、橢圓形、正方形、矩形、三角形、多邊形、不規則形狀或上述形狀之組合的柱體。一些實施例中,該等拋光元件204c之形狀和寬度214以及該等元件之間的距離遍及IA拋光墊200c上變化,以調整整個IA拋光墊200c的硬度、機械強度、流體運送特性、或其他的期望性質。
在此,拋光元件204b、204c和子拋光元件206b、206c各自包括墊材料組成物,該墊材料組成物具有寡聚(oligomeric)及/或聚合(polymeric)片段、化合物、或材料之至少一者,該寡聚及/或聚合片段、化合物、或材料選自由以下材料所組成之群組:聚醯胺、聚碳酸酯、聚酯、聚醚酮、聚醚、聚甲醛、聚醚碸、聚醚醯亞胺、聚醯亞胺、聚烯烴、聚矽氧烷、聚碸、聚伸苯(polyphenylene)、聚苯硫醚、聚氨酯、聚苯乙烯、聚丙烯腈、聚丙烯酸酯、聚甲基丙烯酸甲酯、聚氨酯丙烯酸酯、聚酯丙烯酸酯、聚醚丙烯酸酯、環氧丙烯酸酯、三聚氰胺、聚乙烯材料、丙烯腈丁二烯苯乙烯(ABS)、鹵化聚合物、嵌段共聚物及上述材料之隨機共聚物,以及上述材料的組合。
一些實施例中,用於形成IA拋光墊200b、200c之多個部分(諸如第一拋光元件204b、204c和子拋光元件206b、206c)的材料會包括至少一種可噴墨的預聚物組成物之反應產物,該組成物是官能性聚合物、官能性寡聚物、反應性稀釋劑、及固化劑之混合物,以達成IA拋光墊200b、200c之期望性質。大致上,能夠將沉積的材料暴露於熱或是電磁輻射,該輻射可包括紫外線輻射(UV)、γ輻射、X射線輻射,可見光輻射、IR輻射、和微波輻射,而加速電子及離子束也可用於起始聚合反應。為了本案之揭露內容之目的,我們不限制固化方法,或不限制輔助聚合的添加劑的使用,該添加劑諸如敏化劑、起始劑、及/或固化劑,諸如透過固化劑或氧抑制劑。在一個實施例中,從依序沉積及後沉積處理形成單一墊主體內的兩個或更多個拋光元件,諸如該等拋光元件204b、204c及該等子拋光元件206b、206c,該兩個或更多個拋光元件包括至少一種可輻射固化樹脂前驅物組成物的反應產物,其中該等組成物含有具不飽和化學部分(chemical moiety)或基團的官能性聚合物、官能性寡聚物、單體、及/或稀釋劑,包括但不限於:乙烯基、丙烯酸基、甲基丙烯酸基、烯丙基和乙炔基。在拋光元件204b、204c和子拋光元件206b、206c內所見的材料之硬度及/或儲存模數E'不同,而使得拋光元件204b、204c的硬度及/或儲存模數E'的值大於子拋光元件206b、206c。一些實施例中,拋光元件204b、204c的材料組成及/或材料特性從拋光元件到拋光元件有所變化。個別化的材料組成及/或材料特性容許針對特定需求修飾拋光墊的性質。
該複數個拋光元件204b、204c中的一個或多者的至少一部分包括配置在該等元件之拋光墊材料組合物中的磨料顆粒,且該等磨料顆粒與該組成物以共價式或離子式化學鍵結。在此,拋光元件204b、204c包含至少可輻射固化的樹脂前驅物組成物與表面官能化的磨料顆粒的反應產物,該組成物含有具不飽和化學部分或基團的官能性聚合物、官能性寡聚物、單體、或反應性稀釋劑,該不飽和化學部分或基團包括但不限於:乙烯基、丙烯酸基、甲基丙烯酸基、烯丙基、及乙炔基,而該表面官能化的磨料顆粒諸如烯烴封端的磨料顆粒,例如烯烴封端的金屬氧化物奈米顆粒。一般而言,磨料顆粒的濃度小於拋光元件204b的拋光墊材料組成物的約70重量%,諸如小於約50重量%、諸如介於約1重量%至約50重量%之間、介於約1重量%至約40重量%之間、介於約1重量%至約30重量%之間、介於約1重量%至約20重量%之間、介於約1重量%至約10重量%之間,例如,介於約1重量%至約5重量%之間。在此,表面官能化的磨料顆粒均勻地分佈在整個拋光元件204b、204c中。
在其他實施例中,表面官能化的磨料顆粒均勻地分佈在從子拋光元件206b、206c的表面延伸的拋光元件204b、204c的部分中,並且在拋光墊材料中於子拋光元件206b、206c的表面下方延伸的拋光元件204b、204c之部分中不包括該等磨料顆粒。在其他實施例中,磨料顆粒的濃度從拋光元件204b、204c的第一端到拋光元件204b、204c的第二端(該第二端是在該第一端之遠端)增加或減少,其中第二端形成IA拋光墊200b、200c的拋光表面。在其他實施例中,該等磨料顆粒配置在拋光元件的磨料層中,且墊材料(非磨料層)不包括配置在該等材料之間的磨料顆粒或包括較低濃度的磨料顆粒。在一些實施例中,IA拋光墊200b、200c進一步包括配置在子拋光元件206b、206c的拋光墊材料組成物中的磨料顆粒,並且該等磨料顆粒與該組成物化學鍵結。
可使用本文所述之方法和材料組成而調整的典型拋光墊材料組成物性質包括:儲存模數E’、損耗模數E”、硬度、tanδ、降伏強度、極限拉伸強度、伸長率、導熱率、zeta電位、質量密度、表面張力、泊松比、斷裂韌性、表面粗糙度(Ra )、玻璃轉變溫度(Tg)和其他相關性質。例如,儲存模數E’影響拋光結果,諸如從基板之材料層表面移除的速率和所得的均勻度。一般而言,具有中等或高儲存模數E’的拋光墊材料組成物為用於PMD、ILD、和STI的介電膜提供更高的移除速率,並且導致在凹陷特徵(諸如溝槽、接觸件、及線)中的膜材料之上表面有較少的非期望碟形化。具有低儲存模數E’的拋光墊材料組成物大致上在拋光墊的壽命上提供更穩定的移除速率,在具有高特徵密度的區域中引起較少的非期望平坦表面腐蝕,並且導致材料表面的微刮擦減少。大致上,有低儲存模數的拋光墊材料組成物不適合作為用於習知固定磨料拋光墊之磨料顆粒的黏結劑材料,因為比起硬的、高儲存模數E’的習知環氧樹脂類型的支撐材料,這些磨料顆粒會更容易逃離較軟的墊材料。在表1中總結了在30°C(E’30)和90°C(E’90)之溫度下的低、中、或高儲存模數E’之墊材料組成物的特徵標定: 1
一般而言,子拋光元件206b、206c由與形成拋光元件204b、204c之材料不同的材料形成,諸如具有低(軟)或中儲存模數E’的材料。該拋光元件204b、204c一般是由具有中或高(硬)儲存模數E’的材料形成。利用標準的非磨料式拋光墊和漿料製程,大致上中或高儲存模數的拋光材料是必要的,以用於以在拋光諸如SiO2 之類的介電材料時維持期望的材料移除速率。這是因為,相較於較軟的墊,較硬的墊材料更有效地將鬆散的磨料顆粒固定或支撐抵靠基板的材料表面,而容許當下壓力將基板推抵拋光墊表面而墊材料變形時,磨料顆粒沉至墊表面下方。同樣,已發現,使用軟或低儲存模數E’之拋光墊的CMP製程傾向有非均勻的平坦化結果,這是由於在由載具環259(圖2A)所生成的施加力及在CMP製程期間由可撓隔膜261生成的施加力下軟或低儲存模數E’之拋光墊相對容易變形所致。換言之,用於形成柔軟或低儲存模數E’之拋光墊的材料的柔軟、可撓、及低儲存模數E’之本質容許由載具環259供應的力之效應減至最小,而改善墊補償載具環下壓力的能力。類似地,習知的固定磨料拋光墊一般利用具有高硬度值的材料,以將磨料顆粒在實體上保持在適當位置。然而,已發現使用「硬」拋光墊材料的CMP製程傾向具有不均勻的平坦化結果,這是由於拋光過的基板260(圖2A)的邊緣處所發現的邊緣效應所致,詳言之,該效應與施加力給載具環259(圖2A)以補償較大的固有拋光不均勻性的需求有關,該較大的固有拋光不均勻性是在CMP製程期間於基板邊緣處所見。相信本文所述的IA拋光墊的一項優點在於,能夠維持高移除率和低侵蝕,其中拋光元件204b、204c包括具有調整過的及/或受控的低或中儲存模數E’的拋光墊材料組成物。這是因為,如期望般定位的磨料顆粒會透過與該等磨料顆粒的共價鍵結固定在墊表面,而不會像標準軟拋光墊和漿料製程那樣沉入軟的墊材料中。藉由將磨料顆粒固定在軟的墊材料的拋光表面上,能夠維持磨料顆粒與基板之材料表面之間的化學活性,例如二氧化鈰顆粒和SiO2 基板表面之間的化學活性,以實現合理的材料移除率。因此,在一些實施例中,拋光元件204b、204c將具有低或中儲存模數E’。然而,也認識到,表面官能化的磨料顆粒作為由可輻射固化樹脂前驅物組成物所形成的聚合物鏈之間的交聯劑。在一些實施例中,作為交聯劑的此功能會導致拋光元件204b、204c有較高儲存模數E’,這取決於可聚合的封端的鍵結位點(諸如烯烴封端的鍵結位點)在磨料顆粒上的負載量及/或可輻射固化樹脂前驅物組成物中表面官能化磨料顆粒的濃度。因此,在一些實施例中,期望將可聚合基團的負載(研磨顆粒之表面上的可聚合基團封端的鍵結位點的百分比,諸如烯烴封端基團的負載量)限制為低於約10%、諸如小於約5%,例如在2%和5%之間。
除了將磨料顆粒錨定(anchor)到拋光元件204b、204c的拋光表面之外,藉由將磨料顆粒化學鍵結至該拋光元件的拋光材料,磨料顆粒的表面官能化也增加用於在加成製造製程中製造拋光墊的前驅物組成物的化學相容性,該加成製造製程諸如圖3A至圖3C中所述的3D噴墨印刷製程。
圖3A是根據本文揭露的實施例的用於形成IA拋光墊(例如IA拋光墊200b、200c)的加成製造系統350的示意剖面視圖。在此,該加成製造系統350包括第一印刷器360及第二印刷器370,以透過一或多個配發噴嘴335配發第一前驅物組成物359與第二前驅物組成物369之液滴。該印刷器360、370在印刷製程期間彼此獨立地移動、且獨立於製造支撐件302移動,而使得在製造支撐件302上的選定位置處能夠放置前驅物組成物359及369之液滴,以形成拋光墊,諸如IA拋光墊200b、200c。所選擇的位置一同儲存成CAD相容的印刷圖案,該圖案可由電子控制器305讀取,而該電子控制器305引導製造支撐件302的運動、印刷器360、370的運動、以及該等噴嘴335的液滴輸送。
一般而言,該第一前驅物組成物359用於形成子拋光元件206b、206c,而第二前驅物組成物369則用於形成圖2B至圖2C中所示的IA拋光墊200b、200c的複數個拋光元件204b、204c。在此,第一前驅物組成物359和第二前驅物組成物369各包括至少為單官能性的官能性聚合物、官能性寡聚物、單體及/或反應性稀釋劑的一或多者的混合物,並且當暴露於自由基、路易士酸、及/或電磁輻射時歷經聚合。一些實施例中,該第一前驅物組成物359及/或第二前驅物組成物369進一步包括一或多種光起始劑。
在本文所述的實施例中,第二前驅物組成物369進一步包含表面官能化的磨料顆粒,諸如表面官能化的二氧化鈰顆粒、表面官能化的氧化鋁顆粒、表面官能化的二氧化矽顆粒、表面官能化的二氧化矽/氧化鋁顆粒、或上述顆粒之組合,以及一或多種分散劑及/或懸浮劑。除了能夠將磨料顆粒化學鍵結本文所述的拋光元件的拋光墊材料之外,磨料顆粒的表面官能化增加了該等顆粒與一般有機液體樹脂前驅物組成物的相容性。此增加的相容性是將磨料顆粒的至少一部分親水性羥基表面封端位點轉化為疏水性可聚合有機基團的結果。此增加的相容性使得本文所述的表面官能化的磨料顆粒能夠進入包含液體前驅物組成物的懸浮液,並且保持懸浮在該懸浮液中,而形成高度穩定且均質的懸浮液。
此外,使磨料顆粒表面官能化如期望地提高了前驅物組成物懸浮液的熱穩定性和/或化學相容性。雖不希望受任何特定理論所限制,但相信未改質的磨料顆粒充當前驅物組成物中至少一部分成分的聚合催化劑(這是藉由在一般配發溫度下起始熱固化反應而達成)。這種過早(premature)聚合會非期望地增加前驅物組成物的黏度,而在分配該組成物之液滴時產生了諸如噴嘴堵塞的難題。包括表面官能化的磨料顆粒的前驅物組成物具有少如約5%的磨料顆粒與可聚合基團鍵結的鍵結位點(諸如介於約2%至約5%之間),這樣的前驅物組成物相較於包括無處理的磨料顆粒之前驅物組成物具有增加的熱穩定性及/或化學相容性(即,透過印刷器噴嘴配發的黏度有所改善)。
在此,至少第二前驅物組成物369中的表面官能化磨料顆粒的濃度在期望上維持在以重量計約1%至約50%之間,例如在約1重量%至約40重量%之間,約1重量%至約30重量%之間、約1重量%至約20重量%之間、約1重量%至約10重量%之間、或約1重量%至約5重量%之間,例如小於約10重量%,或小於約5重量%。在其他實施例中,表面官能化磨料佔第一前驅物組成物359的不到約70重量%。在其他實施例中,表面官能化磨料顆粒和未改質的磨料顆粒佔第一前驅物組成物359的不到約70重量%。
在此,官能性聚合物之範例包括多官能丙烯酸酯,包括二官能、三官能、四官能和更高官能性的丙烯酸酯,諸如1,3,5-三丙烯醯基六氫-1,3,5-三嗪,或是三羥甲基丙烷三丙烯酸酯。
官能性寡聚物包括單官能和多官能寡聚物、丙烯酸酯寡聚物,例如脂肪族聚氨酯丙烯酸酯寡聚物、脂肪族六官能聚氨酯丙烯酸酯寡聚物、二丙烯酸酯、脂肪族六官能丙烯酸酯寡聚物、多官能聚氨酯丙烯酸酯寡聚物、脂肪族聚氨酯二丙烯酸酯寡聚物、脂肪族聚氨酯丙烯酸酯寡聚物、脂肪族聚酯聚氨酯二丙烯酸酯摻混脂肪族二丙烯酸酯寡聚物、或上述材料之組合,例如雙酚-A乙氧基化二丙烯酸酯、或聚丁二烯二丙烯酸酯。在一個實施例中,所述官能性寡聚物包含可由美國喬治亞州Alpharetta的Allnex公司購得的四官能丙烯酸酯化聚酯寡聚物(EB40®),且該官能性寡聚物包括可由美國賓州Exton的Sartomer USA公司購得的基於脂肪族聚酯的聚氨酯二丙烯酸酯寡聚物(CN991)。
單體包括單官能單體和多官能單體。單官能單體包括:丙烯酸四氫呋喃酯(例如SR285,來自Sartomer®)、甲基丙烯酸四氫呋喃酯、乙烯基己內醯胺、丙烯酸異冰片酯、甲基丙烯酸異冰片酯、丙烯酸2-苯氧基乙酯、甲基丙烯酸2-苯氧基乙酯、丙烯酸2-(2-乙氧基乙氧基)乙酯、丙烯酸異辛酯、丙烯酸異癸酯、甲基丙烯酸異癸酯、丙烯酸十二酯、甲基丙烯酸十二酯、丙烯酸十八酯、甲基丙烯酸十八酯、環三羥甲基丙烷甲縮醛丙烯酸酯(cyclic trimethylolpropane formal acrylate)、2 - [[(丁氨基)羰基]氧基]丙烯酸乙酯(例如,來自RAHN USA公司的Genomer 1122)、3,3,5-三甲基環己烷丙烯酸酯、或單官能甲氧基化PEG(350)丙烯酸酯。多官能單體包括二醇的二丙烯酸酯或二甲基丙烯酸酯和聚醚二醇,諸如丙烯酸化的二丙烯酸新戊二醇酯、二丙烯酸1,6-己二醇酯、二甲基丙烯酸1,6-己二醇酯、二丙烯酸1,3-丁二醇酯、二甲基丙烯酸1,3-丁二醇酯、二丙烯酸1,4-丁二醇酯、二甲基丙烯酸1,4-丁二醇酯、烷氧基化脂肪族二丙烯酸酯(例如,來自Sartomer®的SR9209A)、二丙烯酸二乙二醇酯、二甲基丙烯酸二乙二醇酯、二丙烯酸二丙二醇酯、二丙烯酸三丙二醇酯、二甲基丙烯酸三乙二醇酯、烷氧基化二丙烯酸己二醇酯、或上述材料之組合,例如來自Sartomer®的SR562、SR563、SR564。
反應性稀釋劑包括單丙烯酸酯、丙烯酸2-乙基己酯、丙烯酸辛基癸酯、環三羥甲基丙烷甲縮醛丙烯酸酯、丙烯酸己內酯、丙烯酸異冰片酯(IBOA)、或烷氧基化甲基丙烯酸十二酯。
本文使用的光起始劑包括聚合光起始劑(polymeric photoinitiator)及/或寡聚光起始劑(oligomer photoinitiator),例如苯偶姻醚、芐基縮酮、乙醯基苯酚、烷基苯酮、氧化膦、二苯甲酮化合物、和9-氧硫[口+山][口+星](thioxanthone)化合物(包括胺增效劑)、或上述起始劑之組合。舉例而言,在一些實施例中,光起始劑包括由德國Ludwigshafen 的BASF公司製造的Irgacure®產品,諸如Irgacure 819、Irgacure 784、Irgacure 379、Irgacure 2022、Irgacure 1173、Irgacure 500、上述產品之組合、或等效組成物。
分散劑及/或懸浮劑一般用於,例如藉由增加磨料顆粒之間的靜電互斥(ζ電位),而使磨料顆粒在液體懸浮液中穩定。分散劑及/或懸浮劑能夠用於使表面官能化的磨料顆粒均勻懸浮在前驅物組成物359和369的液體中。分散劑及/或懸浮劑的範例包括:Hyper®產品,諸如HypermerKD4及Hyper KD57,可購自美國德拉瓦州的New Castle的Croda公司;或是BYK Dis2008、BYK JET-9151、或BYK JET-9152,可購自德國的BYK-Gardner有限責任公司。
一般而言,由印刷器360、370配發的前驅物組成物359及369之液滴所形成的層是藉由暴露至輻射321而固化,該輻射321來自輻射源320,諸如紫外光(UV)源、x射線源、或其他類型的電磁波源。在此,輻射321是由UV源提供的UV輻射。在其他實施例中,前驅物組成物359及/或369藉由暴露至熱能而固化。
圖3B繪示使用圖3A之加成製造系統350的固化製程。圖3B顯示拋光元件的一或多個先前形成的層346的一部分,該拋光元件諸如拋光元件204b、204c,配置在製造支撐件302上。處理期間印刷器360及370將一或多種前驅物組成物(例如第二前驅物組成物369)的複數個液滴343輸送到一或多個第一層346的表面346A。複數個液滴343形成複數個第二層348的其中一層,在圖3B中,該第二層348包括固化部分348A和未固化部分348B,其中該固化部分已暴露於來自輻射源320的輻射321。在此,第一層的固化部分348A的厚度介於約0.1微米至約1毫米之間,諸如介於約5微米至約100微米之間,例如介於約25微米和約30微米之間。
圖3C是配發至一個或多個先前形成的層346的表面346A上的液滴343的特寫剖面視圖。如圖3C中所示,一旦液滴343分配到表面346A上之後,該液滴343擴展達液滴直徑343A而具有接觸角α。該液滴直徑343A和接觸角α是至少下述各項之函數:前驅物組成物的材料性質、一或多個先前形成的層346的表面346A處的能量(表面能)、及時間;然而,從液滴接觸該一或多個先前形成的層346的表面346A的時刻起,在短時間(例如小於約一秒)之後,液滴直徑343A和接觸角α會達到平衡狀態。在一些實施例中,液滴343在達到平衡狀態的直徑和接觸角α之前固化。一般而言,該液滴343與表面346A接觸之前具有介於約10至約200微米之間的直徑,諸如介於約50微米至約70微米之間,並且在與表面346A接觸之後,該液滴343擴展到約10至約500微米之間,介於約50至約200微米之間。
在此,前驅物組成物359及369調配成在約25℃下具有約80cP至約110cP之間的黏度,在約70℃下約15cP至約30cP之間的黏度,或是對於約50℃至約150℃的溫度而言介於10cP至約40cP之間,使得混合物可經過印刷器360及370的配發噴嘴335有效地配發。一些實施例中,該第二前驅物組成物369再循環(或若不然則是機械式攪拌),以確保表面官能化的磨料顆粒維持在液體前驅物混合物中均勻懸浮。
圖4A至圖4B繪示由前驅物混合物形成的層的性質,該前驅物混合物包含根據本文所述實施例形成的表面官能化磨料顆粒。圖4A是其中配置有表面官能化磨料的拋光材料層的TEM,該表面官能化磨料是使用圖3A至圖3C中描述的實施例由具有表2中所述之配方的前驅物形成。此實施例中,該等表面官能化二氧化鈰顆粒及懸浮劑是在丙烯酸單體(IBOA)中混合,而形成混合物。使用探針超音波器磨碾混合物,而將較大的二氧化鈰顆粒之凝聚體碎裂成較小凝聚體或個別的顆粒,其平均直徑在約30nm和約300nm之間。在其他實施例中,在前驅物混合之前、期間、或之後,使用其他類型的磨碾製程(例如球磨)將磨料顆粒的較大凝聚體減少期望尺寸。磨碾後,將表2的其餘成分添加至混合物中,而形成前驅物組成物,藉由超音波處理而使該組成物均質化,使得表面官能化的磨料顆粒均勻地分佈在該組成物中。如圖4A中的影像所示,二氧化鈰粒子在印刷層內有均勻的分佈。圖4B顯示配置在由表2中所示的前驅物形成的層中的二氧化鈰顆粒(顯示在插頁影像420中)的EDX光譜,其中Ce、O、和Si訊號可歸因於二氧化鈰顆粒和鍵結的二甲基乙烯基矽烷基團,這指示成功地以可聚合的二甲基乙烯基矽烷基團將處理過的二氧化鈰顆粒表面進行表面官能化。 2
圖5是說明根據本文所述實施例的形成拋光墊(諸如圖2A至圖2B的IA拋光墊200b、200c)的方法500的流程圖。在活動510,該方法包括配發第一前驅物的複數個第一液滴,該第一前驅物諸如圖3A至圖3C中描述的第一前驅物359。在此,該第一前驅物包括可固化樹脂組成物,且該第一前驅物是一或多種官能性聚合物、官能性寡聚物、單體、反應性稀釋劑、或上述各者之組合的混合物。在此實施例中,該第一前驅物進一步包括一或多種光起始劑,以使得能夠使用UV輻射而固化配發的複數個第一液滴。在此,方法500中所用的前驅物具有下述黏度:在約25℃下為介於約80cP至約110cP之間、在約70℃下為介於約15cP至約30cP之間、或是在約50℃和約150℃之間的溫度為介於約10cP至約40cP之間,而使得來自該前驅物的液滴能夠透過印刷器360的配發噴嘴335配發。
在活動520,該方法500包括固化複數個第一液滴,而形成複數個第一層中的其中一層,例如圖3B至圖3C中所示的一或多個先前形成的層346,在此該複數個第一層中的該其中一層包括子拋光元件的一部分,諸如IA拋光墊200b、200c的子拋光元件206b、206c。在此,複數個第一液滴透過暴露至來自UV輻射源(例如輻射源320)的UV輻射而固化,該UV輻射具有在約170nm至約500nm之間的波長。
在活動530,該方法500包括將第一前驅物和第二前驅物的複數個第二液滴配發到複數個第一層上,該第二前驅物包括表面官能化磨料顆粒,該等磨料顆粒具有化學鍵結到該等顆粒之表面的至少一個可聚合基團。在此,該表面官能化磨料顆粒包含羥基封端的金屬氧化物奈米粒子(諸如二氧化鈰)與有機化合物之反應產物,該有機化合物諸如為矽烷有機化合物、氰酸酯化合物、磺酸化合物、磷酸有機化合物、羧酸化合物、或上述化合物之組合。在一些實施例中,該羥基封端的金屬氧化物奈米顆粒和有機化合物的反應產物形成烯烴封端的磨料顆粒。在此實施例中,負載(化學鍵結到可聚合化合物的表面位點的百分比)少於約50%,例如少於約50%的表面位點被烯烴封端,並且,第二前驅物中表面官能化磨料顆粒的濃度為介於約1重量%至約50重量%之間。在另一實施例中,在第二前驅物中的磨料顆粒(包括非官能化磨料顆粒)的總濃度小於約70%。
一般而言,該第二前驅物包括一或多種一或多種官能性聚合物、官能性寡聚物、單體、反應性稀釋劑、或上述各者之組合的混合物。在此實施例中,該第二前驅物進一步包括光起始劑,以實現UV固化,且包括分散劑及/或懸浮劑,以穩定第二前驅物混合物中的官能化磨料顆粒,並將該等顆粒維持在懸浮液中懸浮。此實施例中,該等表面官能化磨料顆粒或該等顆粒之凝聚體的平均直徑為介於約10nm至約5微米之間,諸如介於約30nm至500nm之間,諸如介於約30nm至300nm之間,例如介於約100nm和約150nm之間。
在活動540,該方法500包括固化複數個第二液滴以形成第二層,該第二層包括子拋光元件及複數個拋光元件的多個部分,諸如第二拋光元件204b、204c。在此,固化該複數個第二液滴包括,將該複數個第二液滴暴露於UV輻射,從而聚合該複數個第二液滴,並在該等液滴之間的界面處形成化學鍵。以此方式,在包括子拋光元件的多個部分的聚合物材料以及在包括拋光元件的多個部分的聚合物材料之間,於該等材料之界面處形成化學鍵,諸如共價鍵及/或離子鍵。此外,該表面官能化磨料顆粒作為第二前驅物混合物之反應產物之間的交聯劑,這是藉由與該等反應產物形成化學鍵而達成。
上文所述之方法與本文所述的IA拋光墊一併使用,或與其中期望將磨料顆粒化學鍵結至拋光墊材料的任何拋光墊一併使用。該方法的益處包括,形成具有可調整之拋光性質的IA拋光墊,而在CMP製程期間、之前、或之後與鑽石的調節相容。其他實施例包括,藉由輸送含有不同前驅物的液滴以形成IA拋光墊,該等前驅物具有不同濃度的磨料顆粒,使得磨料顆粒濃度可以遍及拋光墊材料的表面上變化,如圖6所示。
圖6是與基於卷材(web)或卷對卷(roll-to-roll)型拋光系統一起使用的IA拋光墊600的示意性頂視圖。 該IA拋光墊600是使用加成製造系統形成,諸如圖3A至圖3B中所示的加成製造系統350。在此,IA拋光墊600配置在第一輥681和第二輥682之間的拋光平台652上。該IA拋光墊600包括有濃度梯度的磨料顆粒,該等磨料顆粒鍵結至該拋光墊之拋光墊材料而遍及拋光表面608。在此,該IA拋光墊600具有包含低濃度磨料顆粒的第一區域602、包含高濃度磨料顆粒的第二區域604、以及包含中等濃度的磨料顆粒的中間區域603。不同濃度的磨料顆粒的區域602至604是根據本文的實施例由多種前驅物組成物形成,該多種前驅物組成物各自包括不同濃度的表面官能化磨料顆粒。在其他實施例中,藉由交替包含高濃度磨料顆粒的前驅物組成物的液滴與包含低濃度磨料顆粒的前驅物組成物,而形成不同濃度的區域。
雖然前述內容涉及本案揭露內容之多個實施例,但可不背離本案揭露內容之基本範疇設計本案揭露內容之其他和進一步的實施例,並且本案揭露內容的範疇由所附的申請專利範圍所決定。
103‧‧‧第一範圍105‧‧‧第二範圍107‧‧‧處理過的二氧化鈰顆粒111‧‧‧未處理的二氧化鈰顆粒115‧‧‧振動117‧‧‧振動120、130、145‧‧‧影像200、200b、200c‧‧‧拋光墊201‧‧‧拋光表面204b、204c‧‧‧拋光元件205‧‧‧柱206b、206c‧‧‧子拋光元件207‧‧‧同心環212‧‧‧子厚度212A‧‧‧部分214‧‧‧寬度215‧‧‧厚度216‧‧‧節距218‧‧‧通道226‧‧‧流體250‧‧‧拋光系統252‧‧‧平台254‧‧‧平台軸258‧‧‧載具259‧‧‧載具環260‧‧‧基板261‧‧‧可撓隔膜264‧‧‧載具軸266‧‧‧流體268‧‧‧流體配發器270‧‧‧墊調節組件272‧‧‧調節臂276‧‧‧致動器278‧‧‧調節器302‧‧‧製造支撐件305‧‧‧電子控制器320‧‧‧輻射源321‧‧‧輻射335‧‧‧配發噴嘴343‧‧‧液滴343A‧‧‧液滴直徑346‧‧‧層346A‧‧‧表面348‧‧‧層348A‧‧‧固化部分348B‧‧‧未固化部分350‧‧‧加成製造系統359‧‧‧第一前驅物組成物360‧‧‧第一印刷器369‧‧‧第二前驅物組成物370‧‧‧第二印刷器500‧‧‧方法510-540‧‧‧活動600‧‧‧拋光墊602‧‧‧第一區域603‧‧‧中間區域604‧‧‧第二區域608‧‧‧拋光表面652‧‧‧拋光平台681‧‧‧第一輥682‧‧‧第二輥
透過參考其中一些於附圖繪示的實施例,可得到上文簡要總結的本案揭露內容之更特定之敘述,如此能夠詳細瞭解本案揭露內容之上述特徵。然而,應注意,附圖僅繪示本案揭露內容之典型實施例,因此不應將該等附圖視為限制本案揭露內容之範疇,因為本案揭露內容可容許其他等效實施例。
圖1A至圖1D繪示根據本文提出之方法形成的表面官能化二氧化鈰顆粒的各種性質。
圖2A是拋光系統的示意剖面視圖,該拋光系統使用根據本文揭露之多個實施例形成的整合磨料(IA)拋光墊。
圖2B至圖2C是根據本文所述之多個實施例的IA拋光墊的示意性透視剖面視圖。
圖3A是加成製造系統的示意剖面視圖,該加成製造系統用於形成根據一個實施例的整合磨料(IA)拋光墊,該拋光墊諸如為圖2B至圖2C中所描述的IA拋光墊。
圖3B及圖3C繪示使用圖3A中描述的加成製造系統的固化製程。
圖4A至圖4B繪示根據一個實施例的由包括表面官能化磨料顆粒之前驅物形成的層之性質。
圖5是流程圖,繪示根據一個實施例的形成拋光墊之方法,該拋光墊諸如為圖2A至圖2B中所描述的整合磨料(IA)拋光墊。
圖6是根據另一實施例的整合磨料(IA)拋光墊的示意頂視圖。
為助於瞭解,只要可能則已使用相同元件符號指定各圖共通的相同元件。應考量一個實施例中所揭露的元件可有利地用在其他實施例上,而無須贅述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
500‧‧‧方法
510-540‧‧‧活動

Claims (18)

  1. 一種形成拋光製品的方法,包括:形成一子拋光層,包括:(a)配發一第一前驅物組成物的複數個第一液滴至一支撐表面或一先前形成的第一層上;(b)固化配發的該複數個第一液滴;及,(c)重複(a)與(b),而形成複數個第一層;及形成複數個拋光元件,包括:(d)將一第二前驅物組成物的複數個第二液滴配發至該第一層或一先前形成的第二層上,該複數個第二液滴包括一第二前驅物組成物以及多個官能化磨料顆粒的一混合物,其中該等官能化磨料顆粒的表面鍵結位點的至少多個部分是以一可聚合烯烴基團封端;(e)使配發的該複數個第二液滴固化;及(f)重複(d)與(e)而形成複數個第二層,其中固化在(d)所配發的該等液滴使得該等官能化磨料顆粒在固化的該第二前驅物組成物的多個聚合物鏈之間交聯。
  2. 如請求項1所述之方法,進一步包括:磨碾該第二前驅物組成物以及多個官能化磨料顆粒的該混合物,使得該等官能化磨料顆粒或該等顆粒之組合具有介於約10nm至約300nm之間的平均直徑。
  3. 如請求項1所述之方法,其中使配發至該第一層上的該複數個第二液滴固化會將該複數個拋光元件的一墊材料化學鍵結至該子拋光層之一基底材料,該鍵結是在該墊材料與該基底材料的界面處。
  4. 如請求項1所述之方法,其中該等官能化磨料顆粒包括金屬氧化物奈米顆粒。
  5. 如請求項1所述之方法,其中少於約50%的該官能化磨料顆粒的該等表面的鍵結位點具有與該等鍵結位點鍵結的可聚合烯烴基團。
  6. 如請求項1所述之方法,其中該等官能化磨料顆粒或該等顆粒之凝聚體(agglomeration)具有介於約10nm至約5微米之間的平均直徑,且其中該等官能化磨料顆粒構成介於約1重量%至約50重量%之間的該第二前驅物。
  7. 如請求項1所述之方法,其中固化在(a)及(d)配發的該等液滴包括:將配發的該等液滴暴露至UV輻射。
  8. 如請求項1所述之方法,其中該等官能化磨料顆粒包括金屬氧化物奈米顆粒與下述化合物之反應產物:矽烷化合物、氰酸酯化合物、磺酸化合物、磷酸化合物、羧酸化合物、或上述化合物之組合。
  9. 如請求項8所述之方法,其中該第二前驅物 組成物包括一混合物,該混合物具有:一或多種官能性聚合物、官能性寡聚物、單體、反應性稀釋劑、或上述材料之組合。
  10. 如請求項9所述之方法,其中該複數個拋光元件具有中或高彈性模數,且該子拋光層具有低或中彈性模數,且其中該拋光元件之彈性模數與該子拋光層之彈性模數不同。
  11. 如請求項9所述之方法,其中該第一前驅物組成物包括一混合物,該混合物具有:一或多種官能性聚合物、官能性寡聚物、單體、反應性稀釋劑、或上述材料之組合。
  12. 一種形成拋光製品的方法,包括:(a)形成一子拋光層,包括:配發且固化一第一前驅物組成物的複數個第一液滴;及(b)在該子拋光層上形成複數個拋光元件,包括:配發且固化複數個第二液滴,該複數個第二液滴包括一第二前驅物組成物與多個經處理的金屬氧化物顆粒的一混合物,該等經處理的金屬氧化物顆粒具有多個可聚合烯烴封端化合物,該等可聚合烯烴封端化合物鍵結至該等經處理的金屬氧化物顆粒之表面上的少於約50%的鍵結位點,其中該經處理的金屬氧化物顆粒包括金屬氧化物顆粒與 下述化合物之反應產物:矽烷化合物、氰酸酯化合物、磺酸化合物、磷酸化合物、羧酸化合物、或上述化合物之組合;且在(b)形成該複數個拋光元件會將該等該經處理的金屬氧化物顆粒化學鍵結至該複數個拋光元件的一聚合物材料,其是藉由使該等烯烴封端化合物在固化的該第二前驅物組成物的多個聚合物鏈之間交聯而實現。
  13. 一種拋光製品,包括:一子拋光層,包括一第一前驅物組成物之一反應產物;及複數個拋光元件,從該子拋光層延伸,該複數個拋光元件包括一聚合物墊材料,該聚合物墊材料由一第二前驅物組成物與多個官能化磨料顆粒的一混合物的一反應產物所形成,該等官能化磨料顆粒具有多個可聚合烯烴封端基團,該等可聚合烯烴基團化學鍵結至該等官能化磨料顆粒之表面,其中該第二反應產物包括由該第二前驅物組成物形成的多個聚合物鏈,且該等聚合物鏈藉由該等官能化磨料顆粒的該等烯烴封端基團交聯。
  14. 如請求項13所述之拋光製品,其中該複數個拋光元件的多個部分配置在該子拋光層中,且其中 該子拋光層的一基底聚合物材料及該複數個拋光元件的該聚合物墊材料在該基底聚合物材料與該聚合物墊材料的界面處化學鍵結。
  15. 如請求項13所述之拋光製品,其中該等官能化磨料顆粒包括金屬氧化物奈米顆粒與下述化合物之反應產物:矽烷化合物、氰酸酯化合物、磺酸化合物、磷酸化合物、羧酸化合物、或上述化合物之組合。
  16. 如請求項15所述之拋光製品,其中該等官能化磨料顆粒或該等顆粒之凝聚體具有介於約10nm至約5微米之間的平均直徑。
  17. 如請求項13所述之拋光製品,其中該等烯烴封端基團鍵結到少於約50%的該等官能化磨料顆粒的表面上的鍵結位點。
  18. 如請求項17所述之拋光製品,其中具該第二前驅物組成物的該混合物中的官能化磨料顆粒的濃度介於約1重量%至約50重量%之間。
TW107125822A 2017-07-26 2018-07-26 整合磨料拋光墊及製造方法 TWI806884B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762537290P 2017-07-26 2017-07-26
US62/537,290 2017-07-26

Publications (2)

Publication Number Publication Date
TW201930011A TW201930011A (zh) 2019-08-01
TWI806884B true TWI806884B (zh) 2023-07-01

Family

ID=65040320

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107125822A TWI806884B (zh) 2017-07-26 2018-07-26 整合磨料拋光墊及製造方法

Country Status (5)

Country Link
US (2) US11471999B2 (zh)
KR (1) KR102539021B1 (zh)
CN (1) CN110997236A (zh)
TW (1) TWI806884B (zh)
WO (1) WO2019023221A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
JP6940495B2 (ja) 2015-10-30 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 所望のゼータ電位を有する研磨用物品を形成するための装置及び方法
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
KR101823083B1 (ko) * 2016-09-07 2018-01-30 주식회사 케이씨텍 표면개질된 콜로이달 세리아 연마입자, 그의 제조방법 및 그를 포함하는 연마 슬러리 조성물
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
CN114502324B (zh) 2019-08-21 2024-05-03 应用材料公司 抛光垫的增材制造
US11813712B2 (en) 2019-12-20 2023-11-14 Applied Materials, Inc. Polishing pads having selectively arranged porosity
US11833638B2 (en) * 2020-03-25 2023-12-05 Rohm and Haas Electronic Materials Holding, Inc. CMP polishing pad with polishing elements on supports
US11738517B2 (en) 2020-06-18 2023-08-29 Applied Materials, Inc. Multi dispense head alignment using image processing
US11806829B2 (en) 2020-06-19 2023-11-07 Applied Materials, Inc. Advanced polishing pads and related polishing pad manufacturing methods
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
US11951590B2 (en) 2021-06-14 2024-04-09 Applied Materials, Inc. Polishing pads with interconnected pores
US20230364735A1 (en) * 2022-05-13 2023-11-16 Applied Materials, Inc. Polishing pads with improved planarization efficiency

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI222390B (en) * 2001-11-13 2004-10-21 Toyo Boseki Polishing pad and its production method
US20040209555A1 (en) * 2003-04-21 2004-10-21 Cabot Microelectronics Corporation Coated metal oxide particles for CMP
US20060024434A1 (en) * 2004-07-29 2006-02-02 Hongyu Wang Manufacturing of polymer-coated particles for chemical mechanical polishing
CN101142055A (zh) * 2005-02-22 2008-03-12 圣戈本磨料股份有限公司 涂敷或粘结研磨制品
TWI432540B (zh) * 2010-12-17 2014-04-01 Cabot Microelectronics Corp 用於拋光多晶矽的組合物及方法
US20160107381A1 (en) * 2014-10-17 2016-04-21 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles

Family Cites Families (656)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001911A (en) 1932-04-21 1935-05-21 Carborundum Co Abrasive articles
US3083820A (en) 1960-10-04 1963-04-02 John R Roberts Cigarette package
US3357598A (en) 1965-09-21 1967-12-12 Dole Valve Co Adjustable liquid dispenser
US3741116A (en) 1970-06-25 1973-06-26 American Screen Process Equip Vacuum belt
US4459779A (en) 1982-09-16 1984-07-17 International Business Machines Corporation Fixed abrasive grinding media
US4575330A (en) 1984-08-08 1986-03-11 Uvp, Inc. Apparatus for production of three-dimensional objects by stereolithography
US4836832A (en) 1986-08-11 1989-06-06 Minnesota Mining And Manufacturing Company Method of preparing coated abrasive having radiation curable binder
US4841680A (en) 1987-08-25 1989-06-27 Rodel, Inc. Inverted cell pad material for grinding, lapping, shaping and polishing
US4942001A (en) 1988-03-02 1990-07-17 Inc. DeSoto Method of forming a three-dimensional object by stereolithography and composition therefore
DE3808951A1 (de) 1988-03-17 1989-10-05 Basf Ag Photopolymerisierbare, zur herstellung von druckformen geeignete druckplatte
US4844144A (en) 1988-08-08 1989-07-04 Desoto, Inc. Investment casting utilizing patterns produced by stereolithography
JPH07102724B2 (ja) 1988-08-31 1995-11-08 ジューキ株式会社 印字装置
US5121329A (en) 1989-10-30 1992-06-09 Stratasys, Inc. Apparatus and method for creating three-dimensional objects
US5387380A (en) 1989-12-08 1995-02-07 Massachusetts Institute Of Technology Three-dimensional printing techniques
DE3942859A1 (de) 1989-12-23 1991-07-04 Basf Ag Verfahren zur herstellung von bauteilen
US5626919A (en) 1990-03-01 1997-05-06 E. I. Du Pont De Nemours And Company Solid imaging apparatus and method with coating station
US5096530A (en) 1990-06-28 1992-03-17 3D Systems, Inc. Resin film recoating method and apparatus
JP2929779B2 (ja) 1991-02-15 1999-08-03 トヨタ自動車株式会社 炭素被膜付撥水ガラス
JPH05188528A (ja) 1991-06-25 1993-07-30 Eastman Kodak Co 圧力吸収保護層含有写真要素
US5212910A (en) 1991-07-09 1993-05-25 Intel Corporation Composite polishing pad for semiconductor process
US5193316A (en) 1991-10-29 1993-03-16 Texas Instruments Incorporated Semiconductor wafer polishing using a hydrostatic medium
US5287663A (en) 1992-01-21 1994-02-22 National Semiconductor Corporation Polishing pad and method for polishing semiconductor wafers
US5178646A (en) 1992-01-22 1993-01-12 Minnesota Mining And Manufacturing Company Coatable thermally curable binder presursor solutions modified with a reactive diluent, abrasive articles incorporating same, and methods of making said abrasive articles
US6022264A (en) 1997-02-10 2000-02-08 Rodel Inc. Polishing pad and methods relating thereto
MY114512A (en) 1992-08-19 2002-11-30 Rodel Inc Polymeric substrate with polymeric microelements
US6099394A (en) 1998-02-10 2000-08-08 Rodel Holdings, Inc. Polishing system having a multi-phase polishing substrate and methods relating thereto
US6746225B1 (en) 1992-11-30 2004-06-08 Bechtel Bwtx Idaho, Llc Rapid solidification processing system for producing molds, dies and related tooling
BR9307667A (pt) 1992-12-17 1999-08-31 Minnesota Mining & Mfg Suspensão apropriada para uso na produção de artigos abrasivos, abrasivo revestido, e, processo para fabricar um abrasivo revestido
JPH07297195A (ja) 1994-04-27 1995-11-10 Speedfam Co Ltd 半導体装置の平坦化方法及び平坦化装置
US5906863A (en) 1994-08-08 1999-05-25 Lombardi; John Methods for the preparation of reinforced three-dimensional bodies
JPH08132342A (ja) 1994-11-08 1996-05-28 Hitachi Ltd 半導体集積回路装置の製造装置
KR100258802B1 (ko) 1995-02-15 2000-06-15 전주범 평탄화 장치 및 그를 이용한 평탄화 방법
US6719818B1 (en) 1995-03-28 2004-04-13 Applied Materials, Inc. Apparatus and method for in-situ endpoint detection for chemical mechanical polishing operations
US5533923A (en) 1995-04-10 1996-07-09 Applied Materials, Inc. Chemical-mechanical polishing pad providing polishing unformity
US5645471A (en) 1995-08-11 1997-07-08 Minnesota Mining And Manufacturing Company Method of texturing a substrate using an abrasive article having multiple abrasive natures
US5605760A (en) 1995-08-21 1997-02-25 Rodel, Inc. Polishing pads
JPH0976353A (ja) 1995-09-12 1997-03-25 Toshiba Corp 光造形装置
JP3324643B2 (ja) 1995-10-25 2002-09-17 日本電気株式会社 研磨パッド
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5905099A (en) 1995-11-06 1999-05-18 Minnesota Mining And Manufacturing Company Heat-activatable adhesive composition
US5609517A (en) 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
JP3566430B2 (ja) 1995-12-20 2004-09-15 株式会社ルネサステクノロジ 半導体装置の製造方法
US5624303A (en) 1996-01-22 1997-04-29 Micron Technology, Inc. Polishing pad and a method for making a polishing pad with covalently bonded particles
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5778481A (en) 1996-02-15 1998-07-14 International Business Machines Corporation Silicon wafer cleaning and polishing pads
US5690540A (en) 1996-02-23 1997-11-25 Micron Technology, Inc. Spiral grooved polishing pad for chemical-mechanical planarization of semiconductor wafers
US6090475A (en) 1996-05-24 2000-07-18 Micron Technology Inc. Polishing pad, methods of manufacturing and use
JP3498881B2 (ja) 1996-05-27 2004-02-23 セントラル硝子株式会社 撥水性ガラスの製法
US5976000A (en) 1996-05-28 1999-11-02 Micron Technology, Inc. Polishing pad with incompressible, highly soluble particles for chemical-mechanical planarization of semiconductor wafers
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
GB2316414B (en) 1996-07-31 2000-10-11 Tosoh Corp Abrasive shaped article, abrasive disc and polishing method
US5795218A (en) 1996-09-30 1998-08-18 Micron Technology, Inc. Polishing pad with elongated microcolumns
US6244575B1 (en) 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5876490A (en) 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
KR100210840B1 (ko) 1996-12-24 1999-07-15 구본준 기계 화학적 연마 방법 및 그 장치
US5876268A (en) 1997-01-03 1999-03-02 Minnesota Mining And Manufacturing Company Method and article for the production of optical quality surfaces on glass
JP4163756B2 (ja) 1997-01-13 2008-10-08 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド ホトリソグラフィーによって形成された表面パターンを有するポリマー研磨パッド及びこれに関する方法
US5965460A (en) 1997-01-29 1999-10-12 Mac Dermid, Incorporated Polyurethane composition with (meth)acrylate end groups useful in the manufacture of polishing pads
US6231629B1 (en) 1997-03-07 2001-05-15 3M Innovative Properties Company Abrasive article for providing a clear surface finish on glass
KR100494605B1 (ko) 1997-03-07 2005-06-10 미네소타 마이닝 앤드 매뉴팩춰링 캄파니 유리상에 투명한 표면 마무리 상태를 제공하기 위한 연마용품
US5910471A (en) 1997-03-07 1999-06-08 Minnesota Mining And Manufacturing Company Abrasive article for providing a clear surface finish on glass
US5944583A (en) 1997-03-17 1999-08-31 International Business Machines Corporation Composite polish pad for CMP
US6682402B1 (en) 1997-04-04 2004-01-27 Rodel Holdings, Inc. Polishing pads and methods relating thereto
US6648733B2 (en) 1997-04-04 2003-11-18 Rodel Holdings, Inc. Polishing pads and methods relating thereto
US6062958A (en) 1997-04-04 2000-05-16 Micron Technology, Inc. Variable abrasive polishing pad for mechanical and chemical-mechanical planarization
US5940674A (en) 1997-04-09 1999-08-17 Massachusetts Institute Of Technology Three-dimensional product manufacture using masks
US6126532A (en) 1997-04-18 2000-10-03 Cabot Corporation Polishing pads for a semiconductor substrate
EP1011922B1 (en) 1997-04-18 2002-11-06 Cabot Microelectronics Corporation Polishing pad for a semiconductor substrate
US8092707B2 (en) * 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US5945058A (en) 1997-05-13 1999-08-31 3D Systems, Inc. Method and apparatus for identifying surface features associated with selected lamina of a three-dimensional object being stereolithographically formed
US5921855A (en) 1997-05-15 1999-07-13 Applied Materials, Inc. Polishing pad having a grooved pattern for use in a chemical mechanical polishing system
US6273806B1 (en) 1997-05-15 2001-08-14 Applied Materials, Inc. Polishing pad having a grooved pattern for use in a chemical mechanical polishing apparatus
US6692338B1 (en) 1997-07-23 2004-02-17 Lsi Logic Corporation Through-pad drainage of slurry during chemical mechanical polishing
US6736714B2 (en) 1997-07-30 2004-05-18 Praxair S.T. Technology, Inc. Polishing silicon wafers
US5919082A (en) 1997-08-22 1999-07-06 Micron Technology, Inc. Fixed abrasive polishing pad
US6121143A (en) 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
US5888121A (en) 1997-09-23 1999-03-30 Lsi Logic Corporation Controlling groove dimensions for enhanced slurry flow
US5932040A (en) 1997-10-01 1999-08-03 Bibielle S.P.A. Method for producing a ring of abrasive elements from which to form a rotary brush
US6950193B1 (en) 1997-10-28 2005-09-27 Rockwell Automation Technologies, Inc. System for monitoring substrate conditions
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6231942B1 (en) 1998-01-21 2001-05-15 Trexel, Inc. Method and apparatus for microcellular polypropylene extrusion, and polypropylene articles produced thereby
JPH11254542A (ja) 1998-03-11 1999-09-21 Sanyo Electric Co Ltd 光造形装置のモニタリングシステム
US6228133B1 (en) 1998-05-01 2001-05-08 3M Innovative Properties Company Abrasive articles having abrasive layer bond system derived from solid, dry-coated binder precursor particles having a fusible, radiation curable component
JPH11347761A (ja) 1998-06-12 1999-12-21 Mitsubishi Heavy Ind Ltd レーザによる3次元造形装置
US6122564A (en) 1998-06-30 2000-09-19 Koch; Justin Apparatus and methods for monitoring and controlling multi-layer laser cladding
US6322728B1 (en) 1998-07-10 2001-11-27 Jeneric/Pentron, Inc. Mass production of dental restorations by solid free-form fabrication methods
US6117000A (en) 1998-07-10 2000-09-12 Cabot Corporation Polishing pad for a semiconductor substrate
DE19834559A1 (de) 1998-07-31 2000-02-03 Friedrich Schiller Uni Jena Bu Verfahren zur Herstellung von Werkzeugen für die Bearbeitung von Oberflächen
JP2000061817A (ja) 1998-08-24 2000-02-29 Nikon Corp 研磨パッド
US6095902A (en) 1998-09-23 2000-08-01 Rodel Holdings, Inc. Polyether-polyester polyurethane polishing pads and related methods
US6602380B1 (en) 1998-10-28 2003-08-05 Micron Technology, Inc. Method and apparatus for releasably attaching a polishing pad to a chemical-mechanical planarization machine
US6325706B1 (en) 1998-10-29 2001-12-04 Lam Research Corporation Use of zeta potential during chemical mechanical polishing for end point detection
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6390890B1 (en) 1999-02-06 2002-05-21 Charles J Molnar Finishing semiconductor wafers with a fixed abrasive finishing element
JP3641956B2 (ja) 1998-11-30 2005-04-27 三菱住友シリコン株式会社 研磨スラリーの再生システム
US6206759B1 (en) 1998-11-30 2001-03-27 Micron Technology, Inc. Polishing pads and planarizing machines for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies, and methods for making and using such pads and machines
US7425250B2 (en) 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
JP2002535843A (ja) 1999-01-21 2002-10-22 ロデール ホールディングス インコーポレイテッド 改良された研磨パッド、及び、これに関連する方法
US6994607B2 (en) 2001-12-28 2006-02-07 Applied Materials, Inc. Polishing pad with window
US6179709B1 (en) 1999-02-04 2001-01-30 Applied Materials, Inc. In-situ monitoring of linear substrate polishing operations
US6641463B1 (en) 1999-02-06 2003-11-04 Beaver Creek Concepts Inc Finishing components and elements
EP1211023B1 (en) 1999-03-30 2008-05-28 Nikon Corporation Polishing body, polisher, polishing method, and method for producing semiconductor device
US6217426B1 (en) 1999-04-06 2001-04-17 Applied Materials, Inc. CMP polishing pad
JP2000301450A (ja) 1999-04-19 2000-10-31 Rohm Co Ltd Cmp研磨パッドおよびそれを用いたcmp処理装置
US6213845B1 (en) 1999-04-26 2001-04-10 Micron Technology, Inc. Apparatus for in-situ optical endpointing on web-format planarizing machines in mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies and methods for making and using same
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6328634B1 (en) 1999-05-11 2001-12-11 Rodel Holdings Inc. Method of polishing
US6196899B1 (en) 1999-06-21 2001-03-06 Micron Technology, Inc. Polishing apparatus
JP2001018163A (ja) 1999-07-06 2001-01-23 Speedfam Co Ltd 研磨用パッド
US6319108B1 (en) 1999-07-09 2001-11-20 3M Innovative Properties Company Metal bond abrasive article comprising porous ceramic abrasive composites and method of using same to abrade a workpiece
JP2001105329A (ja) 1999-08-02 2001-04-17 Ebara Corp 研磨用砥石
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6328632B1 (en) 1999-08-31 2001-12-11 Micron Technology, Inc. Polishing pads and planarizing machines for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
US6257973B1 (en) 1999-11-04 2001-07-10 Norton Company Coated abrasive discs
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6399501B2 (en) 1999-12-13 2002-06-04 Applied Materials, Inc. Method and apparatus for detecting polishing endpoint with optical monitoring
EP1268134A1 (en) 1999-12-14 2003-01-02 Rodel Holdings, Inc. Method of manufacturing a polymer or polymer composite polishing pad
US6773475B2 (en) * 1999-12-21 2004-08-10 3M Innovative Properties Company Abrasive material having abrasive layer of three-dimensional structure
US6368184B1 (en) 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US6241596B1 (en) 2000-01-14 2001-06-05 Applied Materials, Inc. Method and apparatus for chemical mechanical polishing using a patterned pad
US6506097B1 (en) 2000-01-18 2003-01-14 Applied Materials, Inc. Optical monitoring in a two-step chemical mechanical polishing process
US20010041511A1 (en) 2000-01-19 2001-11-15 Lack Craig D. Printing of polishing pads
US7071041B2 (en) 2000-01-20 2006-07-04 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6746311B1 (en) 2000-01-24 2004-06-08 3M Innovative Properties Company Polishing pad with release layer
US6309276B1 (en) 2000-02-01 2001-10-30 Applied Materials, Inc. Endpoint monitoring with polishing rate change
US6991528B2 (en) 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20010046834A1 (en) 2000-02-28 2001-11-29 Anuradha Ramana Pad surface texture formed by solid phase droplets
KR100502268B1 (ko) 2000-03-01 2005-07-22 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 방법
US6797623B2 (en) 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6569373B2 (en) 2000-03-13 2003-05-27 Object Geometries Ltd. Compositions and methods for use in three dimensional model printing
US20030207959A1 (en) 2000-03-13 2003-11-06 Eduardo Napadensky Compositions and methods for use in three dimensional model printing
US7300619B2 (en) 2000-03-13 2007-11-27 Objet Geometries Ltd. Compositions and methods for use in three dimensional model printing
US8481241B2 (en) 2000-03-13 2013-07-09 Stratasys Ltd. Compositions and methods for use in three dimensional model printing
JP4634688B2 (ja) 2000-03-15 2011-02-16 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド 調節された摩耗速度を有する窓部
WO2001072502A1 (en) 2000-03-24 2001-10-04 Generis Gmbh Method for manufacturing a structural part by deposition technique
KR20010093677A (ko) 2000-03-29 2001-10-29 추후기재 향상된 슬러리 분배를 위하여 특수 설계된 연마 패드
US6313038B1 (en) 2000-04-26 2001-11-06 Micron Technology, Inc. Method and apparatus for controlling chemical interactions during planarization of microelectronic substrates
US20020058468A1 (en) 2000-05-03 2002-05-16 Eppert Stanley E. Semiconductor polishing pad
US6387289B1 (en) 2000-05-04 2002-05-14 Micron Technology, Inc. Planarizing machines and methods for mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6267641B1 (en) 2000-05-19 2001-07-31 Motorola, Inc. Method of manufacturing a semiconductor component and chemical-mechanical polishing system therefor
US8485862B2 (en) 2000-05-19 2013-07-16 Applied Materials, Inc. Polishing pad for endpoint detection and related methods
US6860802B1 (en) 2000-05-27 2005-03-01 Rohm And Haas Electric Materials Cmp Holdings, Inc. Polishing pads for chemical mechanical planarization
US6749485B1 (en) 2000-05-27 2004-06-15 Rodel Holdings, Inc. Hydrolytically stable grooved polishing pads for chemical mechanical planarization
US6736709B1 (en) 2000-05-27 2004-05-18 Rodel Holdings, Inc. Grooved polishing pads for chemical mechanical planarization
US6454634B1 (en) 2000-05-27 2002-09-24 Rodel Holdings Inc. Polishing pads for chemical mechanical planarization
JP3925041B2 (ja) 2000-05-31 2007-06-06 Jsr株式会社 研磨パッド用組成物及びこれを用いた研磨パッド
US7001252B2 (en) 2000-05-31 2006-02-21 Jsr Corporation Abrasive material
US6478914B1 (en) 2000-06-09 2002-11-12 Micron Technology, Inc. Method for attaching web-based polishing materials together on a polishing tool
US6656019B1 (en) 2000-06-29 2003-12-02 International Business Machines Corporation Grooved polishing pads and methods of use
JP2002028849A (ja) 2000-07-17 2002-01-29 Jsr Corp 研磨パッド
US20020016139A1 (en) 2000-07-25 2002-02-07 Kazuto Hirokawa Polishing tool and manufacturing method therefor
US6520834B1 (en) 2000-08-09 2003-02-18 Micron Technology, Inc. Methods and apparatuses for analyzing and controlling performance parameters in mechanical and chemical-mechanical planarization of microelectronic substrates
US6776699B2 (en) 2000-08-14 2004-08-17 3M Innovative Properties Company Abrasive pad for CMP
US6736869B1 (en) 2000-08-28 2004-05-18 Micron Technology, Inc. Method for forming a planarizing pad for planarization of microelectronic substrates
US6592443B1 (en) 2000-08-30 2003-07-15 Micron Technology, Inc. Method and apparatus for forming and using planarizing pads for mechanical and chemical-mechanical planarization of microelectronic substrates
US6638831B1 (en) 2000-08-31 2003-10-28 Micron Technology, Inc. Use of a reference fiducial on a semiconductor package to monitor and control a singulation method
JP3886712B2 (ja) 2000-09-08 2007-02-28 シャープ株式会社 半導体装置の製造方法
US6477926B1 (en) 2000-09-15 2002-11-12 Ppg Industries Ohio, Inc. Polishing pad
US6641471B1 (en) 2000-09-19 2003-11-04 Rodel Holdings, Inc Polishing pad having an advantageous micro-texture and methods relating thereto
WO2002026445A1 (en) 2000-09-29 2002-04-04 Strasbaugh, Inc. Polishing pad with built-in optical sensor
AU3060702A (en) 2000-11-09 2002-05-21 3M Innovative Properties Co Weather resistant, ink jettable, radiation curable, fluid compositions particularly suitable for outdoor applications
JP2002151447A (ja) 2000-11-13 2002-05-24 Asahi Kasei Corp 研磨パッド
US6684704B1 (en) 2002-09-12 2004-02-03 Psiloquest, Inc. Measuring the surface properties of polishing pads using ultrasonic reflectance
CN100496896C (zh) 2000-12-01 2009-06-10 东洋橡膠工业株式会社 研磨垫
JP2002200555A (ja) 2000-12-28 2002-07-16 Ebara Corp 研磨工具および該研磨工具を具備したポリッシング装置
US6407669B1 (en) 2001-02-02 2002-06-18 3M Innovative Properties Company RFID tag device and method of manufacturing
GB0103754D0 (en) 2001-02-15 2001-04-04 Vantico Ltd Three-dimensional structured printing
US20020112632A1 (en) 2001-02-21 2002-08-22 Creo Ltd Method for supporting sensitive workpieces during processing
US6840843B2 (en) 2001-03-01 2005-01-11 Cabot Microelectronics Corporation Method for manufacturing a polishing pad having a compressed translucent region
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7955693B2 (en) 2001-04-20 2011-06-07 Tolland Development Company, Llc Foam composition roller brush with embedded mandrel
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6811937B2 (en) 2001-06-21 2004-11-02 Dsm Desotech, Inc. Radiation-curable resin composition and rapid prototyping process using the same
US6544373B2 (en) 2001-07-26 2003-04-08 United Microelectronics Corp. Polishing pad for a chemical mechanical polishing process
US6586494B2 (en) 2001-08-08 2003-07-01 Spectra Group Limited, Inc. Radiation curable inkjet composition
KR100646702B1 (ko) 2001-08-16 2006-11-17 에스케이씨 주식회사 홀 및/또는 그루브로 형성된 화학적 기계적 연마패드
KR20030020658A (ko) 2001-09-04 2003-03-10 삼성전자주식회사 화학적물리적 연마장치의 연마패드 콘디셔닝 디스크
US6866807B2 (en) 2001-09-21 2005-03-15 Stratasys, Inc. High-precision modeling filament
WO2003035576A1 (fr) * 2001-10-21 2003-05-01 National Institute Of Advanced Industrial Science And Technology Article poreux de phosphate de calcium fritte, procede de production de celui-ci, ainsi qu'os artificiel et echafaudae histomorphologique faisant appel a cet article
NO315188B1 (no) 2001-11-07 2003-07-28 Consept As Dråpefangersyklon
JP4077192B2 (ja) 2001-11-30 2008-04-16 株式会社東芝 化学機械研磨方法および半導体装置の製造方法
US6599765B1 (en) 2001-12-12 2003-07-29 Lam Research Corporation Apparatus and method for providing a signal port in a polishing pad for optical endpoint detection
US6838149B2 (en) 2001-12-13 2005-01-04 3M Innovative Properties Company Abrasive article for the deposition and polishing of a conductive material
JP2003188124A (ja) 2001-12-14 2003-07-04 Rodel Nitta Co 研磨布
EP1326273B1 (en) 2001-12-28 2012-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US20030134581A1 (en) 2002-01-11 2003-07-17 Wang Hsing Maw Device for chemical mechanical polishing
KR100442873B1 (ko) 2002-02-28 2004-08-02 삼성전자주식회사 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법
JP2003303793A (ja) 2002-04-12 2003-10-24 Hitachi Ltd 研磨装置および半導体装置の製造方法
US6773474B2 (en) 2002-04-19 2004-08-10 3M Innovative Properties Company Coated abrasive article
JP4693024B2 (ja) 2002-04-26 2011-06-01 東洋ゴム工業株式会社 研磨材
US6815570B1 (en) 2002-05-07 2004-11-09 Uop Llc Shaped catalysts for transalkylation of aromatics for enhanced xylenes production
US20050194681A1 (en) 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US6913517B2 (en) 2002-05-23 2005-07-05 Cabot Microelectronics Corporation Microporous polishing pads
US20050276967A1 (en) 2002-05-23 2005-12-15 Cabot Microelectronics Corporation Surface textured microporous polishing pads
US20040014413A1 (en) 2002-06-03 2004-01-22 Jsr Corporation Polishing pad and multi-layer polishing pad
DE10224981B4 (de) 2002-06-05 2004-08-19 Generis Gmbh Verfahren zum schichtweisen Aufbau von Modellen
US8602851B2 (en) 2003-06-09 2013-12-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Controlled penetration subpad
JP3801100B2 (ja) 2002-06-07 2006-07-26 Jsr株式会社 光硬化造形装置、光硬化造形方法及び光硬化造形システム
CN100445091C (zh) 2002-06-07 2008-12-24 普莱克斯S.T.技术有限公司 控制渗透子垫
EP1375617A1 (en) 2002-06-19 2004-01-02 3M Innovative Properties Company Radiation-curable, solvent-free and printable precursor of a pressure-sensitive adhesive
US7169014B2 (en) 2002-07-18 2007-01-30 Micron Technology, Inc. Apparatuses for controlling the temperature of polishing pads used in planarizing micro-device workpieces
KR101016081B1 (ko) 2002-07-26 2011-02-17 닛토덴코 가부시키가이샤 점착 시트와 그의 제조방법, 상기 점착 시트의 사용방법,및 상기 점착 시트에 사용되는 다층 시트와 그의 제조방법
TWI228768B (en) 2002-08-08 2005-03-01 Jsr Corp Processing method of polishing pad for semiconductor wafer and polishing pad for semiconductor wafer
US7579071B2 (en) 2002-09-17 2009-08-25 Korea Polyol Co., Ltd. Polishing pad containing embedded liquid microelements and method of manufacturing the same
KR100465649B1 (ko) 2002-09-17 2005-01-13 한국포리올 주식회사 일체형 연마 패드 및 그 제조 방법
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US20040058623A1 (en) 2002-09-20 2004-03-25 Lam Research Corporation Polishing media for chemical mechanical planarization (CMP)
US7311862B2 (en) 2002-10-28 2007-12-25 Cabot Microelectronics Corporation Method for manufacturing microporous CMP materials having controlled pore size
US7267607B2 (en) 2002-10-28 2007-09-11 Cabot Microelectronics Corporation Transparent microporous materials for CMP
US7435165B2 (en) 2002-10-28 2008-10-14 Cabot Microelectronics Corporation Transparent microporous materials for CMP
CA2504368C (en) 2002-10-31 2012-07-10 Ehsan Toyserkani System and method for closed-loop control of laser cladding by powder injection
JP2004153193A (ja) 2002-11-01 2004-05-27 Disco Abrasive Syst Ltd 半導体ウエーハの処理方法
DE10253445A1 (de) 2002-11-16 2004-06-03 Adam Opel Ag Verfahren und Vorrichtung zum Abdichten und Aufpumpen von Reifen bei Pannen sowie Dichtmittelbehälter als auch Adapter hierfür
AU2003302299A1 (en) 2002-11-27 2004-06-18 Toyo Boseki Kabushiki Kaisha Polishing pad and method for manufacturing semiconductor device
JP2004235446A (ja) 2003-01-30 2004-08-19 Toyobo Co Ltd 研磨パッド
JP4659338B2 (ja) 2003-02-12 2011-03-30 Hoya株式会社 情報記録媒体用ガラス基板の製造方法並びにそれに使用する研磨パッド
WO2004077511A2 (en) 2003-02-24 2004-09-10 The Regents Of The University Of Colorado (meth)acrylic and (meth)acrylamide monomers, polymerizable compositions, and polymers obtained
US7104773B2 (en) 2003-03-07 2006-09-12 Ricoh Printing Systems, Ltd. Three-dimensional laminating molding device
DE10310385B4 (de) 2003-03-07 2006-09-21 Daimlerchrysler Ag Verfahren zur Herstellung von dreidimensionalen Körpern mittels pulverbasierter schichtaufbauender Verfahren
JP2004281685A (ja) 2003-03-14 2004-10-07 Mitsubishi Electric Corp 半導体基板の研磨用パッドおよび半導体基板の研磨方法
US20060189269A1 (en) 2005-02-18 2006-08-24 Roy Pradip K Customized polishing pads for CMP and methods of fabrication and use thereof
US7377840B2 (en) 2004-07-21 2008-05-27 Neopad Technologies Corporation Methods for producing in-situ grooves in chemical mechanical planarization (CMP) pads, and novel CMP pad designs
US7704125B2 (en) 2003-03-24 2010-04-27 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
US9278424B2 (en) 2003-03-25 2016-03-08 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
AU2004225931A1 (en) 2003-03-25 2004-10-14 Neopad Technologies Corporation Chip customized polish pads for chemical mechanical planarization (CMP)
US8864859B2 (en) 2003-03-25 2014-10-21 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
US20040224616A1 (en) 2003-04-25 2004-11-11 Jsr Corporation Polishing pad and chemical mechanical polishing method
US6783436B1 (en) 2003-04-29 2004-08-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with optimized grooves and method of forming same
KR20060015723A (ko) 2003-05-09 2006-02-20 산요가세이고교 가부시키가이샤 씨엠피 프로세스용 연마액 및 연마방법
EP1628823B8 (en) 2003-05-21 2012-06-27 3D Systems Incorporated Thermoplastic powder material system for appearance models from 3d printing systems
IL156094A0 (en) 2003-05-25 2003-12-23 J G Systems Inc Fixed abrasive cmp pad with built-in additives
US7435161B2 (en) 2003-06-17 2008-10-14 Cabot Microelectronics Corporation Multi-layer polishing pad material for CMP
US6998166B2 (en) 2003-06-17 2006-02-14 Cabot Microelectronics Corporation Polishing pad with oriented pore structure
JP4130614B2 (ja) 2003-06-18 2008-08-06 株式会社東芝 半導体装置の製造方法
US20050001712A1 (en) 2003-07-03 2005-01-06 Yarbrough Craig D. RF ID tag
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US20050032464A1 (en) 2003-08-07 2005-02-10 Swisher Robert G. Polishing pad having edge surface treatment
TWI286096B (en) 2003-08-08 2007-09-01 Entegris Inc Methods and materials for making a monolithic porous pad onto a rotatable base
US7120512B2 (en) 2003-08-25 2006-10-10 Hewlett-Packard Development Company, L.P. Method and a system for solid freeform fabricating using non-reactive powder
US20070007698A1 (en) 2003-08-27 2007-01-11 Shojiro Sano Method of producting three-dimensional model
KR100590202B1 (ko) 2003-08-29 2006-06-15 삼성전자주식회사 연마 패드 및 그 형성방법
JP2005074614A (ja) 2003-09-03 2005-03-24 Nitta Haas Inc 研磨パッドの製造方法および研磨パッド
JP2005085917A (ja) 2003-09-08 2005-03-31 Sharp Corp プラズマプロセス装置
JP2005093785A (ja) 2003-09-18 2005-04-07 Toshiba Corp Cmp用スラリー、研磨方法、および半導体装置の製造方法
KR100640998B1 (ko) 2003-09-19 2006-11-02 엘지.필립스 엘시디 주식회사 액정표시장치용 브라켓 구조
GB0323462D0 (en) 2003-10-07 2003-11-05 Fujifilm Electronic Imaging Providing a surface layer or structure on a substrate
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US20050109371A1 (en) 2003-10-27 2005-05-26 Applied Materials, Inc. Post CMP scrubbing of substrates
JP2005131732A (ja) 2003-10-30 2005-05-26 Ebara Corp 研磨装置
JP4764825B2 (ja) 2003-10-31 2011-09-07 アプライド マテリアルズ インコーポレイテッド 研磨終点検知システム及び摩擦センサを使用する方法
US20050101228A1 (en) 2003-11-10 2005-05-12 Cabot Microelectronics Corporation Polishing pad comprising biodegradable polymer
US7264641B2 (en) 2003-11-10 2007-09-04 Cabot Microelectronics Corporation Polishing pad comprising biodegradable polymer
JP2005150235A (ja) 2003-11-12 2005-06-09 Three M Innovative Properties Co 半導体表面保護シート及び方法
US7125318B2 (en) 2003-11-13 2006-10-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad having a groove arrangement for reducing slurry consumption
JP4555559B2 (ja) 2003-11-25 2010-10-06 富士紡ホールディングス株式会社 研磨布及び研磨布の製造方法
US6984163B2 (en) 2003-11-25 2006-01-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with high optical transmission window
KR100576465B1 (ko) 2003-12-01 2006-05-08 주식회사 하이닉스반도체 연마입자 함침 조성물을 이용한 연마 패드
US7186164B2 (en) 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US6843711B1 (en) 2003-12-11 2005-01-18 Rohm And Haas Electronic Materials Cmp Holdings, Inc Chemical mechanical polishing pad having a process-dependent groove configuration
US20050153634A1 (en) 2004-01-09 2005-07-14 Cabot Microelectronics Corporation Negative poisson's ratio material-containing CMP polishing pad
US20050171224A1 (en) 2004-02-03 2005-08-04 Kulp Mary J. Polyurethane polishing pad
US7132033B2 (en) 2004-02-27 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of forming a layered polishing pad
US7731568B2 (en) 2004-03-11 2010-06-08 Toyo Tire & Rubber Co., Ltd. Polishing pad and semiconductor device manufacturing method
US20050208234A1 (en) 2004-03-19 2005-09-22 Agfa-Gevaert Ink-jet recording material
US7195544B2 (en) 2004-03-23 2007-03-27 Cabot Microelectronics Corporation CMP porous pad with component-filled pores
US7204742B2 (en) 2004-03-25 2007-04-17 Cabot Microelectronics Corporation Polishing pad comprising hydrophobic region and endpoint detection port
US6955588B1 (en) 2004-03-31 2005-10-18 Lam Research Corporation Method of and platen for controlling removal rate characteristics in chemical mechanical planarization
JP2005294661A (ja) 2004-04-02 2005-10-20 Hitachi Chem Co Ltd 研磨パッド及びそれを用いる研磨方法
JP2004243518A (ja) 2004-04-08 2004-09-02 Toshiba Corp 研摩装置
US20050227590A1 (en) 2004-04-09 2005-10-13 Chien-Min Sung Fixed abrasive tools and associated methods
TWI293266B (en) 2004-05-05 2008-02-11 Iv Technologies Co Ltd A single-layer polishing pad and a method of producing the same
US7815826B2 (en) 2004-05-12 2010-10-19 Massachusetts Institute Of Technology Manufacturing process, such as three-dimensional printing, including solvent vapor filming and the like
US20050260939A1 (en) 2004-05-18 2005-11-24 Saint-Gobain Abrasives, Inc. Brazed diamond dressing tool
EP1747878A4 (en) 2004-05-20 2010-10-13 Bridgestone Corp SHUTTERING DEVICE OF SEALING AGENT, METHOD FOR POURING A SEALING AGENT AND APPARATUS FOR PUMPING SEALING AGENT
US20050261150A1 (en) 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US7438795B2 (en) 2004-06-10 2008-10-21 Cabot Microelectronics Corp. Electrochemical-mechanical polishing system
US7252871B2 (en) 2004-06-16 2007-08-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad having a pressure relief channel
US7582127B2 (en) 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
CN1972780B (zh) 2004-06-21 2010-09-08 株式会社荏原制作所 抛光设备和抛光方法
JP4133945B2 (ja) 2004-06-28 2008-08-13 住友ゴム工業株式会社 タイヤのパンクシーリング剤送給、抜取り装置
WO2006003697A1 (ja) 2004-06-30 2006-01-12 Toho Engineering Kabushiki Kaisha 研磨パッドおよびその製造方法
US20060014475A1 (en) 2004-07-15 2006-01-19 Disco Corporation Grindstone tool
US7625198B2 (en) 2004-08-11 2009-12-01 Cornell Research Foundation, Inc. Modular fabrication systems and methods
US7153191B2 (en) 2004-08-20 2006-12-26 Micron Technology, Inc. Polishing liquids for activating and/or conditioning fixed abrasive polishing pads, and associated systems and methods
US8075372B2 (en) 2004-09-01 2011-12-13 Cabot Microelectronics Corporation Polishing pad with microporous regions
DE102004042911A1 (de) 2004-09-02 2006-03-09 Michael Stehle Vorrichtung zum Ausbringen von Luft- und/oder Reifendichtmittel
US20060079159A1 (en) 2004-10-08 2006-04-13 Markus Naujok Chemical mechanical polish with multi-zone abrasive-containing matrix
US20060096179A1 (en) 2004-11-05 2006-05-11 Cabot Microelectronics Corporation CMP composition containing surface-modified abrasive particles
US7846008B2 (en) 2004-11-29 2010-12-07 Semiquest Inc. Method and apparatus for improved chemical mechanical planarization and CMP pad
US7815778B2 (en) 2005-11-23 2010-10-19 Semiquest Inc. Electro-chemical mechanical planarization pad with uniform polish performance
US8075745B2 (en) 2004-11-29 2011-12-13 Semiquest Inc. Electro-method and apparatus for improved chemical mechanical planarization pad with uniform polish performance
WO2006057720A1 (en) 2004-11-29 2006-06-01 Rajeev Bajaj Method and apparatus for improved chemical mechanical planarization pad with pressure control and process monitor
WO2006062158A1 (ja) 2004-12-10 2006-06-15 Toyo Tire & Rubber Co., Ltd. 研磨パッド及び研磨パッドの製造方法
US7059949B1 (en) 2004-12-14 2006-06-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. CMP pad having an overlapping stepped groove arrangement
US7059950B1 (en) 2004-12-14 2006-06-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. CMP polishing pad having grooves arranged to improve polishing medium utilization
US7182677B2 (en) 2005-01-14 2007-02-27 Applied Materials, Inc. Chemical mechanical polishing pad for controlling polishing slurry distribution
TWI385050B (zh) 2005-02-18 2013-02-11 Nexplanar Corp 用於cmp之特製拋光墊及其製造方法及其用途
US7875091B2 (en) 2005-02-22 2011-01-25 Saint-Gobain Abrasives, Inc. Rapid tooling system and methods for manufacturing abrasive articles
JP2006231464A (ja) 2005-02-24 2006-09-07 Nitta Haas Inc 研磨パッド
US7829000B2 (en) 2005-02-25 2010-11-09 Hewlett-Packard Development Company, L.P. Core-shell solid freeform fabrication
TWI410314B (zh) 2005-04-06 2013-10-01 羅門哈斯電子材料Cmp控股公司 藉由反應-射出成形製造多孔化學機械研磨墊之裝置
EP1710324B1 (en) 2005-04-08 2008-12-03 STMicroelectronics S.r.l. PVD process and chamber for the pulsed deposition of a chalcogenide material layer of a phase change memory device
US7427340B2 (en) 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7435364B2 (en) 2005-04-11 2008-10-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for forming a porous polishing pad
JP2006305650A (ja) 2005-04-26 2006-11-09 Inoac Corp 研磨用吸着パッド及びその製造方法
US8393934B2 (en) 2006-11-16 2013-03-12 Chien-Min Sung CMP pad dressers with hybridized abrasive surface and related methods
US8398466B2 (en) 2006-11-16 2013-03-19 Chien-Min Sung CMP pad conditioners with mosaic abrasive segments and associated methods
US8304467B2 (en) 2005-05-17 2012-11-06 Toyo Tire & Rubber Co., Ltd. Polishing pad
KR100721196B1 (ko) 2005-05-24 2007-05-23 주식회사 하이닉스반도체 연마패드 및 이를 이용한 화학적기계적연마장치
JP2007005612A (ja) 2005-06-24 2007-01-11 Hitachi Chem Co Ltd 研磨パッド及びその製造方法及び基板の研磨方法
CN1897226A (zh) 2005-07-11 2007-01-17 上海华虹Nec电子有限公司 一种化学机械抛光机
JP4512529B2 (ja) 2005-07-15 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
KR100727485B1 (ko) 2005-08-09 2007-06-13 삼성전자주식회사 연마 패드 및 이를 제조하는 방법, 그리고 화학적 기계적 연마 장치 및 방법
US20070117393A1 (en) 2005-11-21 2007-05-24 Alexander Tregub Hardened porous polymer chemical mechanical polishing (CMP) pad
JP4868840B2 (ja) 2005-11-30 2012-02-01 Jsr株式会社 半導体装置の製造方法
CN1851896A (zh) 2005-12-05 2006-10-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种静电卡盘
US20070128991A1 (en) 2005-12-07 2007-06-07 Yoon Il-Young Fixed abrasive polishing pad, method of preparing the same, and chemical mechanical polishing apparatus including the same
KR100761847B1 (ko) 2005-12-07 2007-09-28 삼성전자주식회사 연마 입자가 내재된 연마 패드, 이의 제조 방법, 및 이를포함하는 화학적 기계적 연마 장치
KR20070070094A (ko) 2005-12-28 2007-07-03 제이에스알 가부시끼가이샤 화학 기계 연마 패드 및 화학 기계 연마 방법
US20070149094A1 (en) 2005-12-28 2007-06-28 Choi Jae Y Monitoring Device of Chemical Mechanical Polishing Apparatus
CN101375374A (zh) 2006-01-25 2009-02-25 Jsr株式会社 化学机械研磨垫及其制造方法
US7935276B2 (en) 2006-02-09 2011-05-03 Headwaters Technology Innovation Llc Polymeric materials incorporating carbon nanostructures
EP1993776A2 (en) 2006-02-23 2008-11-26 Picodeon Ltd OY Coating on a plastic substrate and a coated plastic product
JP2007235001A (ja) 2006-03-03 2007-09-13 Mitsui Chemicals Inc 研磨用スラリー
US20070204420A1 (en) 2006-03-06 2007-09-06 Hornby David M Polishing pad and method of making
US7517488B2 (en) 2006-03-08 2009-04-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of forming a chemical mechanical polishing pad utilizing laser sintering
WO2007104063A1 (en) 2006-03-09 2007-09-13 Rimpad Tech Ltd. Composite polishing pad
US8691116B2 (en) 2006-03-24 2014-04-08 Clemson University Conducting polymer ink
US20070235133A1 (en) 2006-03-29 2007-10-11 Strasbaugh Devices and methods for measuring wafer characteristics during semiconductor wafer polishing
US20070235904A1 (en) 2006-04-06 2007-10-11 Saikin Alan H Method of forming a chemical mechanical polishing pad utilizing laser sintering
FR2900411B1 (fr) 2006-04-27 2008-08-29 Coatex Sas Procede de traitement de matieres minerales par des polymeres amphoteres,matieres minerales obtenues,leur utilisation comme agent reducteur de la quantite de colloides dans la fabrication de papier.
US7445847B2 (en) 2006-05-25 2008-11-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
US7169030B1 (en) 2006-05-25 2007-01-30 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
EP2032345B1 (en) 2006-06-20 2010-05-05 Katholieke Universiteit Leuven Procedure and apparatus for in-situ monitoring and feedback control of selective laser powder processing
US7840305B2 (en) 2006-06-28 2010-11-23 3M Innovative Properties Company Abrasive articles, CMP monitoring system and method
US20080220702A1 (en) 2006-07-03 2008-09-11 Sang Fang Chemical Industry Co., Ltd. Polishing pad having surface texture
JP5186738B2 (ja) 2006-07-10 2013-04-24 富士通セミコンダクター株式会社 研磨パッドの製造方法及び被研磨体の研磨方法
TWI409136B (zh) 2006-07-19 2013-09-21 Innopad Inc 表面具微溝槽之化學機械平坦化墊
KR100804275B1 (ko) 2006-07-24 2008-02-18 에스케이씨 주식회사 고분자 쉘로 둘러싸인 액상 유기물 코어를 포함하는 cmp연마패드 및 그 제조방법
US7300340B1 (en) 2006-08-30 2007-11-27 Rohm and Haas Electronics Materials CMP Holdings, Inc. CMP pad having overlaid constant area spiral grooves
US7267610B1 (en) 2006-08-30 2007-09-11 Rohm And Haas Electronic Materials Cmp Holdings, Inc. CMP pad having unevenly spaced grooves
DE112007002066B4 (de) 2006-09-06 2019-10-17 Nitta Haas Inc. Polierkissen
JP2008084504A (ja) 2006-09-29 2008-04-10 Hitachi Ltd 光ディスク装置および光ディスクの再生方法
US7382959B1 (en) 2006-10-13 2008-06-03 Hrl Laboratories, Llc Optically oriented three-dimensional polymer microstructures
KR100842486B1 (ko) 2006-10-30 2008-07-01 동부일렉트로닉스 주식회사 Cmp 장비의 폴리싱패드와 이의 제조장치
US7234224B1 (en) 2006-11-03 2007-06-26 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Curved grooving of polishing pads
US7648645B2 (en) 2006-11-08 2010-01-19 3M Innovative Properties Company Pre-polymer formulations for liquid crystal displays
CN101199994A (zh) 2006-12-15 2008-06-18 湖南大学 智能化激光熔覆成型金属零件
US7371160B1 (en) 2006-12-21 2008-05-13 Rohm And Haas Electronic Materials Cmp Holdings Inc. Elastomer-modified chemical mechanical polishing pad
US7438636B2 (en) 2006-12-21 2008-10-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
EP2097247B1 (en) 2006-12-21 2016-03-09 Agfa Graphics NV 3d-inkjet printing methods
US7497885B2 (en) 2006-12-22 2009-03-03 3M Innovative Properties Company Abrasive articles with nanoparticulate fillers and method for making and using them
US8083820B2 (en) 2006-12-22 2011-12-27 3M Innovative Properties Company Structured fixed abrasive articles including surface treated nano-ceria filler, and method for making and using the same
US7311590B1 (en) 2007-01-31 2007-12-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with grooves to retain slurry on the pad texture
US7520798B2 (en) 2007-01-31 2009-04-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with grooves to reduce slurry consumption
JP5204502B2 (ja) 2007-02-01 2013-06-05 株式会社クラレ 研磨パッド及び研磨パッドの製造方法
US8647179B2 (en) 2007-02-01 2014-02-11 Kuraray Co., Ltd. Polishing pad, and method for manufacturing polishing pad
US8853527B2 (en) 2007-02-16 2014-10-07 Nanogram Corporation Solar cell structures, photovoltaic panels and corresponding processes
TWI349596B (en) 2007-03-20 2011-10-01 Kuraray Co Cushion for polishing pad and polishing pad using the same
JP4798713B2 (ja) 2007-03-26 2011-10-19 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド 研磨パッドの製造方法
JP4954762B2 (ja) 2007-03-27 2012-06-20 東洋ゴム工業株式会社 ポリウレタン発泡体の製造方法
US9536711B2 (en) 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
WO2008120183A1 (en) 2007-04-01 2008-10-09 Objet Geometries Ltd. Method and system for three-dimensional fabrication
US20090011679A1 (en) 2007-04-06 2009-01-08 Rajeev Bajaj Method of removal profile modulation in cmp pads
FR2915016B1 (fr) 2007-04-10 2009-06-05 Siemens Vdo Automotive Sas Systeme de creation automatisee d'une interface logicielle
US8067814B2 (en) 2007-06-01 2011-11-29 Panasonic Corporation Semiconductor device and method of manufacturing the same
JP5363470B2 (ja) 2007-06-08 2013-12-11 アプライド マテリアルズ インコーポレイテッド 窓付きの薄い研磨パッド及び成形プロセス
US7455571B1 (en) 2007-06-20 2008-11-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Window polishing pad
US20080314878A1 (en) 2007-06-22 2008-12-25 General Electric Company Apparatus and method for controlling a machining system
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US7862320B2 (en) 2007-07-17 2011-01-04 Seiko Epson Corporation Three-dimensional object forming apparatus and method for forming three dimensional object
US8047899B2 (en) 2007-07-26 2011-11-01 Macronix International Co., Ltd. Pad and method for chemical mechanical polishing
US7635290B2 (en) 2007-08-15 2009-12-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Interpenetrating network for chemical mechanical polishing
US7517277B2 (en) 2007-08-16 2009-04-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Layered-filament lattice for chemical mechanical polishing
US7828634B2 (en) 2007-08-16 2010-11-09 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Interconnected-multi-element-lattice polishing pad
CN101376234B (zh) 2007-08-28 2013-05-29 侯家祥 一种研磨工具磨料颗粒有序排列的方法
WO2009032768A2 (en) 2007-09-03 2009-03-12 Semiquest, Inc. Polishing pad
MY149975A (en) * 2007-09-21 2013-11-15 Cabot Microelectronics Corp Polishing composition and method utilizing abrasive particles treated with an aminosilane
EP2042649B1 (en) 2007-09-27 2012-05-30 Toyoda Gosei Co., Ltd. Coated base fabric for airbags
JP5078527B2 (ja) 2007-09-28 2012-11-21 富士紡ホールディングス株式会社 研磨布
FR2921667B1 (fr) 2007-10-01 2012-11-09 Saint Gobain Abrasives Inc Composition resinique liquide pour articles abrasifs
JP5143528B2 (ja) 2007-10-25 2013-02-13 株式会社クラレ 研磨パッド
US8491360B2 (en) 2007-10-26 2013-07-23 Innopad, Inc. Three-dimensional network in CMP pad
TW200941582A (en) 2007-10-29 2009-10-01 Ekc Technology Inc Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
US8388410B2 (en) 2007-11-05 2013-03-05 P.R. Hoffman Machine Products, Inc. RFID-containing carriers used for silicon wafer quality
JP2009129970A (ja) 2007-11-20 2009-06-11 Ebara Corp 研磨装置及び研磨方法
DE102007056984A1 (de) 2007-11-27 2009-05-28 Eos Gmbh Electro Optical Systems Verfahren zum Herstellen eines dreidimensionalen Objekts mittels Lasersintern
US8377623B2 (en) 2007-11-27 2013-02-19 3D Systems, Inc. Photocurable resin composition for producing three dimensional articles having high clarity
JP2011508462A (ja) 2007-12-31 2011-03-10 イノパッド,インコーポレイテッド 化学的機械的平坦化パッド
JP5597140B2 (ja) 2007-12-31 2014-10-01 スリーエム イノベイティブ プロパティズ カンパニー プラズマ処理された研磨物品及び同物品の作製方法
JP5248152B2 (ja) 2008-03-12 2013-07-31 東洋ゴム工業株式会社 研磨パッド
US9180570B2 (en) 2008-03-14 2015-11-10 Nexplanar Corporation Grooved CMP pad
US20110011217A1 (en) 2008-03-25 2011-01-20 Yoshihide Kojima Tire puncture repair apparatus
JP5226359B2 (ja) 2008-04-02 2013-07-03 株式会社クラレ 研磨パッド用クッションおよびそれを用いた研磨パッド
US8292592B2 (en) 2008-04-02 2012-10-23 United Technologies Corporation Nosecone bolt access and aerodynamic leakage baffle
WO2009126171A1 (en) 2008-04-11 2009-10-15 Innopad, Inc. Chemical mechanical planarization pad with void network
US8177603B2 (en) 2008-04-29 2012-05-15 Semiquest, Inc. Polishing pad composition
WO2009145069A1 (ja) 2008-05-26 2009-12-03 ソニー株式会社 造形装置および造形方法
TW201005825A (en) 2008-05-30 2010-02-01 Panasonic Corp Plasma processing apparatus and method
US20090308739A1 (en) 2008-06-17 2009-12-17 Applied Materials, Inc. Wafer processing deposition shielding components
TWM347669U (en) 2008-06-19 2008-12-21 Bestac Advanced Material Co Ltd Polishing pad and polishing device
CN101612722A (zh) 2008-06-25 2009-12-30 三芳化学工业股份有限公司 抛光垫及其制造方法
CN102131618A (zh) 2008-06-26 2011-07-20 3M创新有限公司 具有多孔单元的抛光垫以及制造和使用该抛光垫的方法
US8282866B2 (en) 2008-06-30 2012-10-09 Seiko Epson Corporation Method and device for forming three-dimensional model, sheet material processing method, and sheet material processing device
US20100011672A1 (en) 2008-07-16 2010-01-21 Kincaid Don H Coated abrasive article and method of making and using the same
JP5450622B2 (ja) 2008-07-18 2014-03-26 スリーエム イノベイティブ プロパティズ カンパニー 浮遊要素を備えた研磨パッド、その製造方法及び使用方法
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US20140034239A1 (en) 2008-07-23 2014-02-06 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US20140069584A1 (en) 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
CN101642898B (zh) 2008-08-06 2011-09-14 财团法人工业技术研究院 抛光垫及其形成方法以及抛光方法
KR101410116B1 (ko) 2008-08-08 2014-06-25 가부시키가이샤 구라레 연마 패드 및 연마 패드의 제조 방법
KR20100028294A (ko) 2008-09-04 2010-03-12 주식회사 코오롱 연마패드 및 그의 제조방법
KR101678114B1 (ko) 2008-09-26 2016-11-21 로디아 오퍼레이션스 화학적 기계적 폴리싱용 연마제 조성물 및 그의 이용 방법
US8118641B2 (en) 2009-03-04 2012-02-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad having window with integral identification feature
US20100112919A1 (en) 2008-11-03 2010-05-06 Applied Materials, Inc. Monolithic linear polishing sheet
US8292692B2 (en) 2008-11-26 2012-10-23 Semiquest, Inc. Polishing pad with endpoint window and systems and method using the same
DE102008060046A1 (de) 2008-12-02 2010-06-10 Eos Gmbh Electro Optical Systems Verfahren zum Bereitstellen einer identifizierbaren Pulvermenge und Verfahren zur Herstellung eines Objekts
US20100140850A1 (en) 2008-12-04 2010-06-10 Objet Geometries Ltd. Compositions for 3D printing
DE102008061311A1 (de) 2008-12-11 2010-06-24 Doukas Ag Vorrichtung zum Fördern eines Gases
CN101428404A (zh) 2008-12-22 2009-05-13 南京航空航天大学 固结磨料研磨抛光垫及其制备方法
US8062103B2 (en) 2008-12-23 2011-11-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate groove pattern
US8057282B2 (en) 2008-12-23 2011-11-15 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate polishing method
EP2382651A4 (en) 2009-01-27 2013-01-16 Innopad Inc CHEMICAL PLANARIZATION BUFFER COMPRISING PATTERNED STRUCTURAL DOMAINS
US8053487B2 (en) 2009-01-30 2011-11-08 The United States Of America As Represented By The Secretary Of The Navy Multifunctional acrylates used as cross-linkers in dental and biomedical self-etching bonding adhesives
WO2010123744A2 (en) 2009-04-23 2010-10-28 Cabot Microelectronics Corporation Cmp porous pad with particles in a polymeric matrix
CN201483382U (zh) 2009-05-14 2010-05-26 贝达先进材料股份有限公司 研磨垫以及研磨装置
SG176151A1 (en) 2009-05-27 2011-12-29 Rogers Corp Polishing pad, polyurethane layer therefor, and method of polishing a silicon wafer
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
WO2011001755A1 (ja) 2009-06-29 2011-01-06 Dic株式会社 研磨パッド用2液型ウレタン樹脂組成物、ポリウレタン研磨パッド、及びポリウレタン研磨パッドの製造方法
JP2012533888A (ja) 2009-07-16 2012-12-27 キャボット マイクロエレクトロニクス コーポレイション 溝付きcmp研磨pad
TWI535527B (zh) 2009-07-20 2016-06-01 智勝科技股份有限公司 研磨方法、研磨墊與研磨系統
US8712571B2 (en) 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8676537B2 (en) 2009-08-07 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Portable wireless sensor
US8889232B2 (en) 2009-08-20 2014-11-18 Electronics For Imaging, Inc. Radiation curable ink compositions
TWI410299B (zh) 2009-08-24 2013-10-01 Bestac Advanced Material Co Ltd 研磨墊與其應用及其製造方法
EP3479933A1 (en) 2009-09-17 2019-05-08 Sciaky Inc. Electron beam layer manufacturing apparatus
JP5960054B2 (ja) 2009-10-16 2016-08-02 ポスコ 放射線硬化性樹脂組成物
US8598523B2 (en) 2009-11-13 2013-12-03 Sciaky, Inc. Electron beam layer manufacturing using scanning electron monitored closed loop control
JP5496630B2 (ja) 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
SG181890A1 (en) 2009-12-22 2012-07-30 3M Innovative Properties Co Polishing pad and method of making the same
KR101419156B1 (ko) 2009-12-28 2014-07-11 히타치가세이가부시끼가이샤 Cmp용 연마액 및 이것을 사용한 연마 방법
JP6004941B2 (ja) 2009-12-30 2016-10-12 スリーエム イノベイティブ プロパティズ カンパニー 相分離したポリマーブレンドを含む研磨パッド並びにその製造及び使用方法
CN102686361A (zh) 2009-12-30 2012-09-19 3M创新有限公司 填充有机颗粒的抛光垫及其制造和使用方法
US9017140B2 (en) 2010-01-13 2015-04-28 Nexplanar Corporation CMP pad with local area transparency
US9089943B2 (en) 2010-01-29 2015-07-28 Ronald Lipson Composite pads for buffing and polishing painted vehicle body surfaces and other applications
DE102010007401A1 (de) 2010-02-03 2011-08-04 Kärcher Futuretech GmbH, 71364 Vorrichtung und Verfahren zum automatisierten Formen und Abfüllen von Behältern
JP5977175B2 (ja) 2010-02-22 2016-08-24 インテグリス・インコーポレーテッド Cmp後の洗浄ブラシ
KR20110100080A (ko) 2010-03-03 2011-09-09 삼성전자주식회사 화학적 기계적 연마 공정용 연마 패드 및 이를 포함하는 화학적 기계적 연마 설비
DE102010011059A1 (de) 2010-03-11 2011-09-15 Global Beam Technologies Ag Verfahren und Vorrichtung zur Herstellung eines Bauteils
JP5551479B2 (ja) 2010-03-19 2014-07-16 ニッタ・ハース株式会社 研磨装置、研磨パッドおよび研磨情報管理システム
JP5620141B2 (ja) 2010-04-15 2014-11-05 東洋ゴム工業株式会社 研磨パッド
JP5697889B2 (ja) 2010-04-19 2015-04-08 帝人コードレ株式会社 平滑加工用シート
SG185523A1 (en) 2010-05-11 2012-12-28 3M Innovative Properties Co Fixed abrasive pad with surfactant for chemical mechanical planarization
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
CN103079768B (zh) 2010-07-02 2015-12-02 3M创新有限公司 涂覆磨料制品
US9156124B2 (en) 2010-07-08 2015-10-13 Nexplanar Corporation Soft polishing pad for polishing a semiconductor substrate
JP5635957B2 (ja) 2010-09-09 2014-12-03 日本碍子株式会社 被研磨物の研磨方法、及び研磨パッド
WO2012040212A2 (en) 2010-09-22 2012-03-29 Interfacial Solutions Ip, Llc Methods of producing microfabricated particles for composite materials
US8257545B2 (en) 2010-09-29 2012-09-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with light stable polymeric endpoint detection window and method of polishing therewith
US8702479B2 (en) 2010-10-15 2014-04-22 Nexplanar Corporation Polishing pad with multi-modal distribution of pore diameters
US9211628B2 (en) 2011-01-26 2015-12-15 Nexplanar Corporation Polishing pad with concentric or approximately concentric polygon groove pattern
EP2668021B1 (en) 2011-01-26 2020-08-19 Zydex Pty Ltd A device for making an object
JP5893479B2 (ja) 2011-04-21 2016-03-23 東洋ゴム工業株式会社 積層研磨パッド
EP2702112B1 (en) 2011-04-27 2020-05-13 Henkel IP & Holding GmbH Curable elastomer compositions with low temperature sealing capability
US8968058B2 (en) 2011-05-05 2015-03-03 Nexplanar Corporation Polishing pad with alignment feature
US20120302148A1 (en) 2011-05-23 2012-11-29 Rajeev Bajaj Polishing pad with homogeneous body having discrete protrusions thereon
JP5851124B2 (ja) 2011-06-13 2016-02-03 スリーエム イノベイティブ プロパティズ カンパニー 研磨用構造体
ES2441170T3 (es) 2011-06-21 2014-02-03 Agfa Graphics N.V. Líquido eyectable curable para fabricar una matriz de impresión flexográfica
JP2013018056A (ja) 2011-07-07 2013-01-31 Toray Ind Inc 研磨パッド
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8801949B2 (en) 2011-09-22 2014-08-12 Dow Global Technologies Llc Method of forming open-network polishing pads
US9108291B2 (en) 2011-09-22 2015-08-18 Dow Global Technologies Llc Method of forming structured-open-network polishing pads
US8894799B2 (en) 2011-09-22 2014-11-25 Dow Global Technologies Llc Method of forming layered-open-network polishing pads
TW201318779A (zh) 2011-09-26 2013-05-16 Entegris Inc 清潔基板之刷具
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
TWI462797B (zh) 2011-11-24 2014-12-01 Univ Nat Taiwan Science Tech Electric field assisted chemical mechanical polishing system and its method
US9067298B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with grooved foundation layer and polishing surface layer
US9067297B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with foundation layer and polishing surface layer
US9152006B2 (en) 2011-11-30 2015-10-06 Merck Patent Gmbh Particles for electrophoretic displays
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
KR20130084932A (ko) 2012-01-18 2013-07-26 삼성전자주식회사 반도체 소자의 제조 방법
US8721833B2 (en) 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof
US8486798B1 (en) 2012-02-05 2013-07-16 Tokyo Electron Limited Variable capacitance chamber component incorporating a semiconductor junction and methods of manufacturing and using thereof
KR20130095430A (ko) 2012-02-20 2013-08-28 케이피엑스케미칼 주식회사 연마패드 및 그 제조방법
US10005236B2 (en) 2012-03-01 2018-06-26 Stratasys Ltd. Cationic polymerizable compositions and methods of use thereof
DE102012203639A1 (de) 2012-03-08 2013-09-12 Evonik Industries Ag Additiv zur Einstellung der Glasübergangstemperatur von viskoelastischen Polyurethanweichschaumstoffen
US8986585B2 (en) 2012-03-22 2015-03-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing chemical mechanical polishing layers having a window
US8709114B2 (en) 2012-03-22 2014-04-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing chemical mechanical polishing layers
DE102012007791A1 (de) 2012-04-20 2013-10-24 Universität Duisburg-Essen Verfahren und Vorrichtung zur Herstellung von Bauteilen in einer Strahlschmelzanlage
US9067299B2 (en) 2012-04-25 2015-06-30 Applied Materials, Inc. Printed chemical mechanical polishing pad
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9993873B2 (en) 2012-05-22 2018-06-12 General Electric Company System and method for three-dimensional printing
US9481134B2 (en) 2012-06-08 2016-11-01 Makerbot Industries, Llc Build platform leveling with tactile feedback
KR102136432B1 (ko) 2012-06-11 2020-07-21 캐보트 마이크로일렉트로닉스 코포레이션 몰리브덴을 연마하기 위한 조성물 및 방법
JP5994183B2 (ja) 2012-06-29 2016-09-21 富士紡ホールディングス株式会社 研磨パッド及びその製造方法
US8778211B2 (en) 2012-07-17 2014-07-15 Cabot Microelectronics Corporation GST CMP slurries
US9174388B2 (en) 2012-08-16 2015-11-03 Stratasys, Inc. Draw control for extrusion-based additive manufacturing systems
US8888480B2 (en) 2012-09-05 2014-11-18 Aprecia Pharmaceuticals Company Three-dimensional printing system and equipment assembly
KR101697105B1 (ko) 2012-09-05 2017-01-17 아프레시아 파마슈티칼스 컴퍼니 3차원 인쇄 시스템 및 장비 어셈블리
JP6196858B2 (ja) 2012-09-24 2017-09-13 株式会社荏原製作所 研磨方法および研磨装置
US9718975B2 (en) 2012-09-25 2017-08-01 3M Innovative Properties Company Radiation curable ink composition
EP2906194B1 (en) 2012-10-11 2018-06-06 Dow Corning Corporation Aqueous silicone polyether microemulsions
CN202825512U (zh) 2012-10-11 2013-03-27 中芯国际集成电路制造(北京)有限公司 研磨垫及化学机械研磨机台
US9233504B2 (en) 2012-10-29 2016-01-12 Makerbot Industries, Llc Tagged build material for three-dimensional printing
EP2917797B1 (en) 2012-11-08 2021-06-30 DDM Systems, Inc. Systems and methods for additive manufacturing and repair of metal components
DE112013006045T5 (de) 2012-12-17 2015-09-17 Arcam Ab Additives Herstellungsverfahren und Vorrichtung
US10357435B2 (en) 2012-12-18 2019-07-23 Dentca, Inc. Photo-curable resin compositions and method of using the same in three-dimensional printing for manufacturing artificial teeth and denture base
US11673155B2 (en) 2012-12-27 2023-06-13 Kateeva, Inc. Techniques for arrayed printing of a permanent layer with improved speed and accuracy
US9630249B2 (en) 2013-01-17 2017-04-25 Ehsan Toyserkani Systems and methods for additive manufacturing of heterogeneous porous structures and structures made therefrom
US9649742B2 (en) 2013-01-22 2017-05-16 Nexplanar Corporation Polishing pad having polishing surface with continuous protrusions
US9587127B2 (en) 2013-02-06 2017-03-07 Sun Chemical Corporation Digital printing inks
CA2898106A1 (en) 2013-02-12 2014-08-21 Carbon3D, Inc. Continuous liquid interphase printing
CN105209241B (zh) 2013-03-14 2018-07-13 斯特塔西有限公司 基于聚合物的模具和其制造方法
US9152340B2 (en) 2013-05-28 2015-10-06 Netapp, Inc. System and method for managing and producing a dataset image across multiple storage systems
JP5955275B2 (ja) 2013-06-12 2016-07-20 富士フイルム株式会社 画像形成方法、加飾シートの製造方法、成形加工方法、加飾シート成形物の製造方法、インモールド成形品の製造方法
US20140370788A1 (en) 2013-06-13 2014-12-18 Cabot Microelectronics Corporation Low surface roughness polishing pad
US10183329B2 (en) 2013-07-19 2019-01-22 The Boeing Company Quality control of additive manufactured parts
US20150038066A1 (en) 2013-07-31 2015-02-05 Nexplanar Corporation Low density polishing pad
GB201313841D0 (en) 2013-08-02 2013-09-18 Rolls Royce Plc Method of Manufacturing a Component
WO2015020813A1 (en) 2013-08-06 2015-02-12 Applied Materials, Inc. Locally heated multi-zone substrate support
US9855698B2 (en) 2013-08-07 2018-01-02 Massachusetts Institute Of Technology Automatic process control of additive manufacturing device
JP5992375B2 (ja) 2013-08-08 2016-09-14 株式会社東芝 静電チャック、載置プレート支持台及び静電チャックの製造方法
KR102207743B1 (ko) 2013-08-10 2021-01-26 어플라이드 머티어리얼스, 인코포레이티드 제어된 컨디셔닝을 용이하게 하는 재료 조성을 갖는 cmp 패드들
US20150056895A1 (en) 2013-08-22 2015-02-26 Cabot Microelectronics Corporation Ultra high void volume polishing pad with closed pore structure
CN105518832B (zh) 2013-08-22 2018-06-08 嘉柏微电子材料股份公司 具有多孔界面及实心核心的抛光垫、以及相关的装置和方法
DE102013217422A1 (de) 2013-09-02 2015-03-05 Carl Zeiss Industrielle Messtechnik Gmbh Koordinatenmessgerät und Verfahren zur Vermessung und mindestens teilweisen Erzeugung eines Werkstücks
CN103465155B (zh) 2013-09-06 2016-05-11 蓝思科技股份有限公司 一种环氧树脂型金刚石研磨垫及其制备方法
US9425121B2 (en) 2013-09-11 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure with guiding trenches in buffer layer
KR101405333B1 (ko) 2013-09-12 2014-06-11 유비머트리얼즈주식회사 연마 입자, 연마 슬러리 및 이를 이용한 반도체 소자의 제조 방법
US9308620B2 (en) 2013-09-18 2016-04-12 Texas Instruments Incorporated Permeated grooving in CMP polishing pads
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
GB201316815D0 (en) 2013-09-23 2013-11-06 Renishaw Plc Additive manufacturing apparatus and method
JP6703939B2 (ja) 2013-09-25 2020-06-03 スリーエム イノベイティブ プロパティズ カンパニー 研磨システム
RU2643004C2 (ru) 2013-09-30 2018-01-29 Сен-Гобен Серэмикс Энд Пластикс, Инк. Формованные абразивные частицы и способы их получения
US20160271869A1 (en) 2013-10-17 2016-09-22 Luxexcel Holding B.V. Device for printing a three-dimensional structure
CN203542340U (zh) 2013-10-21 2014-04-16 中芯国际集成电路制造(北京)有限公司 一种化学机械研磨垫
US8980749B1 (en) 2013-10-24 2015-03-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for chemical mechanical polishing silicon wafers
US9831074B2 (en) 2013-10-24 2017-11-28 Applied Materials, Inc. Bipolar collimator utilized in a physical vapor deposition chamber
EP3063591B1 (en) 2013-10-30 2018-04-04 Anocoil Corporation Lithographic printing plate precursors and coating
US9421666B2 (en) 2013-11-04 2016-08-23 Applied Materials, Inc. Printed chemical mechanical polishing pad having abrasives therein
US9481069B2 (en) 2013-11-06 2016-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing apparatus and polishing method using the same
US9352443B2 (en) 2013-11-13 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Platen assembly, chemical-mechanical polisher, and method for polishing substrate
US9850402B2 (en) 2013-12-09 2017-12-26 Cabot Microelectronics Corporation CMP compositions and methods for selective removal of silicon nitride
US9993907B2 (en) 2013-12-20 2018-06-12 Applied Materials, Inc. Printed chemical mechanical polishing pad having printed window
CN104742007B (zh) 2013-12-30 2017-08-25 中芯国际集成电路制造(北京)有限公司 化学机械研磨装置和化学机械研磨方法
KR20160110973A (ko) 2014-01-23 2016-09-23 가부시키가이샤 리코 입체조형물 및 그 제조 방법
WO2015120429A1 (en) 2014-02-10 2015-08-13 President And Fellows Of Harvard College Three-dimensional (3d) printed composite structure and 3d printable composite ink formulation
US20170173865A1 (en) 2014-02-10 2017-06-22 Stratasys Ltd. Composition and method for additive manufacturing of an object
WO2015120430A1 (en) 2014-02-10 2015-08-13 President And Fellows Of Harvard College 3d-printed polishing pad for chemical-mechanical planarization (cmp)
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP2015174272A (ja) 2014-03-14 2015-10-05 セイコーエプソン株式会社 三次元造形物の製造方法、三次元造形物製造装置および三次元造形物
US9259820B2 (en) 2014-03-28 2016-02-16 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with polishing layer and window
CN106132630B (zh) 2014-04-03 2019-11-26 3M创新有限公司 抛光垫和系统以及制造和使用此类抛光垫和系统的方法
US20170036320A1 (en) 2014-04-17 2017-02-09 Cabot Microelectronics Corporation Cmp polishing pad with columnar structure and methods related thereto
US9314897B2 (en) 2014-04-29 2016-04-19 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with endpoint detection window
US9333620B2 (en) 2014-04-29 2016-05-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with clear endpoint detection window
CN104400998B (zh) 2014-05-31 2016-10-05 福州大学 一种基于红外光谱分析的3d打印检测方法
US9259821B2 (en) 2014-06-25 2016-02-16 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing layer formulation with conditioning tolerance
US20150375361A1 (en) 2014-06-25 2015-12-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method
JP2016023209A (ja) 2014-07-17 2016-02-08 日立化成株式会社 研磨剤、研磨剤セット及び基体の研磨方法
US9731398B2 (en) 2014-08-22 2017-08-15 Rohm And Haas Electronic Materials Cmp Holding, Inc. Polyurethane polishing pad
US9826630B2 (en) 2014-09-04 2017-11-21 Nxp Usa, Inc. Fan-out wafer level packages having preformed embedded ground plane connections and methods for the fabrication thereof
US20160068996A1 (en) 2014-09-05 2016-03-10 Applied Materials, Inc. Susceptor and pre-heat ring for thermal processing of substrates
CN104210108B (zh) 2014-09-15 2017-11-28 宁波高新区乐轩锐蓝智能科技有限公司 3d打印机的打印缺陷弥补方法和系统
WO2016057075A1 (en) 2014-10-09 2016-04-14 Applied Materials, Inc. Chemical mechanical polishing pad with internal channels
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
TWI689406B (zh) 2014-10-17 2020-04-01 美商應用材料股份有限公司 研磨墊及製造其之方法
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US10399201B2 (en) 2014-10-17 2019-09-03 Applied Materials, Inc. Advanced polishing pads having compositional gradients by use of an additive manufacturing process
US10875145B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10821573B2 (en) 2014-10-17 2020-11-03 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
CN104385595B (zh) 2014-10-20 2017-05-03 合肥斯科尔智能科技有限公司 一种三维打印次品修复系统
JP6422325B2 (ja) 2014-12-15 2018-11-14 花王株式会社 半導体基板用研磨液組成物
US10086500B2 (en) 2014-12-18 2018-10-02 Applied Materials, Inc. Method of manufacturing a UV curable CMP polishing pad
JP6452449B2 (ja) 2015-01-06 2019-01-16 東京エレクトロン株式会社 載置台及び基板処理装置
CN104607639B (zh) 2015-01-12 2016-11-02 常州先进制造技术研究所 一种用于金属3d打印的表面修复塑形装置
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10946495B2 (en) 2015-01-30 2021-03-16 Cmc Materials, Inc. Low density polishing pad
US9505952B2 (en) 2015-03-05 2016-11-29 Cabot Microelectronics Corporation Polishing composition containing ceria abrasive
US9754730B2 (en) * 2015-03-13 2017-09-05 Avx Corporation Low profile multi-anode assembly in cylindrical housing
US9475168B2 (en) 2015-03-26 2016-10-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad window
WO2016173668A1 (en) 2015-04-30 2016-11-03 Hewlett-Packard Development Company, L.P. Misalignment detection for a 3d printing device
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
CN106206409B (zh) 2015-05-08 2019-05-07 华邦电子股份有限公司 堆叠电子装置及其制造方法
CN205703794U (zh) 2015-06-29 2016-11-23 智胜科技股份有限公司 研磨垫的研磨层
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
WO2017035007A1 (en) 2015-08-21 2017-03-02 Voxel8, Inc. Calibration and alignment of additive manufacturing deposition heads
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
CN108025496A (zh) 2015-09-16 2018-05-11 应用材料公司 用于增材制造的可选择性打开的支撑工作台
JP6584895B2 (ja) 2015-09-30 2019-10-02 富士紡ホールディングス株式会社 研磨パッド
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
WO2017073654A1 (ja) 2015-10-30 2017-05-04 コニカミノルタ株式会社 活性光線硬化型インクジェットインク組成物及びインクジェット記録方法
GB201519187D0 (en) 2015-10-30 2015-12-16 Knauf Insulation Ltd Improved binder compositions and uses thereof
JP6940495B2 (ja) 2015-10-30 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 所望のゼータ電位を有する研磨用物品を形成するための装置及び方法
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10229769B2 (en) 2015-11-20 2019-03-12 Xerox Corporation Three phase immiscible polymer-metal blends for high conductivty composites
US10189143B2 (en) 2015-11-30 2019-01-29 Taiwan Semiconductor Manufacturing Company Limited Polishing pad, method for manufacturing polishing pad, and polishing method
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
WO2017127221A1 (en) 2016-01-19 2017-07-27 Applied Materials, Inc. Porous chemical mechanical polishing pads
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
US9956314B2 (en) 2016-01-26 2018-05-01 Modern Ideas LLC Adhesive for use with bone and bone-like structures
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
KR102334828B1 (ko) 2016-03-09 2021-12-06 어플라이드 머티어리얼스, 인코포레이티드 적층 제조에서 제조된 형상들의 보정
US10773509B2 (en) 2016-03-09 2020-09-15 Applied Materials, Inc. Pad structure and fabrication methods
WO2017165216A1 (en) 2016-03-24 2017-09-28 Applied Materials, Inc. Textured small pad for chemical mechanical polishing
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10283330B2 (en) 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
JP6791680B2 (ja) 2016-08-09 2020-11-25 株式会社フジミインコーポレーテッド 表面処理組成物およびこれを用いた洗浄方法
US20180100073A1 (en) 2016-10-11 2018-04-12 Xerox Corporation Ink composition for use in 3d printing
US20180100074A1 (en) 2016-10-11 2018-04-12 Xerox Corporation Ink composition for use in 3d printing
US10259956B2 (en) 2016-10-11 2019-04-16 Xerox Corporation Curable ink composition
US10930535B2 (en) 2016-12-02 2021-02-23 Applied Materials, Inc. RFID part authentication and tracking of processing components
CN106810215B (zh) 2017-01-18 2022-08-16 重庆摩方科技有限公司 一种陶瓷浆料的制备及3d打印光固化成型方法
KR20180094428A (ko) 2017-02-15 2018-08-23 삼성전자주식회사 화학 기계적 연마 장치
US20180323042A1 (en) 2017-05-02 2018-11-08 Applied Materials, Inc. Method to modulate the wafer edge sheath in a plasma processing chamber
US10967482B2 (en) 2017-05-25 2021-04-06 Applied Materials, Inc. Fabrication of polishing pad by additive manufacturing onto mold
US11084143B2 (en) 2017-05-25 2021-08-10 Applied Materials, Inc. Correction of fabricated shapes in additive manufacturing using modified edge
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11458673B2 (en) 2017-06-21 2022-10-04 Carbon, Inc. Resin dispenser for additive manufacturing
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11072050B2 (en) 2017-08-04 2021-07-27 Applied Materials, Inc. Polishing pad with window and manufacturing methods thereof
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
JP7102724B2 (ja) 2017-12-19 2022-07-20 株式会社リコー 電極、非水系蓄電素子、塗布液及び電極の製造方法
JP7033907B2 (ja) 2017-12-21 2022-03-11 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
KR20200039840A (ko) 2018-01-22 2020-04-16 어플라이드 머티어리얼스, 인코포레이티드 전원식 에지 링을 이용한 프로세싱
CN111684571A (zh) 2018-02-05 2020-09-18 应用材料公司 用于3d打印的cmp垫的压电终点指示
WO2019190676A1 (en) 2018-03-30 2019-10-03 Applied Materials, Inc. Integrating 3d printing into multi-process fabrication schemes
US11826876B2 (en) 2018-05-07 2023-11-28 Applied Materials, Inc. Hydrophilic and zeta potential tunable chemical mechanical polishing pads
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US10847347B2 (en) 2018-08-23 2020-11-24 Applied Materials, Inc. Edge ring assembly for a substrate support in a plasma processing chamber
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
WO2020096723A1 (en) 2018-11-09 2020-05-14 Applied Materials, Inc. Radio frequency filter system for a processing chamber
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US20200230781A1 (en) 2019-01-23 2020-07-23 Applied Materials, Inc. Polishing pads formed using an additive manufacturing process and methods related thereto
KR102655348B1 (ko) 2019-03-19 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 소수성 및 아이스포빅 코팅
US11851570B2 (en) 2019-04-12 2023-12-26 Applied Materials, Inc. Anionic polishing pads formed by printing processes

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI222390B (en) * 2001-11-13 2004-10-21 Toyo Boseki Polishing pad and its production method
US20040209555A1 (en) * 2003-04-21 2004-10-21 Cabot Microelectronics Corporation Coated metal oxide particles for CMP
US20060024434A1 (en) * 2004-07-29 2006-02-02 Hongyu Wang Manufacturing of polymer-coated particles for chemical mechanical polishing
CN101142055A (zh) * 2005-02-22 2008-03-12 圣戈本磨料股份有限公司 涂敷或粘结研磨制品
TWI432540B (zh) * 2010-12-17 2014-04-01 Cabot Microelectronics Corp 用於拋光多晶矽的組合物及方法
US20160107381A1 (en) * 2014-10-17 2016-04-21 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles

Also Published As

Publication number Publication date
US20190030678A1 (en) 2019-01-31
US11980992B2 (en) 2024-05-14
KR20200023526A (ko) 2020-03-04
US20230052048A1 (en) 2023-02-16
TW201930011A (zh) 2019-08-01
US11471999B2 (en) 2022-10-18
CN110997236A (zh) 2020-04-10
WO2019023221A1 (en) 2019-01-31
KR102539021B1 (ko) 2023-06-02

Similar Documents

Publication Publication Date Title
TWI806884B (zh) 整合磨料拋光墊及製造方法
US11524384B2 (en) Abrasive delivery polishing pads and manufacturing methods thereof
JP4171846B2 (ja) 共有給合された粒子を有する研磨パッドおよびその製造方法
TWI730610B (zh) 用於積層製造製程所生產的研磨墊的前驅物調配物
CN107000170B (zh) 可uv硬化的cmp研磨垫及其制造方法
US6548407B1 (en) Method and apparatus for controlling chemical interactions during planarization of microelectronic substrates
JP4950662B2 (ja) 被覆微粒子およびその製造方法、ならびに、導電性微粒子
TWI544984B (zh) 研磨物件,其製備方法及其使用方法
US20090176443A1 (en) Structured fixed abrasive articles including surface treated nano-ceria filler, and method for making and using the same
KR20090091302A (ko) 나노미립자 충전재를 갖는 연마 용품 및 그 제조 및 사용 방법
KR100832993B1 (ko) Cmp 슬러리용 보조제
KR20120101044A (ko) 무기 입자 및 중합체 입자를 포함하는 화학적 기계적 연마 (cmp) 조성물
JP7442659B2 (ja) 液状積層造形用組成物
JP2005093785A (ja) Cmp用スラリー、研磨方法、および半導体装置の製造方法
TW201134930A (en) An aqueous polishing agent and graft copolymers and their use in a process for polishing patterned and unstructured metal surfaces
JP2023547826A (ja) 化学機械研磨パッドのために使用される紫外線硬化性樹脂
JP2001226666A (ja) 研磨砥粒と研磨液及びその研磨方法並びに半導体装置の製造方法
JP7133684B2 (ja) 研磨パッド、その製造方法およびこれを用いる半導体素子の製造方法
JP2007533765A (ja) 耐摩耗性ポリマー
TW201102345A (en) An abrasive tool possesses modified diamond abrasive particles and manufacture method thereof
JP2006169390A (ja) 有機無機ハイブリッド粒子の製造方法