CN110997236A - 整合研磨抛光垫及制造方法 - Google Patents

整合研磨抛光垫及制造方法 Download PDF

Info

Publication number
CN110997236A
CN110997236A CN201880051442.5A CN201880051442A CN110997236A CN 110997236 A CN110997236 A CN 110997236A CN 201880051442 A CN201880051442 A CN 201880051442A CN 110997236 A CN110997236 A CN 110997236A
Authority
CN
China
Prior art keywords
polishing
abrasive particles
droplets
precursor
functionalized
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880051442.5A
Other languages
English (en)
Inventor
A·库马
A·乔卡里汉
S·嘎纳帕西亚潘
R·巴贾杰
傅博诣
D·莱德菲尔德
N·B·帕蒂班德拉
M·D·科尔内霍
A·辛哈
Y·赵
R·R·阿内帕利
F·C·雷德克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110997236A publication Critical patent/CN110997236A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D11/00Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
    • B24D11/001Manufacture of flexible abrasive materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • B24B37/245Pads with fixed abrasives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/22Lapping pads for working plane surfaces characterised by a multi-layered structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D11/00Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
    • B24D11/04Zonally-graded surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D18/00Manufacture of grinding tools or other grinding devices, e.g. wheels, not otherwise provided for
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C64/00Additive manufacturing, i.e. manufacturing of three-dimensional [3D] objects by additive deposition, additive agglomeration or additive layering, e.g. by 3D printing, stereolithography or selective laser sintering
    • B29C64/10Processes of additive manufacturing
    • B29C64/106Processes of additive manufacturing using only liquids or viscous materials, e.g. depositing a continuous bead of viscous material
    • B29C64/112Processes of additive manufacturing using only liquids or viscous materials, e.g. depositing a continuous bead of viscous material using individual droplets, e.g. from jetting heads
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y10/00Processes of additive manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y80/00Products made by additive manufacturing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • C09G1/14Other polishing compositions based on non-waxy substances
    • C09G1/16Other polishing compositions based on non-waxy substances on natural or synthetic resins
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/12Lapping plates for working plane surfaces
    • B24B37/16Lapping plates for working plane surfaces characterised by the shape of the lapping plate surface, e.g. grooved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D2203/00Tool surfaces formed with a pattern
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y30/00Apparatus for additive manufacturing; Details thereof or accessories therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Polishing Bodies And Polishing Tools (AREA)

Abstract

本文所述的实施例涉及整合研磨(IA)抛光垫,以及制造IA抛光垫的方法,所述方法在增材制造工艺(诸如3D喷墨打印工艺)中至少部分使用表面官能化的研磨颗粒。在一个实施例中,形成抛光制品的方法包括:分配第一前驱物的第一多个液滴;固化所述第一多个液滴以形成第一层,所述第一层包括子抛光元件的一部分;将所述第一前驱物及第二前驱物的第二多个液滴分配至所述第一层上;以及固化所述第二多个液滴以形成第二层,所述第二层包括所述子抛光元件的部分与多个抛光元件的部分。在此,所述第二前驱物包括官能化的研磨颗粒,所述官能化的研磨颗粒具有化学结合至所述官能化的研磨颗粒的表面的可聚合基团。

Description

整合研磨抛光垫及制造方法
背景技术
技术领域
本公开内容的实施例总体涉及抛光垫以及形成抛光垫的方法,并且更具体地,涉及用于在电子器件制造工艺中抛光基板的抛光垫。
相关技术的描述
化学机械抛光(CMP)常用于高密度集成电路的制造,以通过使待平坦化的材料层与抛光垫接触并在抛光流体和研磨颗粒存在下移动所述抛光垫和/或基板(并从而移动所述材料层表面),来平坦化或抛光在基板上所沉积的材料层。CMP的两种常见应用为:主体膜(bulk film)的平坦化,例如前金属介电质(PMD)或层间介电质(ILD)抛光,其中下面的特征在层表面中产生凹槽和突起;以及浅沟槽隔离(STI)和层间金属互连抛光,其中抛光用于从层的暴露表面(域)移除通孔(via)、接触件或沟槽填充材料,所述层具有所述特征。
在典型的CMP工艺中,将基板保持在承载头中,所述承载头将基板的背侧压向抛光垫。通过化学活动和机械活动的组合,跨与抛光垫接触的材料层表面移除材料,所述化学活动和所述机械活动是由抛光流体和研磨颗粒提供。通常地,所述研磨颗粒悬浮在抛光流体(被称为浆料)中,或嵌在抛光垫(被称为固定研磨抛光垫)中。
当研磨颗粒悬浮于抛光流体(浆料)中时,通常是使用非研磨抛光垫将研磨颗粒运送到基板的材料层,在基板的材料层处所述研磨颗粒提供与基板的表面的机械动作,且在一些实施例中,提供化学反应。与上述相反,利用固定研磨抛光垫,研磨颗粒通常通过将它们嵌在诸如环氧树脂之类的支撑材料(例如,所述支撑材料经常被称作粘合剂材料)中而整合到抛光垫中。通常,在CMP工艺期间,所述粘合剂材料将所述研磨颗粒固定地保持在抛光垫表面的一位置处,在所述位置处,所述研磨颗粒在CMP工艺期间提供机械抛光作用给所述基板的材料层,并且有时提供与基板的材料层的化学反应。
通常地,固定研磨抛光垫在抛光性能的某些方面上比标准(非固定研磨抛光垫)优越,诸如,在具有高特征密度的区域中,平坦表面的非期望侵蚀较少,并且在诸如沟槽、接触件和线之类的凹陷特征中,膜材料的上表面非期望的碟形化(dishing)较少。然而,固定研磨抛光垫倾向有较短的寿命(每个垫几次抛光),在从基板表面移除膜的速率方面,基板至基板间的稳定性不佳,并且在跨基板的膜移除的均匀度方面,基板至基板间的稳定性不佳。
通常,固定研磨调节盘(诸如金刚石调节盘)与标准的抛光垫一起被使用,以使抛光垫表面复原(rejuvenate)和平坦化,并且因此维持基板至基板间的稳定性抛光性能。然而,固定研磨调节盘通常与和固定研磨抛光垫一起使用不兼容,因为所述盘将从其中嵌有研磨材料的支撑环氧树脂材料的固有脆性表面移除嵌入的研磨颗粒。这样的非期望地移除研磨颗粒使得垫表面缺乏(或几乎缺乏)高效CMP工艺所必需的研磨颗粒。
因此,本技术中需要一种抛光垫以及制造抛光垫的方法,所述抛光垫具有与外部调节(诸如利用固定研磨调节盘)兼容的固定研磨抛光垫的期望的抛光特性。
发明内容
本文的实施例总体上涉及整合研磨(IA)抛光垫以及形成所述整合研磨抛光垫的方法,所述整合研磨抛光垫包括研磨颗粒,所述研磨颗粒设置在所述抛光垫的部分的抛光材料中且与所述抛光垫的部分的抛光材料化学结合。具体地,本文的实施例中,可固化树脂前驱物混合物形成有研磨颗粒,所述研磨颗粒具有可聚合基团,所述可聚合基团化学结合至所述研磨颗粒的表面。所述可固化树脂前驱物混合物用在增材(additive)制造工艺中(伴随可固化树脂子抛光材料前驱物组成物),以形成抛光垫。在一些实施例中,所述抛光垫具有分立的(discrete)抛光元件,所述抛光元件具有研磨颗粒,所述研磨颗粒设置在所述抛光元件的抛光垫材料中,且与所述抛光元件的抛光垫材料化学结合。
在一个实施例中,一种形成抛光制品的方法包括:分配第一前驱物的第一多个液滴;以及固化所述第一多个液滴以形成第一层,所述第一层包括子抛光元件的一部分。所述方法进一步包括将所述第一前驱物和第二前驱物的第二多个液滴分配至所述第一层上,并且固化所述第二多个液滴,以形成第二层,所述第二层包括所述子抛光元件的部分和多个抛光元件的部分。在此,所述第二前驱物包括官能化的研磨颗粒,所述官能化的研磨颗粒具有化学结合至所述官能化的研磨颗粒的表面的可聚合基团。
在另一实施例中,一种形成抛光制品的方法包括:从第一前驱物的第一多个液滴形成子抛光元件;以及通过分配第二前驱物的第二多个液滴来形成多个抛光元件,所述多个抛光元件设置在所述子抛光元件中且从所述子抛光元件延伸。在此,所述第二前驱物包括经处理的金属氧化物纳米颗粒,所述经处理的金属氧化物纳米颗粒具有可聚合化合物,所述可聚合化合物结合至所述金属氧化物纳米颗粒的表面上的少于约50%的结合位点(bonding site)。所述经处理的金属氧化物纳米颗粒包括金属氧化物纳米颗粒与下述化合物的反应产物:硅烷化合物、氰酸酯化合物、磺酸化合物、磷酸化合物、羧酸化合物、或上述化合物的组合。
在另一实施例中,一种抛光制品包括:子抛光元件,所述子抛光元件包括第一前驱物混合物的第一反应产物;以及多个抛光元件,所述多个抛光元件从所述子抛光元件延伸。在此,所述多个抛光元件包括第二前驱物混合物的第二反应产物,其中所述第二前驱物混合物包括官能化的研磨颗粒。
附图说明
通过参考其中一些在附图中所示的实施例,可得到对上文简要总结的本公开内容的更具体的描述,如此能够详细了解本公开内容的上述特征。然而,应注意,附图仅示出本公开内容的典型实施例,因此不应将附图视为限制本公开内容的范围,因为本公开内容可以允许其他等效实施例。
图1A至图1D示出根据本文所阐述的方法形成的表面官能化的二氧化铈颗粒的各种性质。
图2A是使用根据本文所公开的实施例形成的整合研磨(IA)抛光垫的抛光系统的示意性剖面图。
图2B至图2C是根据本文所述的实施例的IA抛光垫的示意性透视剖面图。
图3A是根据一个实施例的用于形成整合研磨(IA)抛光垫的增材制造系统的示意性剖面图,所述抛光垫诸如为图2B至图2C中所述的IA抛光垫。
图3B和图3C示出使用图3A中描述的增材制造系统的固化工艺。
图4A至图4B示出根据一个实施例的由包括表面官能化的研磨颗粒的前驱物形成的层的性质。
图5是根据一个实施例的示出形成抛光垫的方法的流程图,所述抛光垫诸如为图2A至图2B中所述的整合研磨(IA)抛光垫。
图6是根据另一个实施例的整合研磨(IA)抛光垫的示意性俯视图。
为促进理解,已尽可能使用相同的附图标记来指定各图共通的相同元件。应考虑到,在一个实施例中所公开的元件可有利地用在其他实施例上,而无需详细叙述。
具体实施方式
本文描述的实施例总体上涉及抛光制品以及用于制造抛光工艺中所使用的抛光制品的方法。更具体地,本文所述的实施例涉及整合研磨(IA)抛光垫,以及制造IA抛光垫的方法,所述IA抛光垫具有固定研磨抛光垫的有利抛光特性,且还允许以固定研磨调节器(诸如金刚石调节器)进行调节。调节IA抛光垫的能力实现一种抛光工艺,所述抛光工艺使用非研磨抛光流体,且还具有稳定且受控的抛光性能以及延长的抛光垫寿命。
在本文中,被描述为抛光垫的抛光制品以及形成所述抛光制品的方法可应用至其它的抛光应用,包括例如磨光(buffing)。此外,尽管本文中的讨论涉及化学机械抛光(CMP)工艺,但所述制品和方法也可应用于使用化学活性的和非化学活性的抛光流体两者的其他抛光工艺。此外,本文所述的实施例可以用在至少以下产业:航空、陶瓷、硬盘驱动(HDD)、MEMS和纳米技术、金属加工、光学和电光学制造、和半导体器件制造等。
本公开内容的实施例提供整合研磨(IA)抛光垫,所述整合研磨(IA)抛光垫至少部分使用在增材制造工艺中的表面官能化的研磨颗粒来制造,所述增材制造工艺诸如是二维2D或三维3D喷墨打印工艺。增材制造工艺(诸如本文所述的三维打印(“3D打印”)工艺)能够形成具有分立(discrete)抛光区域和/或抛光特征(抛光元件)的聚合物IA抛光垫,所述抛光区域和/或特征(抛光元件)具有独特的性质及属性。通常,抛光元件的聚合物与相邻的抛光元件的聚合物在它们的界面处形成化学键,例如共价键或者离子键。因为所述抛光元件通过化学结合而与相邻的抛光元件链接,所以所述界面比起使用其他方法(诸如利用粘附层或通过热结合)附连的有分立元件的抛光垫更强且更牢固,以允许在期望时使用更剧烈的抛光或调节工艺。
在本文中,研磨颗粒是指羟基封端的金属氧化物纳米颗粒,例如单成分或多成分的金属氧化物纳米颗粒,例如,二氧化铈、氧化铝、二氧化硅、二氧化硅/氧化铝氧化物、或者上述材料的组合。在其他实施例中,所述研磨颗粒包括以羟基、硫醇基、羧酸基、氨基、或上述基团的组合来封端的金属氧化物纳米颗粒。表面官能化的研磨颗粒是指,包括化学结合至此研磨颗粒的表面上的结合位点的至少一个可聚合基团的研磨颗粒。结合位点是指,能够与本文所述的化合物反应从而与可聚合基团形成共价键的位点。
在一些实施例中,获得表面官能化的研磨颗粒的表面改性包括,使羟基封端的研磨颗粒的表面与表面改性有机化合物反应,所述表面改性有机化合物诸如为有机硅烷化合物、磺酸化合物、有机磷酸化合物、羧酸化合物、上述化合物的衍生物或上述化合物的组合。在本文所述的实施例中,羟基封端的研磨颗粒的反应产物包括具有以烯烃和羟基两者封端的表面的研磨颗粒,在下文中,这类研磨颗粒被称为烯烃封端的研磨颗粒。在其他实施例中,可利用任何可聚合基团来封端所述表面,所述可聚合基团诸如为环氧基团,例如环氧醛基团或环氧酮基团。
在一个实施例中,表面官能化的研磨颗粒是通过使研磨颗粒的表面与硅烷化合物反应而形成的,所述硅烷化合物诸如为烷氧基硅烷,诸如三氯(苯基)硅烷、三氯(己基)硅烷、三氯(十八烷基)硅烷、三甲氧基(7-辛烯-1-基)硅烷、三氯[2-(氯甲基)烯丙基]硅烷、乙烯基三甲氧基硅烷、氯(二甲基)乙烯基硅烷、烯丙基三甲氧基硅烷、丙烯酰氯、乙烯基三甲氧基硅烷、或它们的组合。研磨颗粒硅烷化合物反应用于将期望的可聚合基团接枝到研磨颗粒的羟基封端的表面上(即,如下文所示的圆形元件),如化学反应(A)和(B)中所呈现的,其中R是甲基(CH3)。
Figure BDA0002380741520000061
在另一实施例中,表面官能化的研磨颗粒是通过使研磨颗粒的表面与氰酸酯化合物反应而形成的,所述氰酸酯化合物是诸如基于异氰酸酯的单体,诸如三-[3-(三甲氧基甲硅烷基)丙基]异氰脲酸酯或2-(甲基丙烯酰氧基)乙基异氰酸酯。例如,2-(甲基丙烯酰氧基)乙基异氰酸酯的异氰酸酯基团与羟基反应,并且形成酰胺键,造成丙烯酸基团与研磨纳米颗粒的共价结合,如化学反应(C)中所呈现的,其中R代表氢(H)或甲基(CH3)。
Figure BDA0002380741520000062
在另一个实施例中,表面官能化的研磨颗粒是通过使研磨颗粒的表面与磺酸或磷酸衍生物反应而形成的,所述磺酸或磷酸衍生物诸如是2-丙烯酰胺基-2-甲基-1-丙磺酸(如反应(D)中所示)或乙烯基膦酸酯(如反应(E)中所示),其中R代表氢(H)或甲基(CH3)。
Figure BDA0002380741520000071
在另一个实施例中,表面官能化的研磨颗粒是通过使研磨颗粒的表面与包括丙烯酸基团的羧酸反应而形成的,诸如化学反应(F)中所示,其中R表示氢(H)或甲基(CH3),且n为从1至50。在一些实施例中,通过使用亚硫酰氯(thionyl chloride)将含丙烯酸基团的丙烯酸转换为氯酸,而增加羧基的反应性。
Figure BDA0002380741520000072
图1A至图1D示出根据一个实施例形成的表面官能化的二氧化铈颗粒的各种性质。除了其他CMP应用以外,二氧化铈常用作用于浅沟槽隔离(STI)抛光应用的研磨颗粒,这是因为相较于氮化硅材料,二氧化铈的羟基封端表面对氧化硅(SiO2)材料呈现高亲合力,而导致在两个膜之间的所期望地高的选择性。虽然不希望受特定理论所限制,但相信二氧化铈颗粒的表面与可聚合基团的过量负载(结合位点的百分比)将非期望地影响二氧化铈颗粒与SiO2的H封端表面的反应,这影响到抛光速率以及选择性性能。因此,期望限制二氧化铈颗粒的表面上的官能化的表面位点的负载,以使得有充足的羟基封端的位点保持与SiO2的H封端的表面反应。在本文中,期望具有可聚合基团的研磨颗粒表面(诸如二氧化铈表面)的负载维持在约0.1%至约50%之间,诸如约1%至约25%之间,诸如约1%至约10%之间,诸如在约1%至约5%之间,例如,在约2%至约5%之间,或者其中研磨颗粒表面中的至少一些被表面官能化了不超过约5%。
在图1A至图1D中,通过使羟基封端的表面位点与氯(二甲基)乙烯基硅烷反应,而使二氧化铈颗粒被表面官能化,如反应(G)中所示。
Figure BDA0002380741520000081
所述反应是通过下述方式执行的:使二氧化铈颗粒与非水性的溶剂(诸如甲苯)混合,同时使用探针超声器在60℃下搅拌混合物。在超声处理期间,将氯(二甲基)乙烯基硅烷一滴接着一滴(drop by drop)添加至混合物中,并且随后将所述混合物维持在60℃达约3小时,从而完成反应,并且提供表面官能化的二氧化铈颗粒。所述表面官能化的二氧化铈颗粒通过过滤、离心、和用甲苯清洗的组合来进行纯化,以移除未反应的氯(二甲基)乙烯基硅烷。利用热重分析(TGA)、傅立叶变换红外光谱(FTIR)、透射电子显微镜(TEM)、和能量色散X射线(EDX)分析来表征(characterize)经处理的二氧化铈颗粒,以确认所述经处理的二氧化铈颗粒的官能化。
图1A示出经处理的二氧化铈颗粒的样本的热重分析(TGA)的结果。当经处理的二氧化铈颗粒107的样本从环境温度被加热到100℃(第一范围103)时,所述经处理的二氧化铈颗粒经受快速的重量损失,这可归因于从纯化工艺中留下的残留甲苯的蒸发。从100℃到800℃(特别是400℃到800℃)的第二温度范围105示出经处理的二氧化铈颗粒的样本的重量有更为逐步的下降,这可归因于结合至所述经处理的二氧化铈颗粒的表面上的结合位点的可聚合基团的碳氢化合物的点燃。图1B示出经处理的二氧化铈颗粒107与未经处理的二氧化铈颗粒111相比较的FTIR分析的结果。在约1620cm-1的波长下的CH=CH2振动119以及在约2919cm-1和2850cm-1处的甲基反对称的和对称的振动117指示了,与未处理的二氧化铈颗粒111相比较,经处理的二氧化铈颗粒107成功地用二甲基乙烯基硅烷基团进行表面改性(并且因此成功地表面官能化)。在约3400cm-1处的OH振动115指示了,与未处理的二氧化铈颗粒111相比较,在经处理的二氧化铈颗粒107上的羟基的一部分已在反应期间被消耗掉,且进一步地指示了,经处理的二氧化铈颗粒107成功地用二甲基乙烯基硅烷基团进行表面官能化。然而,如OH振动115所示,羟基的至少一部分保持结合至经处理的二氧化铈颗粒107的表面的结合位点,因此在二氧化铈颗粒上留下足够的羟基封端的位点,在CMP工艺期间(诸如在STI抛光工艺期间)维持期望的抛光速率和/或选择性性能,其中二氧化铈颗粒的羟基封端的位点与H封端的SiO2表面反应。
图1C示出TEM图像120和130,其中经处理的二氧化铈颗粒107的滴涂布膜是通过溶剂蒸发而形成在碳涂布的铜研磨物(grind)上。如图像120和130中所示,单独的经处理的二氧化铈颗粒具有相当均匀的平均直径,所述相当均匀的平均直径介于20nm至约50nm。然而,并非出乎意料地,单独的颗粒形成较大的颗粒凝聚体,在典型的配方中,需要磨碾所述较大的颗粒凝聚体,这意味着在调配用于形成本文中所述的IA抛光垫的前驱物混合物之前或期间,会需要将较大的颗粒凝聚体分离成较小的凝聚体和/或单独的颗粒。图1D示出图像145中所示的经处理的二氧化铈颗粒的所选EDX光谱,其中Ce、O、和Si信号可归因于二氧化铈颗粒以及结合的二甲基乙烯基硅烷基团,这指示经处理的二氧化铈颗粒的表面以可聚合的二甲基乙烯基硅烷基团成功地官能化。在另一个实施例中,使用气相反应工艺(诸如流体化床)来使研磨颗粒的表面与表面改性化合物反应。
图2A是示例抛光系统250的示意性剖面图,所述示例抛光系统250使用根据本文所述的实施例而形成的IA抛光垫200。通常,使用设置在IA抛光垫200与工作台252之间的粘附剂(例如压力敏感粘附剂)将IA抛光垫200固定至抛光系统250的工作台252。基板载具258面向工作台252和安装在所述工作台252上的IA抛光垫200,所述基板载具258具有柔性隔膜(diaphragm)261,所述柔性隔膜被配置成在推动基板260的材料表面抵靠IA抛光垫200的抛光表面的同时,对基板260的不同区域施加不同的压力。所述基板载具258包括围绕基板260的载具环259。在抛光期间,载具环259上的下压力(downforce)推动载具环259抵靠IA抛光垫200,从而防止基板260从基板载具258滑动。在柔性隔膜261推动基板260抵靠IA抛光垫200的抛光表面的同时,所述基板载具258绕载具轴264旋转。工作台252绕工作台轴254以与基板载具258的旋转方向相反的方向旋转,同时基板载具258从工作台252的内直径至工作台252的外直径来回扫掠,从而部分地减少IA抛光垫200的不均等的磨耗。在本文中,工作台252和IA抛光垫200所具有的表面积大于基板260的表面积,然而在一些抛光系统中,IA抛光垫200所具有的表面积小于基板260的表面积。
在抛光期间,通过定位在工作台252之上的流体分配器268将流体226引至IA抛光垫200。通常,流体226是水、抛光流体、抛光浆料、清洁流体、或它们的组合。在本文中,所述抛光流体含有pH调整剂和/或化学活性成分(诸如氧化剂),以实现基板260的材料表面的化学机械抛光。
通常,抛光系统250包括垫调节组件270,所述垫调节组件270包括调节器278,诸如固定研磨调节器,例如金刚石调节器。所述调节器278耦接到调节臂272,所述调节臂272具有致动器276,所述致动器276使调节器278绕其中心轴旋转。在抛光基板260之前、期间和/或之后,当调节器278扫过IA抛光垫200时,将下压力施加至调节器278。通过从IA抛光垫200的抛光表面移除抛光副产物或其他碎屑,调节器278磨损和复原(rejuvenate)IA抛光垫200和/或清洁IA抛光垫200。
图2B至图2C是根据本文所述的实施例的IA抛光垫200b-c的示意性透视剖面图。IA抛光垫200b-c可用作图2A的抛光系统250中的IA抛光垫200。在图2B中,IA抛光垫200b包括多个抛光元件204b,所述多个抛光元件204b设置在子抛光元件206b内,且从子抛光元件206b的表面延伸。多个抛光元件204b具有厚度215,子抛光元件206b具有子厚度212。如图2B和图2C所示,所述抛光元件204b、204c由子抛光元件206b、206c的一部分(例如,在区域212A内的部分)来支撑。因此,当在处理期间由基板将负载施加到IA抛光垫200b-c的抛光表面201(例如,顶表面)时,所述负载将通过抛光元件204b、204c以及子抛光元件206b、206c的部分212A来传输。在本文中,多个抛光元件204b包括柱205以及多个同心环207,所述柱205设置在IA抛光垫200b的中心中,所述多个同心环207绕柱205设置,且从所述柱205径向向外延伸。所述多个抛光元件204b和所述子抛光元件206b界定多个通道218,所述多个通道218设置在IA抛光垫200b中,位于抛光元件204b中的每一个之间且在IA抛光垫200b的抛光表面的平面与子抛光元件206b的表面之间。多个通道218使流体266(诸如抛光流体)能够分布遍及IA抛光垫200b上,且分布至IA抛光垫200b与基板260的材料表面之间的界面。在其他实施例中,抛光元件204b的图案为矩形、螺旋形、碎形(fractal)、随机(random)、其他图案、或它们的组合。在本文中,(多个)抛光元件204b-c的宽度214介于约250微米至约5毫米之间,诸如介于约250微米至约2毫米之间。(多个)抛光元件204b之间的间距(pitch)216介于约0.5毫米至约5毫米之间。在一些实施例中,宽度214和/或间距216跨IA抛光垫200b的半径而变化,以界定垫材料性质和/或研磨颗粒浓度的区域。
在图2C中,将抛光元件204c示为从子抛光元件206c延伸的圆形柱。在其他实施例中,所述抛光元件204b具有任何合适的剖面形状,例如具有环形、部分环形(例如,弧形)、椭圆形、正方形、矩形、三角形、多边形、不规则形状或上述形状的组合的柱。在一些实施例中,所述抛光元件204c的形状和宽度214以及所述抛光元件204c之间的距离跨IA抛光垫200c而变化,以调整整个IA抛光垫200c的硬度、机械强度、流体运送特性、或其他的期望性质。
在本文中,抛光元件204b-c和子抛光元件206b-c各自包括垫材料组成物,所述垫材料组成物具有低聚(oligomeric)和/或聚合(polymeric)的片段、化合物、或材料中的至少一者,所述低聚和/或聚合的片段、化合物、或材料选自由以下项组成的群组:聚酰胺、聚碳酸酯、聚酯、聚醚酮、聚醚、聚甲醛、聚醚砜、聚醚酰亚胺、聚酰亚胺、聚烯烃、聚硅氧烷、聚砜、聚苯(polyphenylene)、聚苯硫醚、聚氨酯、聚苯乙烯、聚丙烯腈、聚丙烯酸酯、聚甲基丙烯酸甲酯、聚氨酯丙烯酸酯、聚酯丙烯酸酯、聚醚丙烯酸酯、环氧丙烯酸酯、聚碳酸酯、聚酯、三聚氰胺、聚砜、聚乙烯材料、丙烯腈丁二烯苯乙烯(ABS)、卤化聚合物、嵌段共聚物以及上述项的随机共聚物,以及上述项的组合。
在一些实施例中,用于形成IA抛光垫200b-c的部分(诸如第一抛光元件204b-c和子抛光元件206b-c)的材料将包括至少一种可喷墨的预聚物组成物的反应产物,所述预聚物组成物是官能性聚合物、官能性低聚物、反应性稀释剂和固化剂的混合物,以实现IA抛光垫200b-c的期望的性质。总体上,可将所沉积的材料暴露于热或电磁辐射,所述热或电磁辐射可包括紫外线辐射(UV)、γ辐射、X射线辐射、可见辐射、IR辐射和微波辐射,且被加速的电子及离子束也可用于引发聚合反应。为了本公开内容的目的,我们不限制固化的方法或用于辅助聚合的添加剂的使用,所述添加剂诸如为敏化剂、引发剂和/或固化剂,诸如通过固化剂或氧抑制剂。在一个实施例中,从依序沉积及后沉积处理形成单一垫主体内的两个或更多个抛光元件,诸如抛光元件204b-c和子抛光元件206b-c,所述两个或更多个抛光元件包括至少一个可辐射固化的树脂前驱物组成物的反应产物,其中所述等成物含有拥有不饱和化学部分(chemical moiety)或基团的官能性聚合物、官能性低聚物、单体和/或反应性稀释剂,包括但不限于:乙烯基、丙烯酸基、甲基丙烯酸基、烯丙基和乙炔基。在抛光元件204b-c和子抛光元件206b-c内所找到的材料的硬度和/或储存模数E'不同,从而使得抛光元件204b-c的硬度和/或储存模数E'的值大于子抛光元件206b-c。在一些实施例中,抛光元件204b-c的材料组成和/或材料特性在抛光元件到抛光元件之间不同。单独化的材料组成和/或材料特性允许了针对特定需求修饰抛光垫的性质。
所述多个抛光元件204b-c中的一个或多者的至少一部分包括设置在所述多个抛光元件204b-c的抛光垫材料组合物中的研磨颗粒,且所述研磨颗粒共价地或离子地化学结合至所述多个抛光元件204b-c的抛光垫材料组成物。在本文中,抛光元件204b-c至少包括可辐射固化的树脂前驱物组成物与表面官能化的研磨颗粒的反应产物,所述组成物含有具有不饱和化学部分或基团的官能性聚合物、官能性低聚物、单体、或反应性稀释剂,所述不饱和化学部分或基团包括但不限于:乙烯基、丙烯酸基、甲基丙烯酸基、烯丙基、和乙炔基,而所述表面官能化的研磨颗粒诸如为烯烃封端的研磨颗粒,例如烯烃封端的金属氧化物纳米颗粒。通常,研磨颗粒的浓度小于抛光元件204b的抛光垫材料组成物的约70重量%,诸如小于约50重量%、诸如介于约1重量%至约50重量%之间、介于约1重量%至约40重量%之间、介于约1重量%至约30重量%之间、介于约1重量%至约20重量%之间、介于约1重量%至约10重量%之间,例如,介于约1重量%至约5重量%之间。在本文中,表面官能化的研磨颗粒均匀地分布在整个抛光元件204b-c上。
在其他实施例中,表面官能化的研磨颗粒均匀地分布在从子抛光元件206b-c的表面延伸的抛光元件204b-c的部分中,并且在抛光垫材料中于子抛光元件206b-c的表面下方延伸的抛光元件204b-c的部分中不包括所述表面官能化的研磨颗粒。在其他实施例中,研磨颗粒的浓度从抛光元件204b-c的第一端到抛光元件204b-c的第二端(所述第二端远离所述第一端)增加或减少,其中第二端形成IA抛光垫200b-c的抛光表面。在其他实施例中,所述研磨颗粒设置在抛光元件的研磨层中,其中垫材料(非研磨层)不包括设置在所述垫材料层(非研磨层)之间的研磨颗粒或较低浓度的研磨颗粒。在一些实施例中,IA抛光垫200b-c进一步包括设置在子抛光元件206b-c的抛光垫材料组成物中的研磨颗粒,并且所述研磨颗粒化学结合到所述子抛光元件206b-c的抛光垫材料组成物。
可使用本文所述的方法和材料组成来进行调整的典型抛光垫材料组成物性质包括:储存模数E’、损耗模数E”、硬度、tanδ、屈服强度、极限拉伸强度、伸长率、导热率、ζ电位、质量密度、表面张力、泊松比、断裂韧性、表面粗糙度(Ra)、玻璃转变温度(Tg)和其他相关的性质。例如,储存模数E’影响抛光结果,诸如从基板的材料层表面移除的速率和所得到的均匀度。通常,具有中等或高的储存模数E’的抛光垫材料组成物为用于PMD、ILD、和STI的介电膜提供较高的移除速率,并且导致在凹陷特征(诸如沟槽、接触件、和线)中的膜材料的上表面有较少的非期望的碟形化。具有低储存模数E’的抛光垫材料组成物通常在抛光垫的寿命上提供更为稳定的移除速率,在具有高特征密度的区域中引起较少的非期望的平坦表面腐蚀,并且导致材料表面的微刮擦减少。总体而言,有低储存模数的抛光垫材料组成物不适合作为用于常规的固定研磨抛光垫的研磨颗粒的粘合剂材料,因为比起硬的、高储存模数E’的常规环氧树脂类型的支撑材料,研磨颗粒可更容易地逃离较软的垫材料。在表1中总结了在30℃(E’30)和90℃(E’90)的温度下的低、中、或高储存模数E’的垫材料组成物的表征:
表1
低储存模数组成物 中储存模数组成物 高储存模数组成物
E’30 5MPa-100MPa 100MPa-500MPa 500MPa-3000MPa
E’90 <17MPa <83MPa <500MPa
通常,子抛光元件206b-c由与形成抛光元件204b-c的材料不同的材料形成,诸如具有低(软)或中等的储存模数E’的材料。所述抛光元件204b-c通常是由具有中或高(硬)的储存模数E’的材料形成。利用标准的非研磨抛光垫和浆料工艺,中或高的储存模数的抛光材料通常是必需的,以用于在抛光诸如SiO2之类的介电材料时维持期望的材料移除速率。这是因为,相较于较软的垫,较硬的垫材料更有效地将松散的研磨颗粒保持或支撑抵靠基板的材料表面,从而允许当下压力推动基板抵靠抛光垫表面而使得垫材料变形时,研磨颗粒沉至垫表面下方。同样,已发现,使用软或低储存模数E’的抛光垫的CMP工艺倾向于具有非均匀的平坦化结果,这是由于,在由载具环259(图2A)所生成的施加力和在CMP工艺期间由柔性隔膜261生成的施加力下,软或低储存模数E’的抛光垫相对地容易变形。换言之,用于形成软或低储存模数E’的抛光垫的材料的柔软的、柔性的且低储存模数E’的性质允许由载具环259供应的力的效应最小化,从而改进垫补偿载具环下压力的能力。类似地,常规的固定研磨抛光垫通常利用具有高硬度值的材料,以将研磨颗粒物理地保持就位。然而,已发现使用“硬”抛光垫材料的CMP工艺倾向于具有非均匀的平坦化结果,这是由于经抛光的基板260(图2A)的边缘处所发现的边缘效应所致,详细地,所述效应与施加力给载具环259(图2A)以补偿较大的固有抛光不均匀性的需求有关,所述较大的固有抛光不均匀性在CMP工艺期间于基板边缘处发现。相信本文所述的IA抛光垫的优点之一在于,能够维持高移除速率和低侵蚀,其中抛光元件204b-c包括具有经调整的和/或受控的低或中等的储存模数E’的抛光垫材料组成物。这是因为,期望地定位的研磨颗粒将通过与所述研磨颗粒的共价结合而固定在垫表面,而不会像利用标准的软抛光垫和浆料工艺那样沉入软的垫材料中。通过将研磨颗粒固定在软的垫材料的抛光表面处,能够维持研磨颗粒与基板的材料表面之间的化学活性,诸如二氧化铈颗粒与SiO2基板表面之间的化学活性,以实现合理的材料移除速率。因此,在一些实施例中,抛光元件204b-c将具有低或中等的储存模数E’。然而,也认识到,表面官能化的研磨颗粒作为由可辐射固化的树脂前驱物组成物所形成的聚合物链之间的交联剂。在一些实施例中,作为交联剂的此功能会导致抛光元件204b-c有较高的储存模数E’,这取决于可聚合的封端的结合位点(诸如烯烃封端的结合位点)在研磨颗粒上的负载和/或可辐射固化的树脂前驱物组成物中的表面官能化的研磨颗粒的浓度。因此,在一些实施例中,期望将可聚合基团的负载(研磨颗粒的表面上的可聚合基团封端的结合位点的百分比)(诸如烯烃封端基团的负载)限制为小于约10%、诸如小于约5%,例如在2%和5%之间。
除了将研磨颗粒锚定(anchor)到抛光元件204b-c的抛光表面之外,通过将研磨颗粒化学结合至所述抛光元件204b-c的抛光材料,研磨颗粒的表面官能化也增加用于在增材制造工艺中制造抛光垫的前驱物组成物的化学兼容性,所述增材制造工艺诸如为图3A至图3C中所述的3D喷墨打印工艺。
图3A是根据本文所述的实施例的用于形成IA抛光垫(诸如IA抛光垫200b-c)的增材制造系统350的示意性剖面图。在本文中,所述增材制造系统350具有第一打印机360和第二打印机370,以通过一个或多个分配喷嘴335来分配第一前驱物组成物359和第二前驱物组成物369的液滴。所述打印机360、370在打印工艺期间彼此独立地移动、且独立于制造支撑件302移动,从而使得在制造支撑件302上的所选位置处能够放置前驱物组成物359和369的液滴,以形成抛光垫,诸如IA抛光垫200b-c。所选位置共同存储为CAD兼容的打印图案,所述CAD兼容的打印图案可由电子控制器305读取,所述电子控制器305引导制造支撑件302的运动、打印机360、370的运动、以及从喷嘴335输送液滴。
通常,所述第一前驱物组成物359用于形成子抛光元件206b-c,而所述第二前驱物组成物369则用于形成图2B至图2C中所示的IA抛光垫200b-c的多个抛光元件204b、204c。在本文中,第一前驱物组成物359和第二前驱物组成物369各自包括至少为单官能性的官能性聚合物、官能性低聚物、单体和/或反应性稀释剂的一个或多个的混合物,并且当暴露于自由基、路易斯酸(Lewis acid)、和/或电磁辐射时历经聚合。在一些实施例中,所述第一前驱物组成物359和/或第二前驱物组成物369进一步包括一种或多种光引发剂。
在本文所述的实施例中,第二前驱物组成物369进一步包括表面官能化的研磨颗粒,诸如表面官能化的二氧化铈颗粒、表面官能化的氧化铝颗粒、表面官能化的二氧化硅颗粒、表面官能化的二氧化硅/氧化铝氧化物颗粒、或上述项的组合,以及一种或多种分散剂和/或悬浮剂。除了能够将研磨颗粒化学结合至本文所述的抛光元件的抛光垫材料之外,研磨颗粒的表面官能化的增加了所述研磨颗粒与典型有机液体树脂前驱物组成物的兼容性。此增加的兼容性是因为将研磨颗粒的至少一部分亲水性羟基表面封端位点转化为疏水性可聚合有机基团。此增加的兼容性使得本文所述的表面官能化的研磨颗粒能够进入包括液体前驱物组成物的悬浮液,并且保持悬浮在所述悬浮液中,并且形成高度稳定且均质的悬浮液。
此外,使研磨颗粒的表面官能化期望地提高了前驱物组成物悬浮液的热稳定性和/或化学兼容性。虽然不希望受任何特定理论所限制,但相信未改性的研磨颗粒充当在前驱物组成物内的至少一部分成分的聚合催化剂(通过在典型分配温度下引发热固化反应)。这种过早(premature)聚合非期望地增加前驱物组成物的粘度,从而在分配所述前驱物组成物的液滴时产生诸如喷嘴堵塞之类的难题。包括表面官能化的研磨颗粒的前驱物组成物具有少如少于约5%的研磨颗粒与可聚合基团结合的结合位点(诸如介于约2%至约5%之间),这样的前驱物组成物相较于包括未处理的研磨颗粒的前驱物组成物,具有增加的热稳定性和/或化学兼容性(即,通过打印机喷嘴分配的粘度得到改进)。
在本文中,至少第二前驱物组成物369中的表面官能化的研磨颗粒的浓度期望地维持在以重量计约1%至约50%之间,诸如在约1重量%至约40重量%之间、约1重量%至约30重量%之间、约1重量%至约20重量%之间、约1重量%至约10重量%之间、或约1重量%至约5重量%之间,例如小于约10重量%,或小于约5重量%。在其他实施例中,表面官能化的研磨物占第一前驱物组成物359的不到约70重量%。在其他实施例中,表面官能化的研磨颗粒和未改性的研磨颗粒占第一前驱物组成物359的不到约70重量%。
在本文中,官能性聚合物的示例包括多官能性丙烯酸酯,包括二官能、三官能、四官能和更高官能性的丙烯酸酯,诸如1,3,5-三丙烯酰基六氢-1,3,5-三嗪或三羟甲基丙烷三丙烯酸酯。
官能性低聚物包括单官能性和多官能性低聚物、丙烯酸酯低聚物,诸如脂肪族聚氨酯丙烯酸酯低聚物、脂肪族六官能性聚氨酯丙烯酸酯低聚物、二丙烯酸酯、脂肪族六官能性丙烯酸酯低聚物、多官能性聚氨酯丙烯酸酯低聚物、脂肪族聚氨酯二丙烯酸酯低聚物、脂肪族聚氨酯丙烯酸酯低聚物、脂肪族聚酯聚氨酯二丙烯酸酯掺混脂肪族二丙烯酸酯低聚物、或上述项组合,例如双酚-A乙氧基化二丙烯酸酯、或聚丁二烯二丙烯酸酯。在一个实施例中,所述官能性低聚物包括可从美国乔治亚州Alpharetta的Allnex公司购得的四官能性丙烯酸酯化聚酯低聚物
Figure BDA0002380741520000173
且所述官能性低聚物包括可从美国宾夕法尼亚州Exton的Sartomer USA公司购得的基于脂肪族聚酯的聚氨酯二丙烯酸酯低聚物(CN991)。
单体包括单官能性单体和多官能性单体。单官能性单体包括:丙烯酸四氢呋喃酯(例如SR285,来自
Figure BDA0002380741520000172
)、甲基丙烯酸四氢呋喃酯、乙烯基己内酰胺、丙烯酸异冰片酯、甲基丙烯酸异冰片酯、丙烯酸2-苯氧基乙酯、甲基丙烯酸2-苯氧基乙酯、丙烯酸2-(2-乙氧基乙氧基)乙酯、丙烯酸异辛酯、丙烯酸异癸酯、甲基丙烯酸异癸酯、丙烯酸十二酯、甲基丙烯酸十二酯、丙烯酸十八酯、甲基丙烯酸十八酯、环三羟甲基丙烷甲缩醛丙烯酸酯(cyclic trimethylolpropane formal acrylate)、2-[[(丁氨基)羰基]氧基]丙烯酸乙酯(例如,来自RAHN USA公司的Genomer 1122)、3,3,5-三甲基环己烷丙烯酸酯、或单官能性甲氧基化PEG(350)丙烯酸酯。多官能性单体包括二醇的二丙烯酸酯或二甲基丙烯酸酯和聚醚二醇,诸如丙烯酸化的二丙烯酸新戊二醇酯、二丙烯酸1,6-己二醇酯、二甲基丙烯酸1,6-己二醇酯、二丙烯酸1,3-丁二醇酯、二甲基丙烯酸1,3-丁二醇酯、二丙烯酸1,4-丁二醇酯、二甲基丙烯酸1,4-丁二醇酯、烷氧基化脂肪族二丙烯酸酯(例如,来自
Figure BDA0002380741520000181
的SR9209A)、二丙烯酸二乙二醇酯、二甲基丙烯酸二乙二醇酯、二丙烯酸二丙二醇酯、二丙烯酸三丙二醇酯、二甲基丙烯酸三乙二醇酯、烷氧基化二丙烯酸己二醇酯、或上述项的组合,例如来自
Figure BDA0002380741520000182
的SR562、SR563、SR564。
反应性稀释剂包括单丙烯酸酯、丙烯酸2-乙基己酯、丙烯酸辛基癸酯、环三羟甲基丙烷甲缩醛丙烯酸酯、丙烯酸己内酯、丙烯酸异冰片酯(IBOA)、或烷氧基化甲基丙烯酸十二酯。
本文使用的光衣引发剂包括聚合光引发剂(polymeric photoinitiator)和/或低聚光引发剂(oligomer photoinitiator),诸如苯偶姻醚、芐基缩酮、乙酰基苯酚、烷基苯酮、氧化膦、二苯甲酮化合物、和噻吨酮(thioxanthone)化合物(其包括胺增效剂)、或上述项组合。例如,在一些实施例中,光引发剂包括由德国Ludwigshafen的BASF公司制造的
Figure BDA0002380741520000183
产品,诸如Irgacure 819、Irgacure 784、Irgacure 379、Irgacure 2022、Irgacure 1173、Irgacure 500、上述产品的组合、或等效组成物。
分散剂和/或悬浮剂通常用于,例如通过增加研磨颗粒之间的静电互斥(ζ电位),从而使研磨颗粒在液体悬浮液内稳定。分散剂和/或悬浮剂能够用于使表面官能化的研磨颗粒均匀悬浮在前驱物组成物359和369的液体中。分散剂和/或悬浮剂的示例包括:
Figure BDA0002380741520000184
产品,诸如HypermerKD4及Hyper KD57,可购自美国特拉华州的New Castle的Croda公司;或是BYK Dis2008、BYK JET-9151、或BYK JET-9152,可购自德国的BYK-Gardner股份有限公司。
通常,由打印机360、370来分配的前驱物组成物359和369的液滴所形成的层是通过暴露至辐射321而固化,所述辐射321来自辐射源320,诸如紫外光(UV)源、x射线源或其他类型的电磁波源。在本文中,辐射321是由UV源提供的UV辐射。在其他实施例中,前驱物组成物359和/或369通过暴露至热能而固化。
图3B示出使用图3A的增材制造系统350的固化工艺。图3B示出设置在制造支撑件302上的抛光元件的一个或多个先前形成的层346的一部分,所述抛光元件诸如为抛光元件204b-c。处理期间,打印机360和370将一种或多种前驱物组成物(诸如第二前驱物组成物369)的多个液滴343输送到一个或多个第一层346的表面346A。多个液滴343形成多个第二层348中的一个层,在图3B中,所述多个第二层348中的一个层包括固化部分348A和未固化部分348B,其中所述固化部分已经暴露于来自辐射源320的辐射321。在本文中,第一层的固化部分348A的厚度介于约0.1微米至约1毫米之间,诸如介于约5微米至约100微米之间,例如介于约25微米和约30微米之间。
图3C是分配至一个或多个先前形成的层346的表面346A上的液滴343的特写剖面图。如图3C中所示,一旦液滴343被分配到表面346A上之后,所述液滴343扩展到液滴直径343A而具有接触角α。所述液滴直径343A和接触角α是至少下述各项的函数:前驱物组成物的材料性质、一个或多个先前形成的层346的表面346A处的能量(表面能)、和时间;然而,从液滴接触所述一个或多个先前形成的层346的表面346A的时刻起,在短时间(例如小于约一秒)之后,液滴直径343A和接触角α会达到平衡状态。在一些实施例中,液滴343在达到平衡直径和接触角α之前固化。通常,所述液滴343与表面346A接触之前具有介于约10至约200微米之间的直径,诸如介于约50微米至约70微米之间,并且在与表面346A接触之后,所述液滴343扩展到约10至约500微米之间,介于约50至约200微米之间。
在本文中,前驱物组成物359和369调被配成在约25℃下具有约80cP至约110cP之间的粘度,在约70℃下具有约15cP至约30cP之间的粘度,或是对于约50℃至约150℃的温度而言介于10cP至约40cP之间,使得混合物可通过打印机360和370的分配喷嘴335有效地分配。在一些实施例中,所述第二前驱物组成物369再循环(或若不然则是机械搅拌),以确保表面官能化的研磨颗粒维持在液体前驱物混合物中均匀地悬浮。
图4A至图4B示出由前驱物混合物形成的层的性质,所述前驱物混合物包括根据本文所述的实施例从而形成的表面官能化的研磨颗粒。图4A是其中设置有表面官能化的研磨物的抛光材料层的TEM,所述表面官能化的研磨物是使用图3A至图3C中描述的实施例由具有表2中所述的配方的前驱物来形成。在此实施例中,所述表面官能化的二氧化铈颗粒和悬浮剂在丙烯酸单体(IBOA)中混合从而形成混合物。使用探针超声器磨碾混合物,以使较大的二氧化铈颗粒的凝聚体碎裂成较小凝聚体或单独的颗粒,其平均直径在约30nm和约300nm之间。在其他实施例中,在前驱物混合之前、期间、或之后,使用其他类型的磨碾工艺(例如球磨)使将研磨颗粒的较大凝聚体减少期望的尺寸。磨碾后,将表2的其余成分添加至混合物中,从而形成前驱物组成物,通过超声处理以将所述前驱物组成物均质化,以使得表面官能化的研磨颗粒均匀地分布在所述前驱物组成物中。如图4A中的图像所示,二氧化铈粒子在打印层内具有均匀分布。图4B示出设置在由表2中所示的前驱物形成的层中的二氧化铈颗粒(在插页图像420中被示出)的EDX光谱,其中Ce、O和Si信号可归因于二氧化铈颗粒和结合的二甲基乙烯基硅烷基团,这指示成功地以可聚合的二甲基乙烯基硅烷基团将处理过的二氧化铈颗粒表面进行表面官能化。
表2
Figure BDA0002380741520000201
图5是示出根据本文所述的实施例的形成抛光垫(诸如图2A至图2B的IA抛光垫200b-c)的方法500的流程图。在活动510处,所述方法包括分配第一前驱物的第一多个液滴,所述第一前驱物诸如是图3A至图3C中描述的第一前驱物359。在本文中,所述第一前驱物包括可固化的树脂组成物,且所述第一前驱物是一种或多种官能性聚合物、官能性低聚物、单体、反应性稀释剂、或上述项的组合的混合物。在此实施例中,所述第一前驱物进一步包括一种或多种光引发剂,以使得能够使用UV辐射来固化经分配的第一多个液滴。在本文中,方法500中所使用的前驱物具有下述粘度:在约25℃下为介于约80cP至约110cP之间、在约70℃下为介于约15cP至约30cP之间、或对于约50℃和约150℃之间的温度为介于约10cP至约40cP之间,而使得来自所述前驱物的液滴能够通过打印器360的分配喷嘴335分配。
在活动520处,所述方法500包括固化第一多个液滴,以形成第一多个层中的一层,诸如图3B至图3C中所示的一个或多个先前形成的层346,在本文中的所述第一多个层中的一层包括子抛光元件的一部分,诸如IA抛光垫200b-c的子抛光元件206b-c。在本文中,第一多个液滴通过暴露至来自UV辐射源(例如辐射源320)的UV辐射而固化,所述UV辐射具有在约170nm至约500nm之间的波长。
在活动530处,所述方法500包括将第一前驱物和第二前驱物的第二多个液滴分配到第一多个层上,所述第二前驱物包括表面官能化的研磨颗粒,所述表面官能化的研磨颗粒具有化学结合到所述表面官能化的研磨颗粒的表面的至少一个可聚合基团。在本文中,所述表面官能化的研磨颗粒包括羟基封端的金属氧化物纳米粒子(诸如二氧化铈)与有机化合物的反应产物,所述有机化合物诸如为硅烷有机化合物、氰酸酯化合物、磺酸化合物、磷酸有机化合物、羧酸化合物或上述化合物的组合。在一些实施例中,所述羟基封端的金属氧化物纳米颗粒和有机化合物的反应产物形成烯烃封端的研磨颗粒。在此实施例中,负载(化学结合到可聚合化合物的表面位点的百分比)少于约50%,例如少于约50%的表面位点被烯烃封端,并且,第二前驱物中的表面官能化的研磨颗粒的浓度为介于约1重量%至约50重量%之间。在另一个实施例中,在第二前驱物中的研磨颗粒(包括非官能化的研磨颗粒)的总浓度小于约70%。
通常,所述第二前驱物包括一种或多种一种或多种官能性聚合物、官能性低聚物、单体、反应性稀释剂、或上述项的组合的混合物。在此实施例中,所述第二前驱物进一步包括光引发剂,以实现UV固化,并且包括分散剂和/或悬浮剂,以稳定第二前驱物混合物中的官能化的研磨颗粒,并将所述官能化的研磨颗粒维持在悬浮液中悬浮。此实施例中,所述表面官能化的研磨颗粒或所述表面官能化的研磨颗粒的凝聚体的平均直径为介于约10nm至约5微米之间,诸如介于约30nm至500nm之间,诸如介于约30nm至300nm之间,例如介于约100nm和约150nm之间。
在活动540处,所述方法500包括固化第二多个液滴以形成第二层,所述第二层包括子抛光元件和多个抛光元件的部分,诸如第二抛光元件204b-c。在本文中,固化所述第二多个液滴包括,将所述第二多个液滴暴露于UV辐射,从而聚合所述第二多个液滴,并在所述第二多个液滴之间的界面处形成化学键。以此方式,在包括子抛光元件的部分的聚合物材料以及在包括抛光元件的部分的聚合物材料之间,在它们的界面处形成化学键,诸如共价键和/或离子键。进一步地,所述表面官能化的研磨颗粒作为第二前驱物混合物的反应产物之间的交联剂,这是通过与所述反应产物形成化学键而达成的。
上文所述的方法与本文所述的IA抛光垫一起使用,或与其中期望将研磨颗粒化学结合至抛光垫材料的任何抛光垫一起使用。所述方法的益处包括,形成具有在CMP工艺期间、之前、或之后与金刚石的调节相容的可调整的抛光性质的IA抛光垫。其他实施例包括,通过输送含有不同前驱物的液滴以形成IA抛光垫,所述不同前驱物具有不同浓度的研磨颗粒,以使得研磨颗粒浓度可以跨抛光垫材料的表面而变化,如图6所示。
图6是与基于卷材(web)或辊对辊(roll-to-roll)型抛光系统一起使用的IA抛光垫600的示意性顶视图。所述IA抛光垫600是使用增材制造系统而形成的,诸如图3A至图3B中所示的增材制造系统350。在本文中,IA抛光垫600配置在第一辊681和第二辊682之间的抛光工作台652上。所述IA抛光垫600包括有浓度梯度的研磨颗粒,所述研磨颗粒在抛光表面608上结合至所述IA抛光垫600的抛光垫材料。在本文中,所述IA抛光垫600具有包括低浓度研磨颗粒的第一区域602、包括高浓度研磨颗粒的第二区域604、以及包括中等浓度的研磨颗粒的中间区域603。不同浓度的研磨颗粒的区域602至604是根据本文的实施例由多种前驱物组成物形成的,所述多种前驱物组成物各自包括不同浓度的表面官能化的研磨颗粒。在其他实施例中,通过交替包括高浓度研磨颗粒的前驱物组成物与包括低浓度研磨颗粒的前驱物组成物的液滴,从而形成不同浓度的区域。
虽然前述内容涉及本公开内容的实施例,但可在不背离本公开内容的基本范围的情况下设计本公开内容的其他和进一步的实施例,并且本公开内容的范围由所附权利要求来确定。

Claims (15)

1.一种形成抛光制品的方法,包括:
分配第一前驱物的第一多个液滴;
固化所述第一多个液滴以形成第一层,所述第一层包括子抛光元件的一部分;
将所述第一前驱物和第二前驱物的第二多个液滴分配至所述第一层上,所述第二前驱物包括官能化的研磨颗粒,所述官能化的颗粒具有化学结合至所述官能化的颗粒的表面的可聚合基团;和
固化所述第二多个液滴以形成第二层,所述第二层包括所述子抛光元件的部分和多个抛光元件的部分。
2.如权利要求1所述的方法,进一步包括:磨碾所述第二前驱物,以使得所述官能化的研磨颗粒或所述官能化的颗粒的组合具有介于约10nm至约300nm之间的平均直径。
3.如权利要求1所述的方法,进一步包括:分配所述第二前驱物的第三多个液滴至一个或多个第二层上;以及固化所述第三多个液滴以形成第三层,所述第三层包括所述多个抛光元件的部分。
4.如权利要求1所述的方法,其中固化所述第二多个液滴包括:在垫材料与子抛光材料的界面处将所述多个抛光元件的所述垫材料化学结合至所述子抛光元件的所述子抛光材料。
5.如权利要求4所述的方法,其中所述官能化的研磨颗粒包括金属氧化物纳米颗粒。
6.如权利要求4所述的方法,其中所述官能化的研磨颗粒的所述表面的少于约50%的结合位点具有与所述结合位点结合的所述可聚合基团。
7.如权利要求4所述的方法,其中所述官能化的研磨颗粒或所述官能化的研磨颗粒的凝聚体具有介于约10nm至约5微米之间的平均直径,并且其中所述官能化的研磨颗粒构成介于约1重量%至约50重量%之间的所述第二前驱物。
8.如权利要求7所述的方法,其中固化所述第二多个液滴包括将所述第二多个液滴暴露至UV辐射。
9.如权利要求4所述的方法,其中所述官能化的研磨颗粒包括金属氧化物纳米颗粒与以下项的反应产物:硅烷化合物、氰酸酯化合物、磺酸化合物、磷酸化合物、羧酸化合物或它们的组合。
10.一种形成抛光制品的方法,包括:
从第一前驱物的第一多个液滴形成一子抛光元件;和
通过分配第二前驱物的第二多个液滴从而形成多个抛光元件,所述多个抛光元件设置在所述子抛光元件中且从所述子抛光元件延伸,所述第二前驱物包括经处理的金属氧化物纳米颗粒,所述经处理的纳米颗粒具有可聚合化合物,所述可聚合化合物结合至所述金属氧化物纳米颗粒的表面上的少于约50%的结合位点,其中所述经处理的金属氧化物纳米颗粒包括金属氧化物纳米颗粒与以下项的反应产物:硅烷化合物、氰酸酯化合物、磺酸化合物、磷酸化合物、羧酸化合物或它们的组合。
11.一种抛光制品,包括:
子抛光元件,所述子抛光元件包括第一前驱物混合物的第一反应产物;和
多个抛光元件,所述多个抛光元件从所述子抛光元件延伸,所述多个抛光元件包括第二前驱物混合物的第二反应产物,其中所述第二前驱物混合物包括官能化的研磨颗粒。
12.如权利要求11所述的抛光制品,其中所述官能化的研磨颗粒包括金属氧化物纳米颗粒与以下项的反应产物:硅烷化合物、氰酸酯化合物、磺酸化合物、磷酸化合物、羧酸化合物或它们的组合。
13.如权利要求12所述的抛光制品,其中所述官能化的研磨颗粒或所述官能化的研磨颗粒的凝聚体具有介于约10nm至约5微米之间的平均直径。
14.如权利要求11所述的抛光制品,其中所述官能化的研磨颗粒的表面上的少于约50%的结合位点化学结合至可聚合化合物。
15.如权利要求14所述的抛光制品,其中官能化的研磨颗粒包括烯烃封端的基团。
CN201880051442.5A 2017-07-26 2018-07-24 整合研磨抛光垫及制造方法 Pending CN110997236A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762537290P 2017-07-26 2017-07-26
US62/537,290 2017-07-26
PCT/US2018/043470 WO2019023221A1 (en) 2017-07-26 2018-07-24 INTEGRATED ABRASIVE POLISHING PADS AND METHODS OF MANUFACTURE

Publications (1)

Publication Number Publication Date
CN110997236A true CN110997236A (zh) 2020-04-10

Family

ID=65040320

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880051442.5A Pending CN110997236A (zh) 2017-07-26 2018-07-24 整合研磨抛光垫及制造方法

Country Status (5)

Country Link
US (2) US11471999B2 (zh)
KR (1) KR102539021B1 (zh)
CN (1) CN110997236A (zh)
TW (1) TWI806884B (zh)
WO (1) WO2019023221A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
CN113103145B (zh) 2015-10-30 2023-04-11 应用材料公司 形成具有期望ζ电位的抛光制品的设备与方法
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
KR101823083B1 (ko) * 2016-09-07 2018-01-30 주식회사 케이씨텍 표면개질된 콜로이달 세리아 연마입자, 그의 제조방법 및 그를 포함하는 연마 슬러리 조성물
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
WO2021034849A1 (en) 2019-08-21 2021-02-25 Applied Materials, Inc. Additive manufacturing of polishing pads
US11813712B2 (en) 2019-12-20 2023-11-14 Applied Materials, Inc. Polishing pads having selectively arranged porosity
US11833638B2 (en) * 2020-03-25 2023-12-05 Rohm and Haas Electronic Materials Holding, Inc. CMP polishing pad with polishing elements on supports
US11738517B2 (en) 2020-06-18 2023-08-29 Applied Materials, Inc. Multi dispense head alignment using image processing
US11806829B2 (en) 2020-06-19 2023-11-07 Applied Materials, Inc. Advanced polishing pads and related polishing pad manufacturing methods
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
US11951590B2 (en) 2021-06-14 2024-04-09 Applied Materials, Inc. Polishing pads with interconnected pores
US20230364735A1 (en) * 2022-05-13 2023-11-16 Applied Materials, Inc. Polishing pads with improved planarization efficiency

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1494986A (zh) * 2002-09-17 2004-05-12 韩国珀利尔有限公司 一体型研磨垫及其制造方法
US20060024434A1 (en) * 2004-07-29 2006-02-02 Hongyu Wang Manufacturing of polymer-coated particles for chemical mechanical polishing
CN1809620A (zh) * 2003-04-21 2006-07-26 卡伯特微电子公司 用于cmp的涂覆金属氧化物颗粒
US20150174826A1 (en) * 2013-12-20 2015-06-25 Applied Materials, Inc. Printed chemical mechanical polishing pad having controlled porosity
US20160107381A1 (en) * 2014-10-17 2016-04-21 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
CN105706217A (zh) * 2013-11-04 2016-06-22 应用材料公司 具有磨料在其中的打印的化学机械抛光垫
US20160257856A1 (en) * 2015-03-05 2016-09-08 Cabot Microelectronics Corporation Polishing composition containing ceria abrasive
US20160347002A1 (en) * 2012-04-25 2016-12-01 Applied Materials, Inc. Apparatus for printing a chemical mechanical polishing pad

Family Cites Families (654)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001911A (en) 1932-04-21 1935-05-21 Carborundum Co Abrasive articles
US3083820A (en) 1960-10-04 1963-04-02 John R Roberts Cigarette package
US3357598A (en) 1965-09-21 1967-12-12 Dole Valve Co Adjustable liquid dispenser
US3741116A (en) 1970-06-25 1973-06-26 American Screen Process Equip Vacuum belt
US4459779A (en) 1982-09-16 1984-07-17 International Business Machines Corporation Fixed abrasive grinding media
US4575330A (en) 1984-08-08 1986-03-11 Uvp, Inc. Apparatus for production of three-dimensional objects by stereolithography
US4836832A (en) 1986-08-11 1989-06-06 Minnesota Mining And Manufacturing Company Method of preparing coated abrasive having radiation curable binder
US4841680A (en) 1987-08-25 1989-06-27 Rodel, Inc. Inverted cell pad material for grinding, lapping, shaping and polishing
US4942001A (en) 1988-03-02 1990-07-17 Inc. DeSoto Method of forming a three-dimensional object by stereolithography and composition therefore
DE3808951A1 (de) 1988-03-17 1989-10-05 Basf Ag Photopolymerisierbare, zur herstellung von druckformen geeignete druckplatte
US4844144A (en) 1988-08-08 1989-07-04 Desoto, Inc. Investment casting utilizing patterns produced by stereolithography
JPH07102724B2 (ja) 1988-08-31 1995-11-08 ジューキ株式会社 印字装置
US5121329A (en) 1989-10-30 1992-06-09 Stratasys, Inc. Apparatus and method for creating three-dimensional objects
US5387380A (en) 1989-12-08 1995-02-07 Massachusetts Institute Of Technology Three-dimensional printing techniques
DE3942859A1 (de) 1989-12-23 1991-07-04 Basf Ag Verfahren zur herstellung von bauteilen
US5626919A (en) 1990-03-01 1997-05-06 E. I. Du Pont De Nemours And Company Solid imaging apparatus and method with coating station
US5096530A (en) 1990-06-28 1992-03-17 3D Systems, Inc. Resin film recoating method and apparatus
JP2929779B2 (ja) 1991-02-15 1999-08-03 トヨタ自動車株式会社 炭素被膜付撥水ガラス
EP0520393B1 (en) 1991-06-25 1996-11-27 EASTMAN KODAK COMPANY (a New Jersey corporation) Photographic element containing stress absorbing protective layer
US5212910A (en) 1991-07-09 1993-05-25 Intel Corporation Composite polishing pad for semiconductor process
US5193316A (en) 1991-10-29 1993-03-16 Texas Instruments Incorporated Semiconductor wafer polishing using a hydrostatic medium
US5287663A (en) 1992-01-21 1994-02-22 National Semiconductor Corporation Polishing pad and method for polishing semiconductor wafers
US5178646A (en) 1992-01-22 1993-01-12 Minnesota Mining And Manufacturing Company Coatable thermally curable binder presursor solutions modified with a reactive diluent, abrasive articles incorporating same, and methods of making said abrasive articles
US6099394A (en) 1998-02-10 2000-08-08 Rodel Holdings, Inc. Polishing system having a multi-phase polishing substrate and methods relating thereto
MY114512A (en) 1992-08-19 2002-11-30 Rodel Inc Polymeric substrate with polymeric microelements
US6022264A (en) 1997-02-10 2000-02-08 Rodel Inc. Polishing pad and methods relating thereto
US6746225B1 (en) 1992-11-30 2004-06-08 Bechtel Bwtx Idaho, Llc Rapid solidification processing system for producing molds, dies and related tooling
ATE159883T1 (de) 1992-12-17 1997-11-15 Minnesota Mining & Mfg Aufschlaemmungen mit reduzierter viskositaet, daraus hergestellte schleifgegenstaende und verfahren zur herstellung der gegenstaende
JPH07297195A (ja) 1994-04-27 1995-11-10 Speedfam Co Ltd 半導体装置の平坦化方法及び平坦化装置
US5906863A (en) 1994-08-08 1999-05-25 Lombardi; John Methods for the preparation of reinforced three-dimensional bodies
JPH08132342A (ja) 1994-11-08 1996-05-28 Hitachi Ltd 半導体集積回路装置の製造装置
KR100258802B1 (ko) 1995-02-15 2000-06-15 전주범 평탄화 장치 및 그를 이용한 평탄화 방법
US6719818B1 (en) 1995-03-28 2004-04-13 Applied Materials, Inc. Apparatus and method for in-situ endpoint detection for chemical mechanical polishing operations
US5533923A (en) 1995-04-10 1996-07-09 Applied Materials, Inc. Chemical-mechanical polishing pad providing polishing unformity
US5645471A (en) 1995-08-11 1997-07-08 Minnesota Mining And Manufacturing Company Method of texturing a substrate using an abrasive article having multiple abrasive natures
US5605760A (en) 1995-08-21 1997-02-25 Rodel, Inc. Polishing pads
JPH0976353A (ja) 1995-09-12 1997-03-25 Toshiba Corp 光造形装置
JP3324643B2 (ja) 1995-10-25 2002-09-17 日本電気株式会社 研磨パッド
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5905099A (en) 1995-11-06 1999-05-18 Minnesota Mining And Manufacturing Company Heat-activatable adhesive composition
US5609517A (en) 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
JP3566430B2 (ja) 1995-12-20 2004-09-15 株式会社ルネサステクノロジ 半導体装置の製造方法
US5624303A (en) 1996-01-22 1997-04-29 Micron Technology, Inc. Polishing pad and a method for making a polishing pad with covalently bonded particles
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5778481A (en) 1996-02-15 1998-07-14 International Business Machines Corporation Silicon wafer cleaning and polishing pads
US5690540A (en) 1996-02-23 1997-11-25 Micron Technology, Inc. Spiral grooved polishing pad for chemical-mechanical planarization of semiconductor wafers
US6090475A (en) 1996-05-24 2000-07-18 Micron Technology Inc. Polishing pad, methods of manufacturing and use
JP3498881B2 (ja) 1996-05-27 2004-02-23 セントラル硝子株式会社 撥水性ガラスの製法
US5976000A (en) 1996-05-28 1999-11-02 Micron Technology, Inc. Polishing pad with incompressible, highly soluble particles for chemical-mechanical planarization of semiconductor wafers
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
GB2316414B (en) 1996-07-31 2000-10-11 Tosoh Corp Abrasive shaped article, abrasive disc and polishing method
US5795218A (en) 1996-09-30 1998-08-18 Micron Technology, Inc. Polishing pad with elongated microcolumns
US6244575B1 (en) 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5876490A (en) 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
KR100210840B1 (ko) 1996-12-24 1999-07-15 구본준 기계 화학적 연마 방법 및 그 장치
US5876268A (en) 1997-01-03 1999-03-02 Minnesota Mining And Manufacturing Company Method and article for the production of optical quality surfaces on glass
EP0984846B1 (en) 1997-01-13 2004-11-24 Rodel, Inc. Method of manufacturing a polymeric polishing pad having photolithographically induced surface pattern
US5965460A (en) 1997-01-29 1999-10-12 Mac Dermid, Incorporated Polyurethane composition with (meth)acrylate end groups useful in the manufacture of polishing pads
US5910471A (en) 1997-03-07 1999-06-08 Minnesota Mining And Manufacturing Company Abrasive article for providing a clear surface finish on glass
AU727191B2 (en) 1997-03-07 2000-12-07 Minnesota Mining And Manufacturing Company Abrasive article for providing a clear surface finish on glass
US6231629B1 (en) 1997-03-07 2001-05-15 3M Innovative Properties Company Abrasive article for providing a clear surface finish on glass
US5944583A (en) 1997-03-17 1999-08-31 International Business Machines Corporation Composite polish pad for CMP
US6682402B1 (en) 1997-04-04 2004-01-27 Rodel Holdings, Inc. Polishing pads and methods relating thereto
US6648733B2 (en) 1997-04-04 2003-11-18 Rodel Holdings, Inc. Polishing pads and methods relating thereto
US6062958A (en) 1997-04-04 2000-05-16 Micron Technology, Inc. Variable abrasive polishing pad for mechanical and chemical-mechanical planarization
US5940674A (en) 1997-04-09 1999-08-17 Massachusetts Institute Of Technology Three-dimensional product manufacture using masks
CN1258241A (zh) 1997-04-18 2000-06-28 卡伯特公司 用于半导体底物的抛光垫
US6126532A (en) 1997-04-18 2000-10-03 Cabot Corporation Polishing pads for a semiconductor substrate
US8092707B2 (en) * 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US5945058A (en) 1997-05-13 1999-08-31 3D Systems, Inc. Method and apparatus for identifying surface features associated with selected lamina of a three-dimensional object being stereolithographically formed
US5921855A (en) 1997-05-15 1999-07-13 Applied Materials, Inc. Polishing pad having a grooved pattern for use in a chemical mechanical polishing system
US6273806B1 (en) 1997-05-15 2001-08-14 Applied Materials, Inc. Polishing pad having a grooved pattern for use in a chemical mechanical polishing apparatus
US6692338B1 (en) 1997-07-23 2004-02-17 Lsi Logic Corporation Through-pad drainage of slurry during chemical mechanical polishing
US6736714B2 (en) 1997-07-30 2004-05-18 Praxair S.T. Technology, Inc. Polishing silicon wafers
US5919082A (en) 1997-08-22 1999-07-06 Micron Technology, Inc. Fixed abrasive polishing pad
US6121143A (en) 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
US5888121A (en) 1997-09-23 1999-03-30 Lsi Logic Corporation Controlling groove dimensions for enhanced slurry flow
US5932040A (en) 1997-10-01 1999-08-03 Bibielle S.P.A. Method for producing a ring of abrasive elements from which to form a rotary brush
US6950193B1 (en) 1997-10-28 2005-09-27 Rockwell Automation Technologies, Inc. System for monitoring substrate conditions
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6231942B1 (en) 1998-01-21 2001-05-15 Trexel, Inc. Method and apparatus for microcellular polypropylene extrusion, and polypropylene articles produced thereby
JPH11254542A (ja) 1998-03-11 1999-09-21 Sanyo Electric Co Ltd 光造形装置のモニタリングシステム
US6228133B1 (en) 1998-05-01 2001-05-08 3M Innovative Properties Company Abrasive articles having abrasive layer bond system derived from solid, dry-coated binder precursor particles having a fusible, radiation curable component
JPH11347761A (ja) 1998-06-12 1999-12-21 Mitsubishi Heavy Ind Ltd レーザによる3次元造形装置
US6122564A (en) 1998-06-30 2000-09-19 Koch; Justin Apparatus and methods for monitoring and controlling multi-layer laser cladding
US6322728B1 (en) 1998-07-10 2001-11-27 Jeneric/Pentron, Inc. Mass production of dental restorations by solid free-form fabrication methods
US6117000A (en) 1998-07-10 2000-09-12 Cabot Corporation Polishing pad for a semiconductor substrate
DE19834559A1 (de) 1998-07-31 2000-02-03 Friedrich Schiller Uni Jena Bu Verfahren zur Herstellung von Werkzeugen für die Bearbeitung von Oberflächen
JP2000061817A (ja) 1998-08-24 2000-02-29 Nikon Corp 研磨パッド
US6095902A (en) 1998-09-23 2000-08-01 Rodel Holdings, Inc. Polyether-polyester polyurethane polishing pads and related methods
US6602380B1 (en) 1998-10-28 2003-08-05 Micron Technology, Inc. Method and apparatus for releasably attaching a polishing pad to a chemical-mechanical planarization machine
US6325706B1 (en) 1998-10-29 2001-12-04 Lam Research Corporation Use of zeta potential during chemical mechanical polishing for end point detection
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6390890B1 (en) 1999-02-06 2002-05-21 Charles J Molnar Finishing semiconductor wafers with a fixed abrasive finishing element
JP3641956B2 (ja) 1998-11-30 2005-04-27 三菱住友シリコン株式会社 研磨スラリーの再生システム
US6206759B1 (en) 1998-11-30 2001-03-27 Micron Technology, Inc. Polishing pads and planarizing machines for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies, and methods for making and using such pads and machines
US7425250B2 (en) 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
CN1137013C (zh) 1999-01-21 2004-02-04 罗德尔控股公司 改进的抛光垫及其抛光方法
US6994607B2 (en) 2001-12-28 2006-02-07 Applied Materials, Inc. Polishing pad with window
US6179709B1 (en) 1999-02-04 2001-01-30 Applied Materials, Inc. In-situ monitoring of linear substrate polishing operations
US6641463B1 (en) 1999-02-06 2003-11-04 Beaver Creek Concepts Inc Finishing components and elements
CN1312742C (zh) 1999-03-30 2007-04-25 株式会社尼康 抛光垫、抛光机及制造半导体器件的方法
US6217426B1 (en) 1999-04-06 2001-04-17 Applied Materials, Inc. CMP polishing pad
JP2000301450A (ja) 1999-04-19 2000-10-31 Rohm Co Ltd Cmp研磨パッドおよびそれを用いたcmp処理装置
US6213845B1 (en) 1999-04-26 2001-04-10 Micron Technology, Inc. Apparatus for in-situ optical endpointing on web-format planarizing machines in mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies and methods for making and using same
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6328634B1 (en) 1999-05-11 2001-12-11 Rodel Holdings Inc. Method of polishing
US6196899B1 (en) 1999-06-21 2001-03-06 Micron Technology, Inc. Polishing apparatus
JP2001018163A (ja) 1999-07-06 2001-01-23 Speedfam Co Ltd 研磨用パッド
US6319108B1 (en) 1999-07-09 2001-11-20 3M Innovative Properties Company Metal bond abrasive article comprising porous ceramic abrasive composites and method of using same to abrade a workpiece
JP2001105329A (ja) 1999-08-02 2001-04-17 Ebara Corp 研磨用砥石
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6328632B1 (en) 1999-08-31 2001-12-11 Micron Technology, Inc. Polishing pads and planarizing machines for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6257973B1 (en) 1999-11-04 2001-07-10 Norton Company Coated abrasive discs
US6399501B2 (en) 1999-12-13 2002-06-04 Applied Materials, Inc. Method and apparatus for detecting polishing endpoint with optical monitoring
WO2001043920A1 (en) 1999-12-14 2001-06-21 Rodel Holdings, Inc. Method of manufacturing a polymer or polymer composite polishing pad
US6773475B2 (en) * 1999-12-21 2004-08-10 3M Innovative Properties Company Abrasive material having abrasive layer of three-dimensional structure
US6368184B1 (en) 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US6241596B1 (en) 2000-01-14 2001-06-05 Applied Materials, Inc. Method and apparatus for chemical mechanical polishing using a patterned pad
US6506097B1 (en) 2000-01-18 2003-01-14 Applied Materials, Inc. Optical monitoring in a two-step chemical mechanical polishing process
WO2001053040A1 (en) 2000-01-19 2001-07-26 Rodel Holdings, Inc. Printing of polishing pads
US7071041B2 (en) 2000-01-20 2006-07-04 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6746311B1 (en) 2000-01-24 2004-06-08 3M Innovative Properties Company Polishing pad with release layer
US6309276B1 (en) 2000-02-01 2001-10-30 Applied Materials, Inc. Endpoint monitoring with polishing rate change
US6991528B2 (en) 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20010046834A1 (en) 2000-02-28 2001-11-29 Anuradha Ramana Pad surface texture formed by solid phase droplets
KR100502268B1 (ko) 2000-03-01 2005-07-22 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 방법
US6797623B2 (en) 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US7300619B2 (en) 2000-03-13 2007-11-27 Objet Geometries Ltd. Compositions and methods for use in three dimensional model printing
US6569373B2 (en) 2000-03-13 2003-05-27 Object Geometries Ltd. Compositions and methods for use in three dimensional model printing
US20030207959A1 (en) 2000-03-13 2003-11-06 Eduardo Napadensky Compositions and methods for use in three dimensional model printing
US8481241B2 (en) 2000-03-13 2013-07-09 Stratasys Ltd. Compositions and methods for use in three dimensional model printing
US6860793B2 (en) 2000-03-15 2005-03-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Window portion with an adjusted rate of wear
DE60014714T2 (de) 2000-03-24 2006-03-02 Voxeljet Technology Gmbh Verfahren zum Herstellen eines Bauteils in Ablagerunstechnik
KR20010093677A (ko) 2000-03-29 2001-10-29 추후기재 향상된 슬러리 분배를 위하여 특수 설계된 연마 패드
US6313038B1 (en) 2000-04-26 2001-11-06 Micron Technology, Inc. Method and apparatus for controlling chemical interactions during planarization of microelectronic substrates
WO2001083167A1 (en) 2000-05-03 2001-11-08 Rodel Holdings, Inc. Polishing pad with a seam which is reinforced with caulking material
US6387289B1 (en) 2000-05-04 2002-05-14 Micron Technology, Inc. Planarizing machines and methods for mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6267641B1 (en) 2000-05-19 2001-07-31 Motorola, Inc. Method of manufacturing a semiconductor component and chemical-mechanical polishing system therefor
US8485862B2 (en) 2000-05-19 2013-07-16 Applied Materials, Inc. Polishing pad for endpoint detection and related methods
US6736709B1 (en) 2000-05-27 2004-05-18 Rodel Holdings, Inc. Grooved polishing pads for chemical mechanical planarization
US6749485B1 (en) 2000-05-27 2004-06-15 Rodel Holdings, Inc. Hydrolytically stable grooved polishing pads for chemical mechanical planarization
US6860802B1 (en) 2000-05-27 2005-03-01 Rohm And Haas Electric Materials Cmp Holdings, Inc. Polishing pads for chemical mechanical planarization
US6454634B1 (en) 2000-05-27 2002-09-24 Rodel Holdings Inc. Polishing pads for chemical mechanical planarization
JP3925041B2 (ja) 2000-05-31 2007-06-06 Jsr株式会社 研磨パッド用組成物及びこれを用いた研磨パッド
WO2001091975A1 (fr) 2000-05-31 2001-12-06 Jsr Corporation Materiau abrasif
US6478914B1 (en) 2000-06-09 2002-11-12 Micron Technology, Inc. Method for attaching web-based polishing materials together on a polishing tool
US6656019B1 (en) 2000-06-29 2003-12-02 International Business Machines Corporation Grooved polishing pads and methods of use
JP2002028849A (ja) 2000-07-17 2002-01-29 Jsr Corp 研磨パッド
US20020016139A1 (en) 2000-07-25 2002-02-07 Kazuto Hirokawa Polishing tool and manufacturing method therefor
US6520834B1 (en) 2000-08-09 2003-02-18 Micron Technology, Inc. Methods and apparatuses for analyzing and controlling performance parameters in mechanical and chemical-mechanical planarization of microelectronic substrates
US6776699B2 (en) 2000-08-14 2004-08-17 3M Innovative Properties Company Abrasive pad for CMP
US6736869B1 (en) 2000-08-28 2004-05-18 Micron Technology, Inc. Method for forming a planarizing pad for planarization of microelectronic substrates
US6592443B1 (en) 2000-08-30 2003-07-15 Micron Technology, Inc. Method and apparatus for forming and using planarizing pads for mechanical and chemical-mechanical planarization of microelectronic substrates
US6638831B1 (en) 2000-08-31 2003-10-28 Micron Technology, Inc. Use of a reference fiducial on a semiconductor package to monitor and control a singulation method
JP3886712B2 (ja) 2000-09-08 2007-02-28 シャープ株式会社 半導体装置の製造方法
US6477926B1 (en) 2000-09-15 2002-11-12 Ppg Industries Ohio, Inc. Polishing pad
US6641471B1 (en) 2000-09-19 2003-11-04 Rodel Holdings, Inc Polishing pad having an advantageous micro-texture and methods relating thereto
DE60143948D1 (de) 2000-09-29 2011-03-10 Strasbaugh Inc Polierkissen mit eingebautem optischem sensor
MXPA03003997A (es) 2000-11-09 2004-02-12 3M Innovative Properties Co Composiciones fluidas de tinta, eyectables, curables por radiacion, resistentes a la intemperie, particularmente adecuadas para aplicaciones exteriores.
JP2002151447A (ja) 2000-11-13 2002-05-24 Asahi Kasei Corp 研磨パッド
US6684704B1 (en) 2002-09-12 2004-02-03 Psiloquest, Inc. Measuring the surface properties of polishing pads using ultrasonic reflectance
KR100905266B1 (ko) 2000-12-01 2009-06-29 도요 고무 고교 가부시키가이샤 연마 패드
JP2002200555A (ja) 2000-12-28 2002-07-16 Ebara Corp 研磨工具および該研磨工具を具備したポリッシング装置
US6407669B1 (en) 2001-02-02 2002-06-18 3M Innovative Properties Company RFID tag device and method of manufacturing
GB0103754D0 (en) 2001-02-15 2001-04-04 Vantico Ltd Three-dimensional structured printing
US20020112632A1 (en) 2001-02-21 2002-08-22 Creo Ltd Method for supporting sensitive workpieces during processing
WO2002070200A1 (en) 2001-03-01 2002-09-12 Cabot Microelectronics Corporation Method for manufacturing a polishing pad having a compressed translucent region
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7955693B2 (en) 2001-04-20 2011-06-07 Tolland Development Company, Llc Foam composition roller brush with embedded mandrel
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6811937B2 (en) 2001-06-21 2004-11-02 Dsm Desotech, Inc. Radiation-curable resin composition and rapid prototyping process using the same
US6544373B2 (en) 2001-07-26 2003-04-08 United Microelectronics Corp. Polishing pad for a chemical mechanical polishing process
US6586494B2 (en) 2001-08-08 2003-07-01 Spectra Group Limited, Inc. Radiation curable inkjet composition
KR100646702B1 (ko) 2001-08-16 2006-11-17 에스케이씨 주식회사 홀 및/또는 그루브로 형성된 화학적 기계적 연마패드
KR20030020658A (ko) 2001-09-04 2003-03-10 삼성전자주식회사 화학적물리적 연마장치의 연마패드 콘디셔닝 디스크
US6866807B2 (en) 2001-09-21 2005-03-15 Stratasys, Inc. High-precision modeling filament
WO2003035576A1 (fr) * 2001-10-21 2003-05-01 National Institute Of Advanced Industrial Science And Technology Article poreux de phosphate de calcium fritte, procede de production de celui-ci, ainsi qu'os artificiel et echafaudae histomorphologique faisant appel a cet article
NO315188B1 (no) 2001-11-07 2003-07-28 Consept As Dråpefangersyklon
TWI222390B (en) 2001-11-13 2004-10-21 Toyo Boseki Polishing pad and its production method
JP4077192B2 (ja) 2001-11-30 2008-04-16 株式会社東芝 化学機械研磨方法および半導体装置の製造方法
US6599765B1 (en) 2001-12-12 2003-07-29 Lam Research Corporation Apparatus and method for providing a signal port in a polishing pad for optical endpoint detection
US6838149B2 (en) 2001-12-13 2005-01-04 3M Innovative Properties Company Abrasive article for the deposition and polishing of a conductive material
JP2003188124A (ja) 2001-12-14 2003-07-04 Rodel Nitta Co 研磨布
EP1326273B1 (en) 2001-12-28 2012-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US20030134581A1 (en) 2002-01-11 2003-07-17 Wang Hsing Maw Device for chemical mechanical polishing
KR100442873B1 (ko) 2002-02-28 2004-08-02 삼성전자주식회사 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법
JP2003303793A (ja) 2002-04-12 2003-10-24 Hitachi Ltd 研磨装置および半導体装置の製造方法
US6773474B2 (en) 2002-04-19 2004-08-10 3M Innovative Properties Company Coated abrasive article
JP4693024B2 (ja) 2002-04-26 2011-06-01 東洋ゴム工業株式会社 研磨材
US6815570B1 (en) 2002-05-07 2004-11-09 Uop Llc Shaped catalysts for transalkylation of aromatics for enhanced xylenes production
US20050194681A1 (en) 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US20050276967A1 (en) 2002-05-23 2005-12-15 Cabot Microelectronics Corporation Surface textured microporous polishing pads
US6913517B2 (en) 2002-05-23 2005-07-05 Cabot Microelectronics Corporation Microporous polishing pads
DE60308946T2 (de) 2002-06-03 2007-05-10 Jsr Corp. Polierkissen und Verfahren zur Herstellung eines Polierkissens
DE10224981B4 (de) 2002-06-05 2004-08-19 Generis Gmbh Verfahren zum schichtweisen Aufbau von Modellen
CN100445091C (zh) 2002-06-07 2008-12-24 普莱克斯S.T.技术有限公司 控制渗透子垫
JP3801100B2 (ja) 2002-06-07 2006-07-26 Jsr株式会社 光硬化造形装置、光硬化造形方法及び光硬化造形システム
US8602851B2 (en) 2003-06-09 2013-12-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Controlled penetration subpad
EP1375617A1 (en) 2002-06-19 2004-01-02 3M Innovative Properties Company Radiation-curable, solvent-free and printable precursor of a pressure-sensitive adhesive
US7169014B2 (en) 2002-07-18 2007-01-30 Micron Technology, Inc. Apparatuses for controlling the temperature of polishing pads used in planarizing micro-device workpieces
KR101016081B1 (ko) 2002-07-26 2011-02-17 닛토덴코 가부시키가이샤 점착 시트와 그의 제조방법, 상기 점착 시트의 사용방법,및 상기 점착 시트에 사용되는 다층 시트와 그의 제조방법
TWI228768B (en) 2002-08-08 2005-03-01 Jsr Corp Processing method of polishing pad for semiconductor wafer and polishing pad for semiconductor wafer
US7579071B2 (en) 2002-09-17 2009-08-25 Korea Polyol Co., Ltd. Polishing pad containing embedded liquid microelements and method of manufacturing the same
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US20040058623A1 (en) 2002-09-20 2004-03-25 Lam Research Corporation Polishing media for chemical mechanical planarization (CMP)
US7435165B2 (en) 2002-10-28 2008-10-14 Cabot Microelectronics Corporation Transparent microporous materials for CMP
US7311862B2 (en) 2002-10-28 2007-12-25 Cabot Microelectronics Corporation Method for manufacturing microporous CMP materials having controlled pore size
US7267607B2 (en) 2002-10-28 2007-09-11 Cabot Microelectronics Corporation Transparent microporous materials for CMP
CA2504368C (en) 2002-10-31 2012-07-10 Ehsan Toyserkani System and method for closed-loop control of laser cladding by powder injection
JP2004153193A (ja) 2002-11-01 2004-05-27 Disco Abrasive Syst Ltd 半導体ウエーハの処理方法
DE10253445A1 (de) 2002-11-16 2004-06-03 Adam Opel Ag Verfahren und Vorrichtung zum Abdichten und Aufpumpen von Reifen bei Pannen sowie Dichtmittelbehälter als auch Adapter hierfür
US8845852B2 (en) 2002-11-27 2014-09-30 Toyo Tire & Rubber Co., Ltd. Polishing pad and method of producing semiconductor device
JP2004235446A (ja) 2003-01-30 2004-08-19 Toyobo Co Ltd 研磨パッド
JP4659338B2 (ja) 2003-02-12 2011-03-30 Hoya株式会社 情報記録媒体用ガラス基板の製造方法並びにそれに使用する研磨パッド
US7498394B2 (en) 2003-02-24 2009-03-03 The Regents Of The University Of Colorado (Meth)acrylic and (meth)acrylamide monomers, polymerizable compositions, and polymers obtained
US7104773B2 (en) 2003-03-07 2006-09-12 Ricoh Printing Systems, Ltd. Three-dimensional laminating molding device
DE10310385B4 (de) 2003-03-07 2006-09-21 Daimlerchrysler Ag Verfahren zur Herstellung von dreidimensionalen Körpern mittels pulverbasierter schichtaufbauender Verfahren
TW200530378A (en) 2003-03-11 2005-09-16 Toyo Tire & Rubber Co Polishing pad and semiconductor device manufacturing method
JP2004281685A (ja) 2003-03-14 2004-10-07 Mitsubishi Electric Corp 半導体基板の研磨用パッドおよび半導体基板の研磨方法
US7377840B2 (en) 2004-07-21 2008-05-27 Neopad Technologies Corporation Methods for producing in-situ grooves in chemical mechanical planarization (CMP) pads, and novel CMP pad designs
US20060189269A1 (en) 2005-02-18 2006-08-24 Roy Pradip K Customized polishing pads for CMP and methods of fabrication and use thereof
US7704125B2 (en) 2003-03-24 2010-04-27 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
SG153668A1 (en) 2003-03-25 2009-07-29 Neopad Technologies Corp Customized polish pads for chemical mechanical planarization
US9278424B2 (en) 2003-03-25 2016-03-08 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
US8864859B2 (en) 2003-03-25 2014-10-21 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
EP1470893B1 (en) 2003-04-25 2006-06-21 JSR Corporation Polishing pad and chemical mechanical polishing method
US6783436B1 (en) 2003-04-29 2004-08-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with optimized grooves and method of forming same
KR20060015723A (ko) 2003-05-09 2006-02-20 산요가세이고교 가부시키가이샤 씨엠피 프로세스용 연마액 및 연마방법
ES2376237T3 (es) 2003-05-21 2012-03-12 Z Corporation Sistema de material en polvo termopl�?stico para modelos de apariencia a partir de sistemas de impresión en 3d.
IL156094A0 (en) 2003-05-25 2003-12-23 J G Systems Inc Fixed abrasive cmp pad with built-in additives
US6998166B2 (en) 2003-06-17 2006-02-14 Cabot Microelectronics Corporation Polishing pad with oriented pore structure
US7435161B2 (en) 2003-06-17 2008-10-14 Cabot Microelectronics Corporation Multi-layer polishing pad material for CMP
JP4130614B2 (ja) 2003-06-18 2008-08-06 株式会社東芝 半導体装置の製造方法
US20050001712A1 (en) 2003-07-03 2005-01-06 Yarbrough Craig D. RF ID tag
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US20050032464A1 (en) 2003-08-07 2005-02-10 Swisher Robert G. Polishing pad having edge surface treatment
CN1863645B (zh) 2003-08-08 2011-11-30 安格斯公司 用于制作浇注在可旋转基体上的整体式多孔垫的方法和材料
US7120512B2 (en) 2003-08-25 2006-10-10 Hewlett-Packard Development Company, L.P. Method and a system for solid freeform fabricating using non-reactive powder
US20070007698A1 (en) 2003-08-27 2007-01-11 Shojiro Sano Method of producting three-dimensional model
KR100590202B1 (ko) 2003-08-29 2006-06-15 삼성전자주식회사 연마 패드 및 그 형성방법
JP2005074614A (ja) 2003-09-03 2005-03-24 Nitta Haas Inc 研磨パッドの製造方法および研磨パッド
JP2005085917A (ja) 2003-09-08 2005-03-31 Sharp Corp プラズマプロセス装置
JP2005093785A (ja) 2003-09-18 2005-04-07 Toshiba Corp Cmp用スラリー、研磨方法、および半導体装置の製造方法
KR100640998B1 (ko) 2003-09-19 2006-11-02 엘지.필립스 엘시디 주식회사 액정표시장치용 브라켓 구조
GB0323462D0 (en) 2003-10-07 2003-11-05 Fujifilm Electronic Imaging Providing a surface layer or structure on a substrate
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US20050109371A1 (en) 2003-10-27 2005-05-26 Applied Materials, Inc. Post CMP scrubbing of substrates
JP2005131732A (ja) 2003-10-30 2005-05-26 Ebara Corp 研磨装置
JP4764825B2 (ja) 2003-10-31 2011-09-07 アプライド マテリアルズ インコーポレイテッド 研磨終点検知システム及び摩擦センサを使用する方法
US20050101228A1 (en) 2003-11-10 2005-05-12 Cabot Microelectronics Corporation Polishing pad comprising biodegradable polymer
US7264641B2 (en) 2003-11-10 2007-09-04 Cabot Microelectronics Corporation Polishing pad comprising biodegradable polymer
JP2005150235A (ja) 2003-11-12 2005-06-09 Three M Innovative Properties Co 半導体表面保護シート及び方法
US7125318B2 (en) 2003-11-13 2006-10-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad having a groove arrangement for reducing slurry consumption
US6984163B2 (en) 2003-11-25 2006-01-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with high optical transmission window
JP4555559B2 (ja) 2003-11-25 2010-10-06 富士紡ホールディングス株式会社 研磨布及び研磨布の製造方法
KR100576465B1 (ko) 2003-12-01 2006-05-08 주식회사 하이닉스반도체 연마입자 함침 조성물을 이용한 연마 패드
US7186164B2 (en) 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US6843711B1 (en) 2003-12-11 2005-01-18 Rohm And Haas Electronic Materials Cmp Holdings, Inc Chemical mechanical polishing pad having a process-dependent groove configuration
US20050153634A1 (en) 2004-01-09 2005-07-14 Cabot Microelectronics Corporation Negative poisson's ratio material-containing CMP polishing pad
US20050171224A1 (en) 2004-02-03 2005-08-04 Kulp Mary J. Polyurethane polishing pad
US7132033B2 (en) 2004-02-27 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of forming a layered polishing pad
US20050208234A1 (en) 2004-03-19 2005-09-22 Agfa-Gevaert Ink-jet recording material
US7195544B2 (en) 2004-03-23 2007-03-27 Cabot Microelectronics Corporation CMP porous pad with component-filled pores
US7204742B2 (en) 2004-03-25 2007-04-17 Cabot Microelectronics Corporation Polishing pad comprising hydrophobic region and endpoint detection port
US6955588B1 (en) 2004-03-31 2005-10-18 Lam Research Corporation Method of and platen for controlling removal rate characteristics in chemical mechanical planarization
JP2005294661A (ja) 2004-04-02 2005-10-20 Hitachi Chem Co Ltd 研磨パッド及びそれを用いる研磨方法
JP2004243518A (ja) 2004-04-08 2004-09-02 Toshiba Corp 研摩装置
US20050227590A1 (en) 2004-04-09 2005-10-13 Chien-Min Sung Fixed abrasive tools and associated methods
TWI293266B (en) 2004-05-05 2008-02-11 Iv Technologies Co Ltd A single-layer polishing pad and a method of producing the same
EP1763703A4 (en) 2004-05-12 2010-12-08 Massachusetts Inst Technology MANUFACTURING METHOD, SUCH AS A THREE DIMENSIONAL PRINTING, INCLUDING FORMATION OF FILMS USING SOLVENT VAPOR AND THE LIKE
US20050260939A1 (en) 2004-05-18 2005-11-24 Saint-Gobain Abrasives, Inc. Brazed diamond dressing tool
US7926521B2 (en) 2004-05-20 2011-04-19 Bridgestone Corporation Sealing agent injecting apparatus, sealing agent injecting method and sealing pump up apparatus
US20050261150A1 (en) 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US7438795B2 (en) 2004-06-10 2008-10-21 Cabot Microelectronics Corp. Electrochemical-mechanical polishing system
US7252871B2 (en) 2004-06-16 2007-08-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad having a pressure relief channel
US7582127B2 (en) 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
EP1758711B1 (en) 2004-06-21 2013-08-07 Ebara Corporation Polishing apparatus and polishing method
JP4133945B2 (ja) 2004-06-28 2008-08-13 住友ゴム工業株式会社 タイヤのパンクシーリング剤送給、抜取り装置
WO2006003697A1 (ja) 2004-06-30 2006-01-12 Toho Engineering Kabushiki Kaisha 研磨パッドおよびその製造方法
TW200610615A (en) 2004-07-15 2006-04-01 Disco Corp Grindstone tool
US7939003B2 (en) 2004-08-11 2011-05-10 Cornell Research Foundation, Inc. Modular fabrication systems and methods
US7153191B2 (en) 2004-08-20 2006-12-26 Micron Technology, Inc. Polishing liquids for activating and/or conditioning fixed abrasive polishing pads, and associated systems and methods
US8075372B2 (en) 2004-09-01 2011-12-13 Cabot Microelectronics Corporation Polishing pad with microporous regions
DE102004042911A1 (de) 2004-09-02 2006-03-09 Michael Stehle Vorrichtung zum Ausbringen von Luft- und/oder Reifendichtmittel
US20060079159A1 (en) 2004-10-08 2006-04-13 Markus Naujok Chemical mechanical polish with multi-zone abrasive-containing matrix
US20060096179A1 (en) 2004-11-05 2006-05-11 Cabot Microelectronics Corporation CMP composition containing surface-modified abrasive particles
US7846008B2 (en) 2004-11-29 2010-12-07 Semiquest Inc. Method and apparatus for improved chemical mechanical planarization and CMP pad
US7530880B2 (en) 2004-11-29 2009-05-12 Semiquest Inc. Method and apparatus for improved chemical mechanical planarization pad with pressure control and process monitor
WO2006057713A2 (en) 2004-11-29 2006-06-01 Rajeev Bajaj Electro-method and apparatus for improved chemical mechanical planarization pad with uniform polish performance
US7815778B2 (en) 2005-11-23 2010-10-19 Semiquest Inc. Electro-chemical mechanical planarization pad with uniform polish performance
WO2006062158A1 (ja) 2004-12-10 2006-06-15 Toyo Tire & Rubber Co., Ltd. 研磨パッド及び研磨パッドの製造方法
US7059950B1 (en) 2004-12-14 2006-06-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. CMP polishing pad having grooves arranged to improve polishing medium utilization
US7059949B1 (en) 2004-12-14 2006-06-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. CMP pad having an overlapping stepped groove arrangement
US7182677B2 (en) 2005-01-14 2007-02-27 Applied Materials, Inc. Chemical mechanical polishing pad for controlling polishing slurry distribution
TWI385050B (zh) 2005-02-18 2013-02-11 Nexplanar Corp 用於cmp之特製拋光墊及其製造方法及其用途
US7875091B2 (en) 2005-02-22 2011-01-25 Saint-Gobain Abrasives, Inc. Rapid tooling system and methods for manufacturing abrasive articles
US7524345B2 (en) 2005-02-22 2009-04-28 Saint-Gobain Abrasives, Inc. Rapid tooling system and methods for manufacturing abrasive articles
JP2006231464A (ja) 2005-02-24 2006-09-07 Nitta Haas Inc 研磨パッド
US7829000B2 (en) 2005-02-25 2010-11-09 Hewlett-Packard Development Company, L.P. Core-shell solid freeform fabrication
TWI410314B (zh) 2005-04-06 2013-10-01 羅門哈斯電子材料Cmp控股公司 藉由反應-射出成形製造多孔化學機械研磨墊之裝置
EP1710324B1 (en) 2005-04-08 2008-12-03 STMicroelectronics S.r.l. PVD process and chamber for the pulsed deposition of a chalcogenide material layer of a phase change memory device
US7427340B2 (en) 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7435364B2 (en) 2005-04-11 2008-10-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for forming a porous polishing pad
JP2006305650A (ja) 2005-04-26 2006-11-09 Inoac Corp 研磨用吸着パッド及びその製造方法
US8398466B2 (en) 2006-11-16 2013-03-19 Chien-Min Sung CMP pad conditioners with mosaic abrasive segments and associated methods
US8393934B2 (en) 2006-11-16 2013-03-12 Chien-Min Sung CMP pad dressers with hybridized abrasive surface and related methods
KR100949560B1 (ko) 2005-05-17 2010-03-25 도요 고무 고교 가부시키가이샤 연마 패드
KR100721196B1 (ko) 2005-05-24 2007-05-23 주식회사 하이닉스반도체 연마패드 및 이를 이용한 화학적기계적연마장치
JP2007005612A (ja) 2005-06-24 2007-01-11 Hitachi Chem Co Ltd 研磨パッド及びその製造方法及び基板の研磨方法
CN1897226A (zh) 2005-07-11 2007-01-17 上海华虹Nec电子有限公司 一种化学机械抛光机
JP4512529B2 (ja) 2005-07-15 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
KR100727485B1 (ko) 2005-08-09 2007-06-13 삼성전자주식회사 연마 패드 및 이를 제조하는 방법, 그리고 화학적 기계적 연마 장치 및 방법
US20070117393A1 (en) 2005-11-21 2007-05-24 Alexander Tregub Hardened porous polymer chemical mechanical polishing (CMP) pad
JP4868840B2 (ja) 2005-11-30 2012-02-01 Jsr株式会社 半導体装置の製造方法
CN1851896A (zh) 2005-12-05 2006-10-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种静电卡盘
KR100761847B1 (ko) 2005-12-07 2007-09-28 삼성전자주식회사 연마 입자가 내재된 연마 패드, 이의 제조 방법, 및 이를포함하는 화학적 기계적 연마 장치
US20070128991A1 (en) 2005-12-07 2007-06-07 Yoon Il-Young Fixed abrasive polishing pad, method of preparing the same, and chemical mechanical polishing apparatus including the same
KR20070070094A (ko) 2005-12-28 2007-07-03 제이에스알 가부시끼가이샤 화학 기계 연마 패드 및 화학 기계 연마 방법
US20070149094A1 (en) 2005-12-28 2007-06-28 Choi Jae Y Monitoring Device of Chemical Mechanical Polishing Apparatus
CN101375374A (zh) 2006-01-25 2009-02-25 Jsr株式会社 化学机械研磨垫及其制造方法
US7935276B2 (en) 2006-02-09 2011-05-03 Headwaters Technology Innovation Llc Polymeric materials incorporating carbon nanostructures
US20090017318A1 (en) 2006-02-23 2009-01-15 Picodeon Ltd Oy Coating on a metal substrate and a coated metal product
JP2007235001A (ja) 2006-03-03 2007-09-13 Mitsui Chemicals Inc 研磨用スラリー
US20070204420A1 (en) 2006-03-06 2007-09-06 Hornby David M Polishing pad and method of making
US7517488B2 (en) 2006-03-08 2009-04-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of forming a chemical mechanical polishing pad utilizing laser sintering
US20070212979A1 (en) 2006-03-09 2007-09-13 Rimpad Tech Ltd. Composite polishing pad
WO2007111996A2 (en) 2006-03-24 2007-10-04 Clemson University Conducting polymer ink
US20070235133A1 (en) 2006-03-29 2007-10-11 Strasbaugh Devices and methods for measuring wafer characteristics during semiconductor wafer polishing
US20070235904A1 (en) 2006-04-06 2007-10-11 Saikin Alan H Method of forming a chemical mechanical polishing pad utilizing laser sintering
FR2900411B1 (fr) 2006-04-27 2008-08-29 Coatex Sas Procede de traitement de matieres minerales par des polymeres amphoteres,matieres minerales obtenues,leur utilisation comme agent reducteur de la quantite de colloides dans la fabrication de papier.
US7445847B2 (en) 2006-05-25 2008-11-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
US7169030B1 (en) 2006-05-25 2007-01-30 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
EP2032345B1 (en) 2006-06-20 2010-05-05 Katholieke Universiteit Leuven Procedure and apparatus for in-situ monitoring and feedback control of selective laser powder processing
US7840305B2 (en) 2006-06-28 2010-11-23 3M Innovative Properties Company Abrasive articles, CMP monitoring system and method
US20080220702A1 (en) 2006-07-03 2008-09-11 Sang Fang Chemical Industry Co., Ltd. Polishing pad having surface texture
JP5186738B2 (ja) 2006-07-10 2013-04-24 富士通セミコンダクター株式会社 研磨パッドの製造方法及び被研磨体の研磨方法
TWI409136B (zh) 2006-07-19 2013-09-21 Innopad Inc 表面具微溝槽之化學機械平坦化墊
KR100804275B1 (ko) 2006-07-24 2008-02-18 에스케이씨 주식회사 고분자 쉘로 둘러싸인 액상 유기물 코어를 포함하는 cmp연마패드 및 그 제조방법
US7267610B1 (en) 2006-08-30 2007-09-11 Rohm And Haas Electronic Materials Cmp Holdings, Inc. CMP pad having unevenly spaced grooves
US7300340B1 (en) 2006-08-30 2007-11-27 Rohm and Haas Electronics Materials CMP Holdings, Inc. CMP pad having overlaid constant area spiral grooves
KR101391029B1 (ko) 2006-09-06 2014-04-30 니타 하스 인코포레이티드 연마 패드
JP2008084504A (ja) 2006-09-29 2008-04-10 Hitachi Ltd 光ディスク装置および光ディスクの再生方法
US7382959B1 (en) 2006-10-13 2008-06-03 Hrl Laboratories, Llc Optically oriented three-dimensional polymer microstructures
KR100842486B1 (ko) 2006-10-30 2008-07-01 동부일렉트로닉스 주식회사 Cmp 장비의 폴리싱패드와 이의 제조장치
US7234224B1 (en) 2006-11-03 2007-06-26 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Curved grooving of polishing pads
US7648645B2 (en) 2006-11-08 2010-01-19 3M Innovative Properties Company Pre-polymer formulations for liquid crystal displays
CN101199994A (zh) 2006-12-15 2008-06-18 湖南大学 智能化激光熔覆成型金属零件
US7371160B1 (en) 2006-12-21 2008-05-13 Rohm And Haas Electronic Materials Cmp Holdings Inc. Elastomer-modified chemical mechanical polishing pad
US7438636B2 (en) 2006-12-21 2008-10-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
US8142860B2 (en) 2006-12-21 2012-03-27 Agfa Graphics Nv 3D-inkjet printing methods
US7497885B2 (en) 2006-12-22 2009-03-03 3M Innovative Properties Company Abrasive articles with nanoparticulate fillers and method for making and using them
US8083820B2 (en) 2006-12-22 2011-12-27 3M Innovative Properties Company Structured fixed abrasive articles including surface treated nano-ceria filler, and method for making and using the same
US7311590B1 (en) 2007-01-31 2007-12-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with grooves to retain slurry on the pad texture
US7520798B2 (en) 2007-01-31 2009-04-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with grooves to reduce slurry consumption
JP5204502B2 (ja) 2007-02-01 2013-06-05 株式会社クラレ 研磨パッド及び研磨パッドの製造方法
US8647179B2 (en) 2007-02-01 2014-02-11 Kuraray Co., Ltd. Polishing pad, and method for manufacturing polishing pad
CN103077978B (zh) 2007-02-16 2016-12-28 纳克公司 太阳能电池结构、光生伏打模块及对应的工艺
JP5021669B2 (ja) 2007-03-20 2012-09-12 株式会社クラレ 研磨パッド用クッションおよびそれを用いた研磨パッド
JP4798713B2 (ja) 2007-03-26 2011-10-19 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド 研磨パッドの製造方法
JP4954762B2 (ja) 2007-03-27 2012-06-20 東洋ゴム工業株式会社 ポリウレタン発泡体の製造方法
US9536711B2 (en) 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
US8784723B2 (en) 2007-04-01 2014-07-22 Stratasys Ltd. Method and system for three-dimensional fabrication
US20090011679A1 (en) 2007-04-06 2009-01-08 Rajeev Bajaj Method of removal profile modulation in cmp pads
FR2915016B1 (fr) 2007-04-10 2009-06-05 Siemens Vdo Automotive Sas Systeme de creation automatisee d'une interface logicielle
US8067814B2 (en) 2007-06-01 2011-11-29 Panasonic Corporation Semiconductor device and method of manufacturing the same
WO2008154185A2 (en) 2007-06-08 2008-12-18 Applied Materials, Inc. Thin polishing pad with window and molding process
US7455571B1 (en) 2007-06-20 2008-11-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Window polishing pad
US20080314878A1 (en) 2007-06-22 2008-12-25 General Electric Company Apparatus and method for controlling a machining system
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US7862320B2 (en) 2007-07-17 2011-01-04 Seiko Epson Corporation Three-dimensional object forming apparatus and method for forming three dimensional object
US8047899B2 (en) 2007-07-26 2011-11-01 Macronix International Co., Ltd. Pad and method for chemical mechanical polishing
US7635290B2 (en) 2007-08-15 2009-12-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Interpenetrating network for chemical mechanical polishing
US7828634B2 (en) 2007-08-16 2010-11-09 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Interconnected-multi-element-lattice polishing pad
US7517277B2 (en) 2007-08-16 2009-04-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Layered-filament lattice for chemical mechanical polishing
CN101376234B (zh) 2007-08-28 2013-05-29 侯家祥 一种研磨工具磨料颗粒有序排列的方法
WO2009032768A2 (en) 2007-09-03 2009-03-12 Semiquest, Inc. Polishing pad
JP5646996B2 (ja) * 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
EP2042649B1 (en) 2007-09-27 2012-05-30 Toyoda Gosei Co., Ltd. Coated base fabric for airbags
JP5078527B2 (ja) 2007-09-28 2012-11-21 富士紡ホールディングス株式会社 研磨布
FR2921667B1 (fr) 2007-10-01 2012-11-09 Saint Gobain Abrasives Inc Composition resinique liquide pour articles abrasifs
JP5143528B2 (ja) 2007-10-25 2013-02-13 株式会社クラレ 研磨パッド
US8491360B2 (en) 2007-10-26 2013-07-23 Innopad, Inc. Three-dimensional network in CMP pad
US20090133716A1 (en) 2007-10-29 2009-05-28 Wai Mun Lee Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
US8388410B2 (en) 2007-11-05 2013-03-05 P.R. Hoffman Machine Products, Inc. RFID-containing carriers used for silicon wafer quality
JP2009129970A (ja) 2007-11-20 2009-06-11 Ebara Corp 研磨装置及び研磨方法
WO2009070500A1 (en) 2007-11-27 2009-06-04 Huntsman Advanced Materials Americas Inc. Photocurable resin composition for producing three dimensional articles having high clarity
DE102007056984A1 (de) 2007-11-27 2009-05-28 Eos Gmbh Electro Optical Systems Verfahren zum Herstellen eines dreidimensionalen Objekts mittels Lasersintern
EP2242615A4 (en) 2007-12-31 2013-10-30 Innopad Inc CHIMIOMECHANICAL FLOOD BUFFER
WO2009088606A2 (en) 2007-12-31 2009-07-16 3M Innovative Properties Company Plasma treated abrasive article and method of making same
JP5248152B2 (ja) 2008-03-12 2013-07-31 東洋ゴム工業株式会社 研磨パッド
US9180570B2 (en) 2008-03-14 2015-11-10 Nexplanar Corporation Grooved CMP pad
CN101977755A (zh) 2008-03-25 2011-02-16 住友橡胶工业株式会社 轮胎穿孔修补装置
JP5226359B2 (ja) 2008-04-02 2013-07-03 株式会社クラレ 研磨パッド用クッションおよびそれを用いた研磨パッド
US8292592B2 (en) 2008-04-02 2012-10-23 United Technologies Corporation Nosecone bolt access and aerodynamic leakage baffle
EP2274136A4 (en) 2008-04-11 2014-01-01 Innopad Inc CHIMICO-MECHANICAL PLANARIZATION BUFFER WITH EMPTY NETWORK
JP5514806B2 (ja) 2008-04-29 2014-06-04 セミクエスト・インコーポレーテッド 研磨パッド組成物およびその製造方法ならびに使用
WO2009145069A1 (ja) 2008-05-26 2009-12-03 ソニー株式会社 造形装置および造形方法
TW201005825A (en) 2008-05-30 2010-02-01 Panasonic Corp Plasma processing apparatus and method
US20090308739A1 (en) 2008-06-17 2009-12-17 Applied Materials, Inc. Wafer processing deposition shielding components
TWM347669U (en) 2008-06-19 2008-12-21 Bestac Advanced Material Co Ltd Polishing pad and polishing device
CN101612722A (zh) 2008-06-25 2009-12-30 三芳化学工业股份有限公司 抛光垫及其制造方法
KR20110019442A (ko) 2008-06-26 2011-02-25 쓰리엠 이노베이티브 프로퍼티즈 캄파니 다공성 요소를 구비한 연마 패드 및 이 연마 패드의 제작 방법 및 이용 방법
US8282866B2 (en) 2008-06-30 2012-10-09 Seiko Epson Corporation Method and device for forming three-dimensional model, sheet material processing method, and sheet material processing device
US20100011672A1 (en) 2008-07-16 2010-01-21 Kincaid Don H Coated abrasive article and method of making and using the same
TWI415711B (zh) 2008-07-18 2013-11-21 3M Innovative Properties Co 具有浮動元件之研磨墊,及其製造與使用方法
US20140069584A1 (en) 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US20140034239A1 (en) 2008-07-23 2014-02-06 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
CN101642898B (zh) 2008-08-06 2011-09-14 财团法人工业技术研究院 抛光垫及其形成方法以及抛光方法
WO2010016486A1 (ja) 2008-08-08 2010-02-11 株式会社クラレ 研磨パッド及び研磨パッドの製造方法
KR20100028294A (ko) 2008-09-04 2010-03-12 주식회사 코오롱 연마패드 및 그의 제조방법
EP2329519B1 (en) 2008-09-26 2013-10-23 Rhodia Opérations Abrasive compositions for chemical mechanical polishing and methods for using same
US8118641B2 (en) 2009-03-04 2012-02-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad having window with integral identification feature
US20100112919A1 (en) 2008-11-03 2010-05-06 Applied Materials, Inc. Monolithic linear polishing sheet
US8292692B2 (en) 2008-11-26 2012-10-23 Semiquest, Inc. Polishing pad with endpoint window and systems and method using the same
DE102008060046A1 (de) 2008-12-02 2010-06-10 Eos Gmbh Electro Optical Systems Verfahren zum Bereitstellen einer identifizierbaren Pulvermenge und Verfahren zur Herstellung eines Objekts
US20100140850A1 (en) 2008-12-04 2010-06-10 Objet Geometries Ltd. Compositions for 3D printing
DE102008061311A1 (de) 2008-12-11 2010-06-24 Doukas Ag Vorrichtung zum Fördern eines Gases
CN101428404A (zh) 2008-12-22 2009-05-13 南京航空航天大学 固结磨料研磨抛光垫及其制备方法
US8062103B2 (en) 2008-12-23 2011-11-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate groove pattern
US8057282B2 (en) 2008-12-23 2011-11-15 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate polishing method
KR101587808B1 (ko) 2009-01-27 2016-01-22 에프엔에스테크 주식회사 패턴화된 구조적 도메인들을 포함하는 화학-기계적 평탄화 패드
US8053487B2 (en) 2009-01-30 2011-11-08 The United States Of America As Represented By The Secretary Of The Navy Multifunctional acrylates used as cross-linkers in dental and biomedical self-etching bonding adhesives
WO2010123744A2 (en) 2009-04-23 2010-10-28 Cabot Microelectronics Corporation Cmp porous pad with particles in a polymeric matrix
CN201483382U (zh) 2009-05-14 2010-05-26 贝达先进材料股份有限公司 研磨垫以及研磨装置
JP2012528487A (ja) 2009-05-27 2012-11-12 ロジャーズ コーポレーション 研磨パッド、それを用いた組成物および、その製造と使用方法
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
CN102159609B (zh) 2009-06-29 2013-11-13 Dic株式会社 研磨垫用双组分型尿烷树脂组合物、聚氨酯研磨垫、和聚氨酯研磨垫的制造方法
CN102498549A (zh) 2009-07-16 2012-06-13 嘉柏微电子材料股份公司 沟槽式化学机械抛光抛光垫
TWI535527B (zh) 2009-07-20 2016-06-01 智勝科技股份有限公司 研磨方法、研磨墊與研磨系統
US8712571B2 (en) 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8676537B2 (en) 2009-08-07 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Portable wireless sensor
US8889232B2 (en) 2009-08-20 2014-11-18 Electronics For Imaging, Inc. Radiation curable ink compositions
TWI410299B (zh) 2009-08-24 2013-10-01 Bestac Advanced Material Co Ltd 研磨墊與其應用及其製造方法
US8697576B2 (en) 2009-09-16 2014-04-15 Cabot Microelectronics Corporation Composition and method for polishing polysilicon
AU2010295585B2 (en) 2009-09-17 2015-10-08 Sciaky, Inc. Electron beam layer manufacturing
EP2489699B1 (en) 2009-10-16 2014-07-16 Posco Radiation curable resin composition, and fingerprint-resistant resin composition containing same
AU2010318559B2 (en) 2009-11-13 2015-08-20 Sciaky, Inc. Electron beam layer manufacturing using scanning electron monitored closed loop control
JP5496630B2 (ja) 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
US20130012108A1 (en) 2009-12-22 2013-01-10 Naichao Li Polishing pad and method of making the same
US8853082B2 (en) 2009-12-28 2014-10-07 Hitachi Chemical Company, Ltd. Polishing liquid for CMP and polishing method using the same
JP5671554B2 (ja) 2009-12-30 2015-02-18 スリーエム イノベイティブ プロパティズ カンパニー 有機微粒子装填研磨パッド、並びにその製造及び使用方法
KR20120125612A (ko) 2009-12-30 2012-11-16 쓰리엠 이노베이티브 프로퍼티즈 컴파니 상-분리 중합체 블렌드를 포함하는 폴리싱 패드 및 이의 제조 및 사용 방법
US9017140B2 (en) 2010-01-13 2015-04-28 Nexplanar Corporation CMP pad with local area transparency
US9089943B2 (en) 2010-01-29 2015-07-28 Ronald Lipson Composite pads for buffing and polishing painted vehicle body surfaces and other applications
DE102010007401A1 (de) 2010-02-03 2011-08-04 Kärcher Futuretech GmbH, 71364 Vorrichtung und Verfahren zum automatisierten Formen und Abfüllen von Behältern
KR20130038806A (ko) 2010-02-22 2013-04-18 인티그리스, 인코포레이티드 Cmp 후 세정 브러시
KR20110100080A (ko) 2010-03-03 2011-09-09 삼성전자주식회사 화학적 기계적 연마 공정용 연마 패드 및 이를 포함하는 화학적 기계적 연마 설비
DE102010011059A1 (de) 2010-03-11 2011-09-15 Global Beam Technologies Ag Verfahren und Vorrichtung zur Herstellung eines Bauteils
JP5551479B2 (ja) 2010-03-19 2014-07-16 ニッタ・ハース株式会社 研磨装置、研磨パッドおよび研磨情報管理システム
JP5620141B2 (ja) 2010-04-15 2014-11-05 東洋ゴム工業株式会社 研磨パッド
JP5697889B2 (ja) 2010-04-19 2015-04-08 帝人コードレ株式会社 平滑加工用シート
CN102892553B (zh) 2010-05-11 2016-04-27 3M创新有限公司 用于化学机械平面化的具有表面活性剂的固定磨料垫片
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
JP5767325B2 (ja) 2010-07-02 2015-08-19 スリーエム イノベイティブ プロパティズ カンパニー 被覆研磨材物品
US9156124B2 (en) 2010-07-08 2015-10-13 Nexplanar Corporation Soft polishing pad for polishing a semiconductor substrate
JP5635957B2 (ja) 2010-09-09 2014-12-03 日本碍子株式会社 被研磨物の研磨方法、及び研磨パッド
WO2012040212A2 (en) 2010-09-22 2012-03-29 Interfacial Solutions Ip, Llc Methods of producing microfabricated particles for composite materials
US8257545B2 (en) 2010-09-29 2012-09-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with light stable polymeric endpoint detection window and method of polishing therewith
US8702479B2 (en) 2010-10-15 2014-04-22 Nexplanar Corporation Polishing pad with multi-modal distribution of pore diameters
US9211628B2 (en) 2011-01-26 2015-12-15 Nexplanar Corporation Polishing pad with concentric or approximately concentric polygon groove pattern
EP2668021B1 (en) 2011-01-26 2020-08-19 Zydex Pty Ltd A device for making an object
JP5893479B2 (ja) 2011-04-21 2016-03-23 東洋ゴム工業株式会社 積層研磨パッド
ES2808700T3 (es) 2011-04-27 2021-03-01 Henkel IP & Holding GmbH Composiciones de elastómeros curables con capacidad de sellado a baja temperatura
US8968058B2 (en) 2011-05-05 2015-03-03 Nexplanar Corporation Polishing pad with alignment feature
US20120302148A1 (en) 2011-05-23 2012-11-29 Rajeev Bajaj Polishing pad with homogeneous body having discrete protrusions thereon
JP5851124B2 (ja) 2011-06-13 2016-02-03 スリーエム イノベイティブ プロパティズ カンパニー 研磨用構造体
ES2441170T3 (es) 2011-06-21 2014-02-03 Agfa Graphics N.V. Líquido eyectable curable para fabricar una matriz de impresión flexográfica
JP2013018056A (ja) 2011-07-07 2013-01-31 Toray Ind Inc 研磨パッド
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US9108291B2 (en) 2011-09-22 2015-08-18 Dow Global Technologies Llc Method of forming structured-open-network polishing pads
US8801949B2 (en) 2011-09-22 2014-08-12 Dow Global Technologies Llc Method of forming open-network polishing pads
US8894799B2 (en) 2011-09-22 2014-11-25 Dow Global Technologies Llc Method of forming layered-open-network polishing pads
WO2013049207A2 (en) 2011-09-26 2013-04-04 Entegris, Inc. Post-cmp cleaning apparatus and method
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
TWI462797B (zh) 2011-11-24 2014-12-01 Univ Nat Taiwan Science Tech Electric field assisted chemical mechanical polishing system and its method
US9067298B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with grooved foundation layer and polishing surface layer
US9067297B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with foundation layer and polishing surface layer
EP2785797B1 (en) 2011-11-30 2018-03-21 Merck Patent GmbH Particles for electrophoretic displays
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
KR20130084932A (ko) 2012-01-18 2013-07-26 삼성전자주식회사 반도체 소자의 제조 방법
US8721833B2 (en) 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof
US8486798B1 (en) 2012-02-05 2013-07-16 Tokyo Electron Limited Variable capacitance chamber component incorporating a semiconductor junction and methods of manufacturing and using thereof
KR20130095430A (ko) 2012-02-20 2013-08-28 케이피엑스케미칼 주식회사 연마패드 및 그 제조방법
EP2819822B1 (en) 2012-03-01 2016-09-28 Stratasys Ltd. Cationic polymerizable compositions and methods of use thereof
DE102012203639A1 (de) 2012-03-08 2013-09-12 Evonik Industries Ag Additiv zur Einstellung der Glasübergangstemperatur von viskoelastischen Polyurethanweichschaumstoffen
US8709114B2 (en) 2012-03-22 2014-04-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing chemical mechanical polishing layers
US8986585B2 (en) 2012-03-22 2015-03-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing chemical mechanical polishing layers having a window
DE102012007791A1 (de) 2012-04-20 2013-10-24 Universität Duisburg-Essen Verfahren und Vorrichtung zur Herstellung von Bauteilen in einer Strahlschmelzanlage
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9993873B2 (en) 2012-05-22 2018-06-12 General Electric Company System and method for three-dimensional printing
US9481134B2 (en) 2012-06-08 2016-11-01 Makerbot Industries, Llc Build platform leveling with tactile feedback
EP2859059B1 (en) 2012-06-11 2019-12-18 Cabot Microelectronics Corporation Composition and method for polishing molybdenum
JP5994183B2 (ja) 2012-06-29 2016-09-21 富士紡ホールディングス株式会社 研磨パッド及びその製造方法
US8778211B2 (en) 2012-07-17 2014-07-15 Cabot Microelectronics Corporation GST CMP slurries
US9174388B2 (en) 2012-08-16 2015-11-03 Stratasys, Inc. Draw control for extrusion-based additive manufacturing systems
US8888480B2 (en) 2012-09-05 2014-11-18 Aprecia Pharmaceuticals Company Three-dimensional printing system and equipment assembly
AU2013313053B2 (en) 2012-09-05 2015-04-30 Aprecia Pharmaceuticals LLC Three-dimensional printing system and equipment assembly
JP6196858B2 (ja) 2012-09-24 2017-09-13 株式会社荏原製作所 研磨方法および研磨装置
WO2014051702A1 (en) 2012-09-25 2014-04-03 3M Innovative Properties Company Radiation curable ink composition
WO2014058887A1 (en) 2012-10-11 2014-04-17 Dow Corning Corporation Aqueous silicone polyether microemulsions
CN202825512U (zh) 2012-10-11 2013-03-27 中芯国际集成电路制造(北京)有限公司 研磨垫及化学机械研磨机台
US9233504B2 (en) 2012-10-29 2016-01-12 Makerbot Industries, Llc Tagged build material for three-dimensional printing
US9522426B2 (en) 2012-11-08 2016-12-20 Georgia Tech Research Corporation Systems and methods for additive manufacturing and repair of metal components
DE112013006045T5 (de) 2012-12-17 2015-09-17 Arcam Ab Additives Herstellungsverfahren und Vorrichtung
US10357435B2 (en) 2012-12-18 2019-07-23 Dentca, Inc. Photo-curable resin compositions and method of using the same in three-dimensional printing for manufacturing artificial teeth and denture base
US11673155B2 (en) 2012-12-27 2023-06-13 Kateeva, Inc. Techniques for arrayed printing of a permanent layer with improved speed and accuracy
WO2014110679A1 (en) 2013-01-17 2014-07-24 Ehsan Toyserkani Systems and methods for additive manufacturing of heterogeneous porous structures and structures made therefrom
US9649742B2 (en) 2013-01-22 2017-05-16 Nexplanar Corporation Polishing pad having polishing surface with continuous protrusions
EP2945755B1 (en) 2013-02-06 2019-09-11 Sun Chemical Corporation Digital printing inks
EP3187938A1 (en) 2013-02-12 2017-07-05 CARBON3D, Inc. Method and apparatus for three-dimensional fabrication with feed through carrier
CN109049439B (zh) 2013-03-14 2021-02-02 斯特塔西有限公司 基于聚合物的模具和其制造方法
US9152340B2 (en) 2013-05-28 2015-10-06 Netapp, Inc. System and method for managing and producing a dataset image across multiple storage systems
JP5955275B2 (ja) 2013-06-12 2016-07-20 富士フイルム株式会社 画像形成方法、加飾シートの製造方法、成形加工方法、加飾シート成形物の製造方法、インモールド成形品の製造方法
US20140370788A1 (en) 2013-06-13 2014-12-18 Cabot Microelectronics Corporation Low surface roughness polishing pad
US10183329B2 (en) 2013-07-19 2019-01-22 The Boeing Company Quality control of additive manufactured parts
US20150038066A1 (en) 2013-07-31 2015-02-05 Nexplanar Corporation Low density polishing pad
GB201313841D0 (en) 2013-08-02 2013-09-18 Rolls Royce Plc Method of Manufacturing a Component
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
US9855698B2 (en) 2013-08-07 2018-01-02 Massachusetts Institute Of Technology Automatic process control of additive manufacturing device
JP5992375B2 (ja) 2013-08-08 2016-09-14 株式会社東芝 静電チャック、載置プレート支持台及び静電チャックの製造方法
CN105453232B (zh) 2013-08-10 2019-04-05 应用材料公司 具有促进受控的调节的材料组成的cmp垫
WO2015026614A1 (en) 2013-08-22 2015-02-26 Cabot Microelectronics Corporation Polishing pad with porous interface and solid core, and related apparatus and methods
US20150056895A1 (en) 2013-08-22 2015-02-26 Cabot Microelectronics Corporation Ultra high void volume polishing pad with closed pore structure
DE102013217422A1 (de) 2013-09-02 2015-03-05 Carl Zeiss Industrielle Messtechnik Gmbh Koordinatenmessgerät und Verfahren zur Vermessung und mindestens teilweisen Erzeugung eines Werkstücks
CN103465155B (zh) 2013-09-06 2016-05-11 蓝思科技股份有限公司 一种环氧树脂型金刚石研磨垫及其制备方法
US9425121B2 (en) 2013-09-11 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure with guiding trenches in buffer layer
KR101405333B1 (ko) 2013-09-12 2014-06-11 유비머트리얼즈주식회사 연마 입자, 연마 슬러리 및 이를 이용한 반도체 소자의 제조 방법
US9308620B2 (en) 2013-09-18 2016-04-12 Texas Instruments Incorporated Permeated grooving in CMP polishing pads
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
GB201316815D0 (en) 2013-09-23 2013-11-06 Renishaw Plc Additive manufacturing apparatus and method
JP6703939B2 (ja) 2013-09-25 2020-06-03 スリーエム イノベイティブ プロパティズ カンパニー 研磨システム
WO2015048768A1 (en) 2013-09-30 2015-04-02 Saint-Gobain Ceramics & Plastics, Inc. Shaped abrasive particles and methods of forming same
EP3057775A1 (en) 2013-10-17 2016-08-24 LUXeXcel Holding B.V. Device for printing a three-dimensional structure
CN203542340U (zh) 2013-10-21 2014-04-16 中芯国际集成电路制造(北京)有限公司 一种化学机械研磨垫
US9831074B2 (en) 2013-10-24 2017-11-28 Applied Materials, Inc. Bipolar collimator utilized in a physical vapor deposition chamber
US8980749B1 (en) 2013-10-24 2015-03-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for chemical mechanical polishing silicon wafers
EP3063591B1 (en) 2013-10-30 2018-04-04 Anocoil Corporation Lithographic printing plate precursors and coating
US9481069B2 (en) 2013-11-06 2016-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing apparatus and polishing method using the same
US9352443B2 (en) 2013-11-13 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Platen assembly, chemical-mechanical polisher, and method for polishing substrate
US9850402B2 (en) 2013-12-09 2017-12-26 Cabot Microelectronics Corporation CMP compositions and methods for selective removal of silicon nitride
CN104742007B (zh) 2013-12-30 2017-08-25 中芯国际集成电路制造(北京)有限公司 化学机械研磨装置和化学机械研磨方法
US20170239886A1 (en) 2014-01-23 2017-08-24 Yoshihiro Norikane Three-dimensional object and method for forming same
WO2015120429A1 (en) 2014-02-10 2015-08-13 President And Fellows Of Harvard College Three-dimensional (3d) printed composite structure and 3d printable composite ink formulation
US20160354896A1 (en) 2014-02-10 2016-12-08 President And Fellows Of Harvard College 3d-printed polishing pad for chemical-mechanical planarization (cmp)
US20170173865A1 (en) 2014-02-10 2017-06-22 Stratasys Ltd. Composition and method for additive manufacturing of an object
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP2015174272A (ja) 2014-03-14 2015-10-05 セイコーエプソン株式会社 三次元造形物の製造方法、三次元造形物製造装置および三次元造形物
US9259820B2 (en) 2014-03-28 2016-02-16 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with polishing layer and window
KR102350350B1 (ko) 2014-04-03 2022-01-14 쓰리엠 이노베이티브 프로퍼티즈 컴파니 폴리싱 패드 및 시스템과 이의 제조 및 사용 방법
US20170036320A1 (en) 2014-04-17 2017-02-09 Cabot Microelectronics Corporation Cmp polishing pad with columnar structure and methods related thereto
US9333620B2 (en) 2014-04-29 2016-05-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with clear endpoint detection window
US9314897B2 (en) 2014-04-29 2016-04-19 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with endpoint detection window
CN104400998B (zh) 2014-05-31 2016-10-05 福州大学 一种基于红外光谱分析的3d打印检测方法
US20150375361A1 (en) 2014-06-25 2015-12-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method
US9259821B2 (en) 2014-06-25 2016-02-16 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing layer formulation with conditioning tolerance
JP2016023209A (ja) 2014-07-17 2016-02-08 日立化成株式会社 研磨剤、研磨剤セット及び基体の研磨方法
US9731398B2 (en) 2014-08-22 2017-08-15 Rohm And Haas Electronic Materials Cmp Holding, Inc. Polyurethane polishing pad
US9826630B2 (en) 2014-09-04 2017-11-21 Nxp Usa, Inc. Fan-out wafer level packages having preformed embedded ground plane connections and methods for the fabrication thereof
US20160068996A1 (en) 2014-09-05 2016-03-10 Applied Materials, Inc. Susceptor and pre-heat ring for thermal processing of substrates
CN104210108B (zh) 2014-09-15 2017-11-28 宁波高新区乐轩锐蓝智能科技有限公司 3d打印机的打印缺陷弥补方法和系统
US9873180B2 (en) * 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
WO2016057075A1 (en) 2014-10-09 2016-04-14 Applied Materials, Inc. Chemical mechanical polishing pad with internal channels
US10875145B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
TWI689406B (zh) 2014-10-17 2020-04-01 美商應用材料股份有限公司 研磨墊及製造其之方法
US10399201B2 (en) 2014-10-17 2019-09-03 Applied Materials, Inc. Advanced polishing pads having compositional gradients by use of an additive manufacturing process
US10821573B2 (en) 2014-10-17 2020-11-03 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
CN104385595B (zh) 2014-10-20 2017-05-03 合肥斯科尔智能科技有限公司 一种三维打印次品修复系统
JP6422325B2 (ja) 2014-12-15 2018-11-14 花王株式会社 半導体基板用研磨液組成物
US10086500B2 (en) 2014-12-18 2018-10-02 Applied Materials, Inc. Method of manufacturing a UV curable CMP polishing pad
JP6452449B2 (ja) 2015-01-06 2019-01-16 東京エレクトロン株式会社 載置台及び基板処理装置
CN104607639B (zh) 2015-01-12 2016-11-02 常州先进制造技术研究所 一种用于金属3d打印的表面修复塑形装置
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10946495B2 (en) 2015-01-30 2021-03-16 Cmc Materials, Inc. Low density polishing pad
US9754730B2 (en) * 2015-03-13 2017-09-05 Avx Corporation Low profile multi-anode assembly in cylindrical housing
US9475168B2 (en) 2015-03-26 2016-10-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad window
WO2016173668A1 (en) 2015-04-30 2016-11-03 Hewlett-Packard Development Company, L.P. Misalignment detection for a 3d printing device
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
CN106206409B (zh) 2015-05-08 2019-05-07 华邦电子股份有限公司 堆叠电子装置及其制造方法
CN205703794U (zh) 2015-06-29 2016-11-23 智胜科技股份有限公司 研磨垫的研磨层
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10406801B2 (en) 2015-08-21 2019-09-10 Voxel8, Inc. Calibration and alignment of 3D printing deposition heads
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
CN108025496A (zh) 2015-09-16 2018-05-11 应用材料公司 用于增材制造的可选择性打开的支撑工作台
JP6584895B2 (ja) 2015-09-30 2019-10-02 富士紡ホールディングス株式会社 研磨パッド
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
CN113103145B (zh) 2015-10-30 2023-04-11 应用材料公司 形成具有期望ζ电位的抛光制品的设备与方法
GB201519187D0 (en) 2015-10-30 2015-12-16 Knauf Insulation Ltd Improved binder compositions and uses thereof
WO2017073654A1 (ja) 2015-10-30 2017-05-04 コニカミノルタ株式会社 活性光線硬化型インクジェットインク組成物及びインクジェット記録方法
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10229769B2 (en) 2015-11-20 2019-03-12 Xerox Corporation Three phase immiscible polymer-metal blends for high conductivty composites
US10189143B2 (en) 2015-11-30 2019-01-29 Taiwan Semiconductor Manufacturing Company Limited Polishing pad, method for manufacturing polishing pad, and polishing method
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
KR102629800B1 (ko) 2016-01-19 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 다공성 화학적 기계적 연마 패드들
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
US9956314B2 (en) 2016-01-26 2018-05-01 Modern Ideas LLC Adhesive for use with bone and bone-like structures
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
CN109075057B (zh) 2016-03-09 2023-10-20 应用材料公司 垫结构及制造方法
KR102377436B1 (ko) 2016-03-09 2022-03-23 어플라이드 머티어리얼스, 인코포레이티드 적층 제조에서 제조된 형상들의 보정
WO2017165216A1 (en) 2016-03-24 2017-09-28 Applied Materials, Inc. Textured small pad for chemical mechanical polishing
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10283330B2 (en) 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
JP6791680B2 (ja) 2016-08-09 2020-11-25 株式会社フジミインコーポレーテッド 表面処理組成物およびこれを用いた洗浄方法
US10259956B2 (en) 2016-10-11 2019-04-16 Xerox Corporation Curable ink composition
US20180100073A1 (en) 2016-10-11 2018-04-12 Xerox Corporation Ink composition for use in 3d printing
US20180100074A1 (en) 2016-10-11 2018-04-12 Xerox Corporation Ink composition for use in 3d printing
US10930535B2 (en) 2016-12-02 2021-02-23 Applied Materials, Inc. RFID part authentication and tracking of processing components
CN106810215B (zh) 2017-01-18 2022-08-16 重庆摩方科技有限公司 一种陶瓷浆料的制备及3d打印光固化成型方法
KR20180094428A (ko) 2017-02-15 2018-08-23 삼성전자주식회사 화학 기계적 연마 장치
US20180323042A1 (en) 2017-05-02 2018-11-08 Applied Materials, Inc. Method to modulate the wafer edge sheath in a plasma processing chamber
US11084143B2 (en) 2017-05-25 2021-08-10 Applied Materials, Inc. Correction of fabricated shapes in additive manufacturing using modified edge
US10967482B2 (en) 2017-05-25 2021-04-06 Applied Materials, Inc. Fabrication of polishing pad by additive manufacturing onto mold
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6894015B2 (ja) 2017-06-21 2021-06-23 カーボン,インコーポレイテッド 積層造形の方法
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11072050B2 (en) 2017-08-04 2021-07-27 Applied Materials, Inc. Polishing pad with window and manufacturing methods thereof
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
JP7102724B2 (ja) 2017-12-19 2022-07-20 株式会社リコー 電極、非水系蓄電素子、塗布液及び電極の製造方法
JP7033907B2 (ja) 2017-12-21 2022-03-11 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP2020532884A (ja) 2018-01-22 2020-11-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 給電型エッジリングを用いた処理
KR20200108098A (ko) 2018-02-05 2020-09-16 어플라이드 머티어리얼스, 인코포레이티드 3d 프린트된 cmp 패드들을 위한 압전 엔드포인팅
WO2019190676A1 (en) 2018-03-30 2019-10-03 Applied Materials, Inc. Integrating 3d printing into multi-process fabrication schemes
EP3790706A4 (en) 2018-05-07 2022-02-16 Applied Materials, Inc. CHEMICAL MECHANICAL POLISHING PADS WITH ADJUSTABLE HYDROPHIL AND ZETA POTENTIAL
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US10847347B2 (en) 2018-08-23 2020-11-24 Applied Materials, Inc. Edge ring assembly for a substrate support in a plasma processing chamber
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
KR20210076154A (ko) 2018-11-09 2021-06-23 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버를 위한 라디오 주파수 필터 시스템
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US20200230781A1 (en) 2019-01-23 2020-07-23 Applied Materials, Inc. Polishing pads formed using an additive manufacturing process and methods related thereto
KR102655348B1 (ko) 2019-03-19 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 소수성 및 아이스포빅 코팅
US11851570B2 (en) 2019-04-12 2023-12-26 Applied Materials, Inc. Anionic polishing pads formed by printing processes

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1494986A (zh) * 2002-09-17 2004-05-12 韩国珀利尔有限公司 一体型研磨垫及其制造方法
CN1809620A (zh) * 2003-04-21 2006-07-26 卡伯特微电子公司 用于cmp的涂覆金属氧化物颗粒
CN102127370A (zh) * 2003-04-21 2011-07-20 卡伯特微电子公司 用于cmp的涂覆金属氧化物颗粒
US20060024434A1 (en) * 2004-07-29 2006-02-02 Hongyu Wang Manufacturing of polymer-coated particles for chemical mechanical polishing
US20160347002A1 (en) * 2012-04-25 2016-12-01 Applied Materials, Inc. Apparatus for printing a chemical mechanical polishing pad
CN105706217A (zh) * 2013-11-04 2016-06-22 应用材料公司 具有磨料在其中的打印的化学机械抛光垫
US20150174826A1 (en) * 2013-12-20 2015-06-25 Applied Materials, Inc. Printed chemical mechanical polishing pad having controlled porosity
US20160107381A1 (en) * 2014-10-17 2016-04-21 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US20160257856A1 (en) * 2015-03-05 2016-09-08 Cabot Microelectronics Corporation Polishing composition containing ceria abrasive

Also Published As

Publication number Publication date
US11980992B2 (en) 2024-05-14
US20230052048A1 (en) 2023-02-16
WO2019023221A1 (en) 2019-01-31
US20190030678A1 (en) 2019-01-31
US11471999B2 (en) 2022-10-18
TWI806884B (zh) 2023-07-01
KR20200023526A (ko) 2020-03-04
TW201930011A (zh) 2019-08-01
KR102539021B1 (ko) 2023-06-02

Similar Documents

Publication Publication Date Title
TWI806884B (zh) 整合磨料拋光墊及製造方法
US11524384B2 (en) Abrasive delivery polishing pads and manufacturing methods thereof
JP7003104B2 (ja) 付加製造プロセスにより製作される研磨パッド
TWI789412B (zh) 具有視窗的拋光墊與該拋光墊的製造方法
JP4171846B2 (ja) 共有給合された粒子を有する研磨パッドおよびその製造方法
CN108698206B (zh) 多孔化学机械抛光垫
CN112045555B (zh) 使用增材制造工艺形成先进抛光垫的方法和设备
TWI836660B (zh) 拋光墊、形成拋光墊的方法、及加成製造系統
TWI675869B (zh) 可uv硬化之cmp研磨墊及其製造方法
TWI544984B (zh) 研磨物件,其製備方法及其使用方法
CN102356139A (zh) 包含表面处理过的纳米二氧化铈填料的结构化固定磨具及其制备和使用方法
TW201130656A (en) Polishing pad and method of making the same
JP2008546167A (ja) Cmp用のカスタマイズされた研磨パッド、ならびにその製造方法および使用
KR20070102222A (ko) Cmp 슬러리용 보조제
JP2023547826A (ja) 化学機械研磨パッドのために使用される紫外線硬化性樹脂
JP2001226666A (ja) 研磨砥粒と研磨液及びその研磨方法並びに半導体装置の製造方法
TW202419495A (zh) 用於化學機械拋光墊之uv可固化樹脂
KR20110101312A (ko) 임프린트 리소그래피 공정을 이용한 cmp용 연마패드 및 그 제조 방법
JP2006169390A (ja) 有機無機ハイブリッド粒子の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination