TW201907490A - 基底處理方法與應用其所製造的半導體裝置 - Google Patents

基底處理方法與應用其所製造的半導體裝置 Download PDF

Info

Publication number
TW201907490A
TW201907490A TW107113267A TW107113267A TW201907490A TW 201907490 A TW201907490 A TW 201907490A TW 107113267 A TW107113267 A TW 107113267A TW 107113267 A TW107113267 A TW 107113267A TW 201907490 A TW201907490 A TW 201907490A
Authority
TW
Taiwan
Prior art keywords
layer
conductive
word line
processing method
substrate processing
Prior art date
Application number
TW107113267A
Other languages
English (en)
Other versions
TWI698939B (zh
Inventor
柳太熙
閔允基
劉龍珉
Original Assignee
荷蘭商Asm知識產權私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm知識產權私人控股有限公司 filed Critical 荷蘭商Asm知識產權私人控股有限公司
Publication of TW201907490A publication Critical patent/TW201907490A/zh
Application granted granted Critical
Publication of TWI698939B publication Critical patent/TWI698939B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02469Group 12/16 materials
    • H01L21/02472Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/14Word line organisation; Word line lay-out

Abstract

一種基底處理方法包含將各自包含絕緣層和犧牲層的多個堆疊結構堆疊在彼此上。方法還包含通過蝕刻堆疊結構來產生階梯結構以及在階梯結構的側表面上產生分離層。方法更包含去除犧牲層且在去除犧牲層的空間中產生導電字線結構。分離層提供在導電字線結構之間。

Description

基底處理方法與應用其所製造的裝置
本申請案主張在美國專利商標局於2017年4月26日提交的美國臨時申請案第62/490,469號的權益,所述申請案的揭示內容以全文引用的方式併入本文中。另外,本申請案還主張在美國專利商標局於2018年4月12日提交的美國非臨時申請案第15/951,644號的權益,所述申請案的揭示內容以全文引用的方式併入本文中。
一個或多個實施例有關使用薄膜沉積技術的基底處理方法和使用所述方法製造的裝置,並且更具體來說,有關通過基於電漿增強原子層沉積(plasma-enhanced atomic layer deposition;PEALD)在基底上沉積絕緣層(例如氧化矽(silicon oxide;SiO)層及/或氮化矽(silicon nitride;SiN)層)來處理基底的方法和使用所述方法製造的裝置(例如半導體裝置)。
當製造在基底上產生微電路的裝置時,可使用在台階式結構上沉積薄膜的技術。具體來說,三維半導體裝置的高密度積體電路可包含溝槽結構或階梯結構,且可需要在結構的選擇性區域上沉積薄膜。
一個或多個實施例包含可通過在台階式結構的選擇性區域上沉積薄膜來防止或最小化可在製程期間出現的某些風險的基底處理方法。
額外方面將部分地闡述於以下描述中,並且部分地將從所述描述中顯而易見,或者可通過對所呈現實施例的實踐而習得。
根據一個或多個實施例,基底處理方法包含:將各自包含絕緣層和犧牲層的多個堆疊結構堆疊在彼此上;通過蝕刻堆疊結構來產生階梯結構;在階梯結構的側表面上產生分離層;以及使用導電字線結構替換犧牲層,其中分離層提供於導電字線結構之間。
基底處理方法可更包含:在犧牲層上產生墊層;以及在階梯結構上產生層間絕緣層,並且產生導電字線結構可包含:去除犧牲層和墊層,以及在去除犧牲層和墊層的空間中填充導電材料。
在填充導電材料期間可通過分離層來防止導電字線結構之間的短路。
可在產生層間絕緣層期間產生連接到犧牲層的至少一個孔,並且可在產生導電字線結構期間產生填充孔的導電突起。
導電字線結構中的每一個可包含朝向溝道延伸的第一導電層以及提供於第一導電層上的第二導電層,並且其中第一導電層的側表面的至少一部分與分離層接觸。
導電字線結構的第一導電層與另一導電字線結構的第二導電層可在垂直方向上彼此間隔開分離層的高度。
第二導電層可包含從第一導電層突起的第一突起,且第一突起可與分離層接觸。
分離層可具有間隔物形狀,且第二導電層的下部表面可具有對應於間隔物形狀的輪廓。
第二導電層可更包含在向下方向上從第一突起的端部突起的第二突起。
第二導電層可包含與第一導電層重疊的第一區域以及與分離層重疊的第二區域。
可產生與導電字線結構接觸的介層窗(via contact),且介層窗可與第二導電層的第一區域和第二區域接觸。
產生分離層可包含:在階梯結構上產生第一層;通過應用第一能量來使第一層選擇性地緻密化,其方式為使得在階梯結構的側表面上的第一層的一部分比在階梯結構的上部表面和下部表面上的第一層的一部分更緻密;以及等向性地蝕刻第一層以去除在階梯結構的上部表面和下部表面上的第一層的部分且保留在階梯結構的側表面上的第一層的部分。
產生墊層可包含:在階梯結構上產生第二層;通過應用第二能量使第二層選擇性地緻密化,其方式為使得在階梯結構的上部表面和下部表面上的第二層的一部分比在階梯結構的側表面上的第二層的一部分更緻密;以及等向性地蝕刻第二層以去除在階梯結構的側表面上的第二層的部分且保留在階梯結構的上部表面和下部表面上的第二層的部分。
第一能量可等於或高於預設閾值,且可使在階梯結構的上部表面和下部表面上的第一層的部分變薄。
根據一個或多個實施例,包含通過交替地堆疊第一氧化矽層和第一氮化矽層來產生的階梯圖案的基底的基底處理方法包含:在階梯圖案的上部表面和側壁上產生第二氧化矽層;去除階梯圖案的上部表面上所產生的第二氧化矽層而無需將第二氧化矽層從側壁完全去除;以及使用金屬替換第一氮化矽層。
階梯圖案的下部區域中的氮化矽層的厚度可大於階梯圖案的上部區域中的氮化矽層的厚度。
側壁上剩餘的第二氧化矽層可提供於字線之間,且防止字線之間的短路。
金屬可具有過量填充的結構且充當字線。
為產生過量填充的結構,使用金屬替換第一氮化矽層可包含:在剩餘的第二氧化矽層上產生第二氮化矽層;去除第二氧化矽層上所產生的第二氮化矽層而無需將第二氮化矽層從第一氮化矽層完全去除;以及使用金屬替換第一氮化矽層和第二氮化矽層。
根據一個或多個實施例,半導體裝置包含:基底;至少一個記憶體單元串,其在向上方向上從基底突起和延伸;第一字線,其連接到記憶體單元串的第一記憶體單元;以及第二字線,其連接到記憶體單元串的第二記憶體單元,其中第一字線和第二字線中的至少一個包含朝向溝道延伸的第一導電層以及提供於第一導電層上的第二導電層,並且其中第二導電層包含在水平方向上從第一導電層突起的第一突起。
第二導電層可更包含在向下方向上從第一突起的端部突起的第二突起。
根據一個或多個實施例,供用於處理用於在側壁上選擇性形成層的基底的方法包含在基底的水平表面和側壁表面上方沉積共形層。所沉積的層經電漿處理以使水平表面上的部分變薄,保留易受通過等向性蝕刻來從水平表面完全去除和從側壁表面不完全去除影響的層。
方法可包含實施等向性蝕刻以從側壁上的所沉積的層保留側壁間隔物結構。電漿處理可採用高密度、非等向性電漿。
根據一個或多個實施例,供用於處理用於在水平表面上選擇性形成層的基底的方法包含在基底的水平表面和側壁表面上方沉積共形層。所沉積的層經電漿處理以使上部表面上的部分緻密化,保留易受通過等向性蝕刻來從側壁表面完全去除和從水平表面不完全去除影響的層。
方法可包含實施等向性蝕刻以保留水平表面上的所沉積的層的選擇性水平部分。電漿處理可採用低密度、非等向性電漿。
在下文中,將通過參考圖式解釋本發明的實施例來詳細描述本發明。
然而,本發明可以通過多種不同形式實施並且不應被解釋為限於本文中所闡述的實施例;相反地,提供這些實施例使得本發明將是透徹且完整的並且將本發明的概念完全地傳達給本領域的普通技術人員。
本文中所使用的術語出於描述具體實施例的目的且並不旨在限制本發明。如本文中所使用,除非上下文另外明確指示,否則單數形式「一(a/an)」和「所述」也希望包含複數形式。應進一步理解,當用於本發明時,術語「包括(comprise/comprising)」指定陳述特徵、整數、步驟、操作、元件及/或元件的存在,但不排除存在或添加一個或多個其它特徵、整數、步驟、操作、元件、元件及/或其群組。如本文中所使用,術語「及/或」包含相關聯的所列專案中的一個或多個的任何和所有組合。例如「中的至少一個」等表述當在元件列表之前時修飾元件的整個列表而不是修飾列表的個別元件。
應理解,儘管本文中可以使用術語第一、第二、第三等來描述各種元件、元件、區域、層及/或區段,但是這些元件、元件、區域、層及/或區段不應受到這些術語的限制。這些術語僅用於區分一個元件、元件、區域、層或區段與另一元件、元件、區域、層或區段。因此,在不脫離本發明的教示內容的情況下,下文所論述的第一元件、元件、區域、層或區段可以稱為第二元件、元件、區域、層或區段。
在本發明中,術語「氣體」可包含蒸發的固體及/或液體,且可被配置成單種氣體或氣體的混合物。在本文中,通過噴頭提供到反應室中的處理氣體可包含前驅物氣體和添加氣體。前驅物氣體及添加氣體一般可作為混合氣體或分離氣體提供到反應空間中。前驅物氣體可連同如惰性氣體的運載氣體一起提供。添加氣體可包含反應氣體和稀釋氣體,例如惰性氣體。反應氣體和稀釋氣體可作為混合氣體或分離氣體提供到反應空間。前驅物可包含兩種或多於兩種前驅物,且反應氣體可包含兩種或多於兩種反應氣體。前驅物為在基底上化學吸附的氣體,其配置介電層的矩陣的主要結構,且一般含有類金屬或金屬元素,且用於沉積的反應氣體是當激發氣體以將原子層或單層固定在基底上時與在基底上化學吸附的前驅物反應的氣體。術語「化學吸附」是指化學飽和吸附。除處理氣體外的氣體,即不通過噴頭提供的氣體,可用於密封反應空間,且包含如惰性氣體的密封氣體。在一些實施例中,術語「膜」是指在垂直於厚度方向的方向上連續延伸的層,無針孔覆蓋整個目標或相關表面;或僅僅覆蓋目標或相關表面的層。在一些實施例中,術語「層」是指在表面上所產生的且具有一定厚度的結構,用作膜的同義詞,或是指非膜結構。膜或層可包含具有某些特徵的非連續單膜或單層或多膜或多層。鄰近膜或鄰近層的邊界可清晰或不清晰,且可基於物理、化學及/或其它特徵、產生製程或序列及/或鄰近膜或鄰近層的功能或目的來設定。
在本發明中,表述「相同材料」應解釋為主要成分相同。舉例來說,當第一層和第二層皆為氮化矽層且皆由相同材料製成時,第一層可由選自Si2 N、SiN、Si3 N4 以及Si2 N3 所組成的群組中的一種製成,並且第二層也可由選自以上群組中的一種製成,但可具有與第一層的結構不同的結構。
另外,在本發明中,由於可工作範圍可基於常規工作確定,因此變數的任何兩個數位可以構成變數的可工作範圍,並且所指示的任何範圍可包含或不包含端點。另外,所指示的變數的任何值(與它們是否用「約」指示無關)可指精確值或近似值且包含等效物,並且在一些實施例中可指平均值、中值、代表值、大部分值等。
在未指定條件及/或結構的本發明中,鑒於本發明,本領域的普通技術人員可按照實驗容易地提供這類條件及/或結構。在所有的所揭示的實施例中,出於既定目的,實施例中所使用的任何元素可用與其同等的任何元素替換,包含本文中明確地、必須地或固有地揭示的那些元素。另外,本發明可同樣應用於裝置和方法。
本文中參考本發明的理想化實施例(和中間結構)的示意說明描述本發明的實施例。因而,預期說明的形狀因為例如製造技術及/或公差而有所變化。因而,本發明的實施例不應理解為限於本文中說明的區域的特定形狀,而是包含例如因製造引起的形狀偏差。
圖1是根據本發明的實施例的基底處理方法的流程圖。
參考圖1,各自包含絕緣層和犧牲層的多個堆疊結構堆疊於彼此上(S110)。舉例來說,絕緣層可以是氧化矽(SiO)層,並且犧牲層可以是氮化矽(SiN)層。然而,本發明不限於此,且絕緣層和犧牲層可由具有不同蝕刻選擇性比率的任意材料製成。
隨後,通過蝕刻各自包含絕緣層和犧牲層的堆疊結構的端部來產生階梯結構(S120)。階梯結構可包含多個台階,且例如每一台階可包含一個絕緣層和一個犧牲層。階梯結構可使用例如反應性離子蝕刻和抗黏化(resist sliming)來產生。
此後,在階梯結構的側表面(例如在階梯結構的上部表面與下部表面之間提供以連接上部表面和下部表面的傾斜表面)上產生分離層(S130)。可產生分離層以覆蓋階梯結構的側表面的至少部分且暴露階梯結構的上部(和下部)表面的至少部分。分離層可使用原子層沉積(atomic layer deposition;ALD)製程且更具體地說使用電漿增強原子層沉積(plasma-enhanced atomic layer deposition;PEALD)製程來產生。
產生以覆蓋階梯結構的側表面的分離層可將一個台階與另一台階分離。產生以暴露階梯結構的上部表面和下部表面的分離層可將墊層與鄰近台階(即在水平方向上鄰近於墊層的台階)分離。分離層的上述功能將在下文詳細描述。
隨後,使用導電字線結構替換犧牲層。在所圖示的製程中,去除犧牲層,且在去除犧牲層的空間中產生導電字線結構(S140)。導電字線結構可包含鎢或選自如銅和多晶矽的各種導電材料的材料或組合。將在下文詳細描述用於產生導電字線結構的實例實施例。
通過進行上文所描述的操作,可在導電字線結構之間提供分離層。分離層將導電字線結構彼此電分離。因此,當產生導電字線結構時,其間的電連接可通過分離層來防止。
圖2到圖10是用於描述根據本發明的其它實施例的基底處理方法的橫截面圖。根據當前實施例的基底處理方法可為根據先前實施例的基底處理方法的修改實例。其間的重複描述將不在本文中提供。
參考圖2,多個堆疊結構包含絕緣層210a、絕緣層210b、絕緣層210c以及絕緣層210d(在下文中統稱為「210」)和犧牲層220a、犧牲層220b、犧牲層220c以及犧牲層220d(在下文中統稱為「220」)。每一堆疊結構包含一對絕緣層(絕緣層210a、絕緣層210b、絕緣層210c或絕緣層210d)和犧牲層(犧牲層220a、犧牲層220b、犧牲層220c或犧牲層220d)。絕緣層210和犧牲層220在基底200上堆疊於彼此上。儘管圖2中未繪示,但溝道孔可通過蝕刻來自堆疊結構的一些區域的絕緣層210和犧牲層220來產生,且記憶體單元串(參看圖11的MCS)的元件的至少一部分可產生於溝道孔中。記憶體單元串可包含如溝道、閘極導電層以及閘極絕緣層的元件。
用於產生記憶體單元串的元件的製程可通過直接地產生溝道、閘極導電層以及閘極絕緣層的至少一部分來進行,或通過在溝道孔中產生犧牲層以允許溝道、閘極導電層以及閘極絕緣層在後續製程中產生來進行,或通過兩者來進行。
隨後,如圖3中所繪示,通過蝕刻堆疊結構來產生階梯結構。如上文所描述,階梯結構可包含多個台階,且每一台階可具有上部表面(或第一水平表面)、下部表面(或第二水平表面)以及連接上部表面和下部表面的側表面(或垂直表面)。舉例來說,階梯結構可包含一個或多個台階ST,且一個台階ST可具有犧牲層220b上的上部表面U、犧牲層220a上的下部表面L以及連接上部表面U和下部表面L的側表面S。
此後,在階梯結構的側表面上產生分離層。為這個目的,如圖4中所繪示,最初在階梯結構上產生第一層300。儘管第一層300在圖4中繪示為單層,但第一層300也可包含多層。第一層300可由例如與絕緣層210的材料相同的材料製成。另外,第一層300可由例如與犧牲層220的材料不同的材料製成。在另一實施例中,第一層300可由與絕緣層210和犧牲層220的材料不同的材料製成。
第一層300可使用相對於先前實施例的上述PEALD製程來產生。在實例實施例中,針對第一層300,PEALD製程可通過維持較高電漿密度條件來進行。舉例來說,第一層300的ALD製程可通過應用較高電漿功率來進行。這個條件(例如較高電漿密度或較高電漿功率)可由於離子而引起層斷裂。因此,除第一層300的側表面外,第一層300的上部表面可由於應用電漿而變薄,並且第一層300可在後續等向性蝕刻製程期間選擇性去除。因此,均厚沉積(blanket deposition)和電漿處理可選擇性顯現所沉積的第一層300的水平(上部/下部)部分比所沉積的第一層的垂直(側)部分更易受後續蝕刻影響,以使得間隔物可通過後續等向性蝕刻(參看圖5和文本)而非定向蝕刻來形成。
可在ALD製程期間及/或電漿後處理操作中應用電漿。也就是說,可在產生第一層300的操作期間、之後或在所述操作期間和之後應用電漿。
隨後,參考圖5,在第一層300上進行等向性蝕刻製程(參看圖4)。如上文所描述,第一層300的上部表面可由於在一定條件下應用電漿而變薄,並且由此,即使進行等向性蝕刻製程,也可留存階梯結構的側表面上所產生的第一層300。因此,在第一層300的等向性蝕刻製程期間(參看圖4),可去除階梯結構的上部表面和下部表面上的第一層300的部分,且可留存階梯結構的側表面上的第一層300的部分。
分離層310可定義為第一層300的剩餘部分。可產生每一分離層310以覆蓋一個犧牲層220的側表面和另一犧牲層220的部分的上部表面。在實施例中,分離層310可由於等向性蝕刻製程而具有間隔物形狀。因此,隨後待產生的墊層(參看圖7的330)可具有對應於分離層310的間隔物形狀的輪廓。如上文所描述,即使採用等向性蝕刻,與高能量和損壞常規定向(非等向性)間隔物蝕刻相反,也可如上文所描述實現分離層310的間隔物形狀。
其後,在犧牲層220上產生墊層(應注意,墊層包含於犧牲層220中且也可稱為本發明中的犧牲層220)。墊層可在產生導電字線結構之前產生。為這個目的,如圖6中所繪示,在犧牲層220和分離層310上產生第二層320。儘管第二層320在圖6中繪示為單層,但第二層320也可產生為多層。第二層320可由例如與犧牲層220的材料相同的材料製成。另外,第二層320可由例如與絕緣層210的材料不同的材料製成。
第二層320可使用相對於先前實施例的上述PEALD製程來產生。在實例實施例中,針對第二層320,PEALD製程可通過維持較低電漿密度條件來進行。舉例來說,第二層320的ALD製程可通過應用較低電漿功率來進行。這個條件(例如較低電漿密度或較低電漿功率)可由於離子而引起層緻密化。因此,第二層320的上部表面或水平部分相對於垂直部分可由於應用電漿而緻密化,且第二層320可在後續等向性蝕刻製程期間選擇性去除。因此,沉積可保留與水平表面上的部分相比更易受蝕刻影響的垂直表面上的第二層320的部分,所述水平表面上的部分相對較不易受後續蝕刻影響。
可在ALD製程期間及/或電漿後處理操作中應用電漿。也就是說,可在產生第二層320的操作期間、之後或在所述操作期間和之後應用電漿。
在實例實施例中,電漿可使用如氬的惰性氣體及/或具有犧牲層220的成分的氣體(例如當第二層320為SiN層時的情況下的氮)來應用。使用應用電漿的緻密化可通過應用定向電漿來進行。歸因於電漿離子方向性,可相對於垂直側壁上的第二層320的部分使在階梯結構的水平上部表面U和水平下部表面L上所產生的第二層320的部分緻密化。因此,階梯結構上的第二層320可在後續等向性蝕刻製程期間選擇性蝕刻。
隨後,參考圖7,在第二層320上進行等向性蝕刻製程(參看圖6)。如上文所描述,第二層320的上部表面可由於在一定條件下應用電漿而緻密化,並且由此,即使進行等向性蝕刻製程,也可留存階梯結構的上部表面上所產生的第二層320。因此,在第二層320的等向性蝕刻製程期間,可留存階梯結構的上部表面和下部表面上的第二層320的部分,且可去除階梯結構的側表面上的第二層320的部分。
應用電漿被描述為上述描述中的實例,但應注意本發明不限於此。第一層和第二層可通過應用選擇性類型的能量來選擇性地緻密化及/或變薄。舉例來說,第一層的上部(水平)表面和下部(水平)表面上的第一層的部分可通過在第一能量應用製程期間供應等於或高於預設閾值的能量來變薄。作為另一實例,第二層的(水平)上部表面和(水平)下部表面上的第二層的部分可通過在第二能量應用製程期間供應等於或低於預設閾值的能量來緻密化。如圖6和圖7中所繪示,面朝上的表面上的水平部分相對於側壁上的垂直部分的緻密化可顯現更易受使用等向性蝕刻來選擇性蝕刻垂直部分影響的所沉積層。相反,如圖4和圖5中所繪示,面朝上的表面上的水平部分相對於側壁上的垂直部分變薄可顯現更易受使用等向性蝕刻來選擇性蝕刻水平部分影響的所沉積層。
如上文所描述,為產生分離層310(即為產生階梯結構的側表面上剩餘的層),可在產生第一層300的操作期間應用高密度的電漿,並且為產生墊層330(即為產生階梯結構的上部表面/下部表面上剩餘的層),可在產生第二層320的操作期間應用低密度的電漿。因此,在產生第一層300的操作期間所供應的電漿的密度可高於在產生第二層320的操作期間所供應的電漿的密度。
在產生分離層310和墊層330之後,在階梯結構上產生層間絕緣層250。如圖8中所繪示,在等向性蝕刻製程之後在階梯結構上產生層間絕緣層250。層間絕緣層250可由與位於階梯結構的犧牲層220之間的絕緣層210的材料相同的材料製成。舉例來說,階梯結構的犧牲層220可以是SiN層,階梯結構的絕緣層210可以是SiO層,並且層間絕緣層250可以是SiO層。
在產生層間絕緣層250的操作期間,可產生連接犧牲層220和分離層310的至少一個孔。或者,可產生連接墊層330和分離層310的至少一個孔。在原則上不應產生孔,但當犧牲層220與分離層310之間的距離(或如果不存在分離層310,那麼犧牲層220與鄰近結構之間的距離)較短時且當用於產生層間絕緣層250的原料氣體不完全地填充其間的較小空間時可產生孔。
孔可連接到犧牲層220和分離層310中的至少一個。具體來說,孔可連接到犧牲層220。歸因於連接到犧牲層220的孔,導電突起可在產生導電字線結構的後續操作期間產生。將在下文參考圖12到14提供這類孔的詳細描述以及可如何避免短路。
返回參考圖8,隨後,連接到至少部分的犧牲層220(包含墊層330)的介層窗260通過圖案化層間絕緣層250的上部表面來產生。在任選實施例中,介層窗260可在導電字線結構通過蝕刻犧牲層220來產生之後產生。
隨後,產生導電字線結構。字線結構可替換犧牲層220和墊層330。最初,參考圖9,去除犧牲層220(參看圖8)和墊層330(參看圖8)。舉例來說,選擇性蝕刻犧牲層220和墊層330以暴露溝道(未繪示)、絕緣層210、層間絕緣層250以及介層窗260。因而,可去除包含犧牲層220(參看圖8)和墊層330(參看圖8)的犧牲字線結構。在任選實施例中,在蝕刻犧牲層220和墊層330的操作期間,也可蝕刻基底200上所產生的墊層330'(參看圖8),並且由此可暴露基底200的部分的上部表面。
參考圖10,導電字線結構WLa、導電字線結構WLb、導電字線結構WLc以及導電字線結構WLd(在下文中統稱為「WL」)產生於暴露空間中。可產生導電字線結構WL以對應於去除犧牲字線結構的空間。也就是說,導電字線結構WL可通過在去除犧牲層220和墊層330的空間中填充導電材料來產生。
如上文所描述產生的導電字線結構WL中的每一個可包含朝向溝道延伸的第一導電層C1和第一導電層C1上所提供的第二導電層C2。換句話說,導電字線結構WL可包含對應於犧牲層220(參看圖8)的第一導電層C1和對應於墊層330(參看圖8)的第二導電層C2。各自包含第一導電層C1以及第一導電層C1上所提供的第二導電層C2的導電字線結構WL稱為過量填充結構,在圖10中可看到所述導電字線結構WL延伸在間隔物形分離層310上方並且可充當用於通路260的延伸著陸墊(landing pad),如下文所描述。
第一導電層C1的側表面的至少一部分可與分離層310接觸。歸因於這類接觸,一個導電字線結構WL的第一導電層C1可與另一導電字線結構WL的第二導電層C2'分離。因此,在填充導電材料的操作期間,可通過分離層310來防止或最小化兩個導電字線結構WL之間的電短路。
第二導電層C2可包含在水平方向上從第一導電層C1突起的第一突起P1。第一突起P1可與分離層310接觸。舉例來說,第一突起P1的下部表面可與分離層310的上部表面接觸。歸因於這類接觸,第二導電層C2的下部表面可具有對應於分離層310的上部表面輪廓的形狀。舉例來說,分離層310可由於等向性蝕刻製程而具有間隔物形狀,並且,在這種情況下,第二導電層C2的下部表面可具有對應於間隔物形狀的輪廓。
在任選實施例中,第二導電層C2可包含向下方向上從第一突起P1的端部突起的第二突起P2。歸因於例如具有間隔物形狀的上文所描述的分離層310,可產生第二突起P2。
根據一些實施例,產生分離層310以防止或最小化導電字線結構WL之間短路的風險。歸因於分離層310,使用如本文中所描述的基底處理方法製造的裝置可具有各種特徵。
舉例來說,導電字線結構WL的第二導電層C2可包含與第一導電層C1重疊的第一區域S1以及與分離層310重疊的第二區域S2。因此,第二導電層C2的長度可由分離層310的寬度來增加,並且可改良與導電字線結構WL接觸的介層窗260與第二導電層C2之間的對準。在這種情況下,介層窗260與第二導電層C2的第一區域S1和第二區域S2兩者接觸。
作為另一實例,一個導電字線結構WL的第一導電層C1'可以與另一導電字線結構WL的第二導電層C2在垂直方向上間隔開分離層310的高度。這對應於因為在產生第二導電層C2之前產生分離層310而出現的結構特徵。另外,一個導電字線結構WL的第一導電層C1可以與另一導電字線結構WL的第二導電層C2'在水平方向上間隔開至少分離層310的寬度。
如上文所描述,通過在導電字線結構之間提供分離層,導電字線結構可彼此電分離且可彼此間隔開足夠的距離。另外,分離層可在在墊層產生製程期間進行的第二層等向性蝕刻製程期間充當蝕刻罩幕,並且由此用於產生墊層的第二層等向性蝕刻製程可在不由於等向性蝕刻而損壞犧牲層的情況下充分進行。
圖11是根據本發明的實施例的半導體裝置的電路圖。半導體裝置可使用根據先前實施例的基底處理方法來產生。其間的重複描述將不在本文中提供。
參考圖11,半導體裝置(例如半導體記憶體裝置)可包含基底200、記憶體單元串MCS、第一字線WL1以及第二字線WL2。
記憶體單元串MCS可在向上方向上從基底200突起和延伸。記憶體單元串MCS中的每一個可包含多個記憶體單元。儘管圖11中僅繪示四個記憶體單元,但必要時,一個記憶體單元串MCS中可包含更小或更大數目的記憶體單元。
第一字線WL1可連接到第一記憶體單元MC1。舉例來說,可產生第一字線WL1以朝向第一記憶體單元MC1的溝道延伸。同樣地,第二字線WL2可連接到第二記憶體單元MC2,並且可產生以朝向第二記憶體單元MC2的溝道延伸。
第一字線WL1和第二字線WL2中的至少一個可包含通過進行相對於圖2到圖10上文所描述的操作來產生的字線結構。因此,第一字線WL1和第二字線WL2中的至少一個可包含朝向溝道延伸的第一導電層C1以及提供於第一導電層C1上的第二導電層C2,且第二導電層C2可包含在水平方向上從第一導電層C1突起的第一突起P1。第二導電層C2可更包含在向下方向上從第一突起P1的端部突起的第二突起P2。
第一突起P1和第二突起P2的上文所描述的形狀由產生分離層310造成。也就是說,墊層330可沿具有間隔物形狀的分離層310的上部表面產生,且可產生第二導電層C2以對應於墊層330,由此產生第一突起P1和第二突起P2。
與字線相關的結構特徵相對於圖11在上文描述,但應注意,本發明不限於此。除非特徵彼此相抵觸,否則根據本發明的實施例的圖11的半導體裝置可包含上文所描述的方法的一些或所有特徵。
圖12到圖14是用於描述根據本發明的其它實施例的基底處理方法的橫截面圖。根據當前實施例的基底處理方法可為根據先前實施例的基底處理方法的修改實例。其間的重複描述將不在本文中提供。
參考圖12,在包含分離層310和墊層330的階梯結構上產生層間絕緣層250,且隨後通過圖案化層間絕緣層250來產生介層窗260。如上文所描述,在產生層間絕緣層250的操作期間,用於產生層間絕緣層250的原料氣體可不完全地填充犧牲層220與分離層310之間的空間或墊層330與分離層310之間的空間。在這種情況下,可產生連接到犧牲層220的孔H1或連接到墊層330的孔H2。
參考圖13,去除犧牲層220和墊層330。因而,暴露絕緣層210、層間絕緣層250以及介層窗260。同時,連接到犧牲層220的孔H1或連接到墊層330的孔H2可暴露鄰近犧牲字線結構的部分。
根據本發明的一些實施例,在產生層間絕緣層250之前,產生用於將鄰近字線結構彼此分離的分離層310。因此,即使在產生層間絕緣層250的操作期間產生孔H1且暴露鄰近字線結構的部分,也僅暴露分離層310。
隨後,如圖14所繪示,通過在暴露空間中填充導電材料來產生導電字線結構。在一般字線結構中,因為不存在分離層310,所以當在產生層間絕緣層250的操作期間產生孔H1或孔H2時,鄰近導電字線結構可電連接到彼此。然而,根據本發明的一些實施例,鄰近導電字線結構之間的電短路的風險可通過採用分離層310來防止或最小化。
本發明有關基底處理方法和使用基底處理方法製造的裝置,且裝置可為半導體裝置,並且更具體來說,可為垂直NAND(vertical NAND;VNAND)快閃記憶體裝置。VNAND快閃記憶體裝置包含垂直閘極堆疊。通過交替地堆疊SiO層/SiN層來產生閘極堆疊,且隨後產生穿過閘極堆疊的垂直溝道。隨後,從SiO/SiN堆疊蝕刻SiN層以產生字線。也就是說,連接到接觸塞的SiN層使用例如鎢層的導電層替換,並且由此產生具有階梯結構的閘極堆疊。
眾所周知,如鎢層的導電層在如接觸孔產生操作的後續操作中收縮。收縮的原因中的一個為導電層在產生熱的乾式蝕刻操作中結晶。金屬字線的收縮可引起字線的截斷。
圖15是繪示在例如用於產生接觸孔的乾式蝕刻操作期間字線截斷的橫截面圖,其中字線具有相同厚度(即t1 = t2 = t3)。當從基底的下部表面接收由加熱器產生的熱能時,歸因於加熱的結晶變為與堆疊的高度成比例串聯(因為在較大高度的堆疊處需要較高熱能)。
為最小化歸因於加熱的結晶的風險,鎢可在鎢填充過程中過量填充,並且由此在鎢填充過程期間可補充鎢收縮。然而,字線可能在鎢過量填充製程期間彼此連接,並且由此可造成VNAND裝置的功能失常。
在另一方面中,因為下部鎢層從加熱器接收更多熱能且由此更加結晶,為防止字線的截斷,底部層的厚度t3可大於中間層的厚度t2,並且中間層的厚度t2可大於上部層的厚度t1。因此,可通過在字線產生操作(即鎢過量填充製程)中向下部層證明大量鎢來防止結晶。
然而,當如上文所描述產生字線時,電阻偏差出現在字線之間,且因為字線之間的絕緣層(例如氧化層)具有相同厚度,所以字線短路。當產生絕緣層以具有不同厚度時,可增加裝置高度和製程複雜度。
本發明的一個實施例採用反向拓撲選擇性(reverse topology selective;RTS)SiO層作為金屬字線之間的阻擋層。在本文中,「RTS」具有與「拓撲選擇性(topology selective;TS)」的概念相反的概念,且意指所需結構通過調節應用於階梯結構上所產生的層的方向能量的能量應用參數來產生,以選擇性地使層緻密化/變薄。舉例來說,「TS-SiO」層是指通過使階梯結構的上部表面和下部表面上的層緻密化(或通過使階梯結構的側表面上的層變薄)來產生以去除在階梯結構的側表面上的層且保留在階梯結構的上部表面和下部表面上的層的SiO結構。相反,「RTS-SiO」層是指通過使階梯結構的上部表面和下部表面上的層變薄(或通過使階梯結構的側表面上的層緻密化)來產生以保留在階梯結構的側表面上的層且去除在階梯結構的上部表面和下部表面上的層的SiO結構。
RTS-SiO層在鎢字線之間產生。選擇性蝕刻SiO/SiN閘極堆疊的階梯結構上所產生的RTS-SiO層以去除在階梯結構的上部表面上的RTS-SiO層且保留在階梯結構的側壁上的RTS-SiO層。使用PEALD、環狀化學氣相沉積(chemical vapor deposition;CVD)、依序電漿增強式化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)以及脈衝PECVD中的至少一種來沉積RTS-SiO層。或者,RTS-SiO層可以是碳氧化矽(silicon oxycarbide;SiOC)層。
根據本發明的方法產生的上文所描述的RTS-SiO層可如下文所描述概述。應注意,以下描述中的操作次序僅對應於實例並且可改變。
(a)沉積RTS-SiO層:通過交替地堆疊SiO層和SiN層來產生閘極堆疊。其後,產生階梯圖案,且SiO層(例如RTS-SiO層)沉積於階梯圖案的上部表面和側壁上。在任選實施例中,下部SiN層的厚度可大於上部SiN層的厚度。也就是說,假定上部SiN層的厚度為t1,中間SiN層的厚度為t2,並且下部SiN層的厚度為t3,可滿足t1 < t2 < t3。
(b)蝕刻RTS-SiO層:蝕刻和去除SiN層的上部表面上所產生的RTS-SiO層。也就是說,進行蝕刻操作,其方式為使得去除階梯圖案的上部表面上所產生的SiO層(例如RTS-SiO層)且很少地蝕刻但留存階梯圖案的側壁上所產生的SiO層。側壁上剩餘的SiO層將提供於字線之間以防止或最小化字線之間的短路的風險。
(c)使用金屬填充字線:使用金屬替換SiN層。舉例來說,鎢過量填充製程可用於補償收縮。假定上部字線的厚度為t1,中間字線的厚度為t2,並且下部字線的厚度為t3,可滿足t1 < t2 < t3。
(d)填充接觸孔:將正矽酸四乙氧酯(Tetraethoxy orthosilicate;TEOS)填充於階梯圖案上。隨後,產生接觸孔,並且通過使用金屬填充接觸孔來產生介層窗。
以上描述中所概述的操作現將參考圖16到圖19詳細描述。圖16到圖19是用於描述根據本發明的其它實施例的基底處理方法的橫截面圖。根據當前實施例的基底處理方法可為根據先前實施例的基底處理方法的修改實例。其間的重複描述將不在本文中提供。
1)操作1(參看圖16):沉積RTS-SiO層。最初,產生SiO/SiN閘極堆疊,其方式為使得下部SiN層的厚度大於上部SiN層的厚度。舉例來說,產生圖16中所繪示的結構。在本文中,SiN層的厚度可滿足t1 < t2 < t3以防止由於在對應於SiN層所產生的字線中的下部字線的結晶而截斷。
隨後,產生RTS-SiO層。確切地說,RTS-SiO層沉積於暴露SiN層的端部的多層SiO/SiN閘極堆疊的階梯結構上。RTS-SiO層可使用PEALD、依序PECVD、脈衝CVD和其它等效方法中的至少一種來沉積。如O2 、O3 、N2 O或NO2 的含氧氣體可用作SiO製程的反應物。任選地,可沉積含SiO層,例如SiOC層。
2)操作2(參看圖17):蝕刻RTS-SiO層。蝕刻在SiN層的暴露端部上所產生的RTS-SiO層。在這個操作中,去離子水(deionized water;DIW):氫氟酸(hydrofluoric acid;HF)=100:1的稀釋氫氟酸(diluted hydrofluoric acid;DHF)用作蝕刻劑以蝕刻SiN層的上部表面上所產生的RTS-SiO2 層,且可很少地蝕刻或不蝕刻階梯結構的側表面(即SiN層的側表面)上所產生的RTS-SiO2 層。
3)操作3(參看圖18):使用金屬填充字線。閘極堆疊的SiN層使用如磷酸(H3 PO4 )的蝕刻劑來蝕刻,且金屬字線通過使用鎢填充蝕刻空間來產生。如上文所描述,鎢可在字線中過量填充以補充鎢收縮。當下部SiN層具有較大厚度時,下部鎢層的厚度可大於上部鎢層的厚度。在這個操作中,在操作2之後剩餘的RTS-SiO層可防止鎢字線彼此連接。也就是說,RTS-SiO層充當鎢字線之間的阻擋層,並且隨後留存在階梯結構的側壁上。
4)操作4(參看圖19):使用金屬填充接觸孔。產生介電層以覆蓋裝置結構。介電層可使用正矽酸四乙氧酯(TEOS)由SiO2 製成。產生多個接觸孔且使用如鎢的金屬填充所述接觸孔以產生金屬接觸件,並且金屬接觸件單獨地連接到使用鎢填充的字線的端部。
選擇性蝕刻RTS-SiO層的機制可基於離子轟擊效果來解釋。一般來說,電漿製程中的離子轟擊與薄膜的緻密化緊密相關。因此,產生在垂直於基團前進方向的表面上的薄膜與產生在平行於基團前進方向的表面上的薄膜相比具有較強化學抗性。
然而,當電漿功率或頻率超過一定閾值時,離子轟擊破壞膜結合結構且減弱膜的化學抗性。在這種情況下,產生在垂直於基團前進方向的表面上的薄膜與產生在平行於基團前進方向的表面上的薄膜相比具有較弱化學抗性。因此,上文所描述的根據本發明的選擇性蝕刻薄膜的操作可通過控制電漿條件(例如電漿功率、電漿頻率、電漿工作時間或基團類型)來進行。
圖20是半導體裝置(未必是現有技術)的橫截面圖,所述半導體裝置使用與上文所描述的方法的製程類似的製程來製造,不同之處在於沒有產生提供於字線之間以防止字線之間的連接的分離層並且介層窗在產生導電字線結構之後產生。其間的重複描述將不在本文中提供。
參考圖20,半導體裝置可在不產生與SiN層的側表面接觸的分離層的情況下製造。也就是說,產生SiO/SiN堆疊結構,並且產生穿過堆疊結構的垂直溝道。隨後,堆疊結構蝕刻為階梯結構,並且SiN層基於PEALD沉積於階梯結構上。此後,執行選擇性側蝕刻以去除沉積於階梯結構的側表面上的SiN層且保留沉積於階梯結構的上部表面上的SiN層。
隨後,在階梯結構上產生TEOS層,且字線通過去除堆疊SiN層和剩餘的SiN層且隨後填充鎢來產生。鎢填充於階梯結構的暴露部分以及去除堆疊SiN層的空間中。這個字線結構可稱為過量填充結構。
其後,產生穿過TEOS層且連接到階梯結構上所產生的鎢層(即過量填充鎢層)的接觸孔,且金屬層填充其中以將字線連接到位線。
過量填充鎢層可解決因乾式蝕刻選擇性而導致的裝置不穩定性問題。確切地說,為產生待連接到上部位線的金屬填充通路,產生待使用乾式蝕刻製程使用金屬填充的接觸孔。在乾式蝕刻製程中,因為上部階梯層和下部階梯層具有不同乾式蝕刻選擇性比率(即從TEOS層的上部表面到每一階梯層的暴露上部表面產生接觸孔所採用的時間不同),所以接觸孔穿過上部SiN層以將上部SiN層連接到下部SiN層。因此,鎢層可彼此連接。過量填充鎢層在本發明的至少一些實施例中稱為墊層以強調其功能而不限制材料。
然而,當過量填充鎢層之間的距離如圖21中所繪示較短時,TEOS的原料氣體可能不完全地填充於其間間隙中。歸因於這類不完全填充,鎢層可隨後彼此連接而不彼此分離。也就是說,當未充分產生TEOS層時,所述鎢層的原料氣體(例如六氟化鎢(WF6 ))可穿透到狹窄的空間中,並且由此鎢層可如圖21中所繪示彼此連接。
另外,如圖22中所繪示,當在產生TEOS層之前選擇性蝕刻TS-SiN層時,可能不完全去除階梯結構的側表面上所產生的TS-SiN層,並且由此鎢層可在後續製程中彼此連接。在這種情況下,裝置的電特性可劣化且由此裝置可功能失常。
本發明的至少一些實施例可提供某些益處。舉例來說,裝置穩定性可通過產生分離層以防止上部鎢層(即上部字線)與下部鎢層(即下部字線)之間的接觸來實現。另外,高品質分離層可使用簡單製程通過基於PEALD沉積和選擇性蝕刻RTS-SiO層來產生。
圖23到圖30是用於描述根據本發明的其它實施例的基底處理方法的橫截面圖。根據當前實施例的基底處理方法可為根據先前實施例的基底處理方法的修改實例。其間的重複描述將不在本文中提供。
根據本發明,SiO2 層基於PEALD沉積於具有階梯形狀的SiO/SiN閘極堆疊上,且隨後進行選擇性階梯頂部蝕刻以保留在階梯結構的側表面上的SiO2 層且去除在階梯結構的上部表面上的SiO2 層。這類選擇性蝕刻可通過調節電漿應用參數或使用光刻製程而無需變薄/緻密化製程來實現。
隨後,SiN層基於PEALD沉積於階梯結構上。其後,進行選擇性階梯側蝕刻以保留在階梯結構的上部表面上的SiN層且去除在階梯結構的側表面上的SiN層(產生保護層)。隨後,充當層間絕緣層的厚絕緣層(例如TEOS層)沉積於閘極堆疊上,且去除SiN層。在去除SiN層之後,去除空間使用導電材料填充以產生字線。另外,產生通過暴露於字線的TEOS層的通路接觸孔。隨後,通路接觸孔使用例如金屬的導電材料填充以將字線連接到位線。
上文所描述的基底處理方法可如下文所描述概述。應注意,以下描述中的操作次序僅對應於實例並且可改變。
操作1(參看圖23):在這個操作中,產生SiO/SiN閘極堆疊層,且隨後蝕刻其以形成階梯結構。SiO/SiN堆疊層可通過基於CVD、PECVD、ALD或PEALD交替地堆疊SiO/SiN層來產生。
操作2(參看圖24):在這個操作中,SiO層基於PEALD沉積於SiO/SiN閘極堆疊層上。在這個操作中,沉積通過維持較高電漿密度條件來進行。舉例來說,可應用較高電漿功率。因此,選擇性蝕刻可在後續操作(操作3,參看圖25)中在階梯結構上進行。
操作3(參看圖25):在這個操作中,選擇性蝕刻在操作2中所沉積的SiO層。針對選擇性蝕刻,使用H3 PO4 執行濕式蝕刻以蝕刻沉積於階梯結構的上部表面上的SiO層且保留沉積於階梯結構的側表面上的SiO層。因為在操作2的沉積製程中保持較高電漿密度條件,因離子而導致的薄膜的斷裂比因離子轟擊效果而導致的薄膜的緻密化更顯著,並且由此階梯結構的上部表面的SiO層比階梯結構的側表面的SiO層更快蝕刻。在這個操作中在階梯結構的側表面上所產生的SiO層相較於操作4和操作5的「TS-SiN」層稱為「RTS-SiO層」。
操作4(參看圖26):在這個操作中,SiN層基於PEALD沉積於選擇性蝕刻SiO層上(即沉積於階梯圖案的側表面上剩餘的SiO層上)。在這個操作中,沉積通過維持較低電漿密度條件來進行。舉例來說,可應用較低電漿功率。因此,SiN層可在後續操作(操作5)中在階梯結構上選擇性蝕刻。
操作5(參看圖27):在這個操作中,選擇性蝕刻先前操作中所沉積的SiN層。在這個操作中,針對選擇性蝕刻,濕式蝕刻使用氫氟酸(HF):去離子水(DIW)=1:100的稀釋氫氟酸(DHF)來進行以蝕刻沉積於階梯結構的側表面上的SiN層且保留沉積於階梯結構的上部表面上的SiN層(即充當犧牲層220的SiN層上所產生的SiN層)。階梯結構上剩餘的SiN層充當用於防止待產生於後續操作中的通路接觸孔穿過階梯結構中的閘極堆疊的SiN層的保護層。另外,剩餘的SiN層充當用於將通路接觸孔連接到字線(即待使用金屬替換的閘極堆疊SiN層)的接觸焊墊。在操作4的沉積製程中,因為保持較低電漿密度條件,所以因離子轟擊效果而導致的薄膜的緻密化比因離子而導致的薄膜的斷裂更顯著。因此,階梯結構的側表面的SiN層比階梯結構的上部表面的SiN層更快蝕刻。在這個操作中在階梯結構的上部表面上所產生的SiN層稱為「TS-SiN」層。在使用選擇性蝕刻來產生TS-SiN層之後,絕緣材料層沉積於階梯結構上。絕緣材料層可以是TEOS層且可充當後續操作中的用於產生通路接觸孔的模塑層。
操作6(參看圖28):產生通過產生於操作5中的TEOS層連接到TS-SiN層的通路接觸孔。通路接觸孔使用乾式蝕刻來產生。在產生通路接觸孔之後,介層窗通過使用例如鋁金屬、鎢或等效導電材料的導電材料填充通路接觸孔來產生。
操作7(參看圖29):在這個操作中,閘極堆疊的SiN層和產生於操作5中的TS-SiN層基於濕式蝕刻去除。濕式蝕刻可使用H3 PO4 進行。
操作8(參看圖30):在這個操作中,導電材料填充於在操作7中去除SiN層的空間中。在這個實施例中,鎢使用CVD填充。
圖30繪示通過使用金屬替換充當犧牲層220的SiN層和充當墊層的SiN層來產生的字線以及位於字線之間的RTS-SiO2 層。如圖30中所繪示,金屬字線可通過RTS-SiO2 層彼此完全分離,並且由此可防止金屬字線之間的接觸。
圖式中的元件的形狀僅對應於實例供用於清晰理解本發明。應注意,所圖示的形狀可進行各種改變。在圖式中,類似的圖式標號表示類似元件。
儘管已參考圖式描述一個或多個實施例,但本領域的普通技術人員應瞭解,在不脫離由以下申請專利範圍定義的本發明的精神和範圍的情況下可以在本文中對形式和細節進行各種改變。
200‧‧‧基底
210、210a、210b、210c、210d‧‧‧絕緣層
220、220a、220b、220c、220d‧‧‧犧牲層
250‧‧‧層間絕緣層
260‧‧‧介層窗
300‧‧‧第一層
310‧‧‧分離層
320‧‧‧第二層
330、330'‧‧‧墊層
C1、C1'‧‧‧第一導電層
C2、C2'‧‧‧第二導電層
H1、H2‧‧‧孔
L‧‧‧下部表面
MC1‧‧‧第一記憶體單元
MC2‧‧‧第二記憶體單元
MCS‧‧‧記憶體單元串
P1‧‧‧第一突起
P2‧‧‧第二突起
S‧‧‧側表面
S1‧‧‧第一區域
S2‧‧‧第二區域
S110、S120、S130、S140‧‧‧步驟
ST‧‧‧台階
t1、t2、t3‧‧‧厚度
U‧‧‧上部表面
W0、W1、W2、W3、W4‧‧‧字線
WL、WLa、WLb、WLc、WLd‧‧‧導電字線結構
WL1‧‧‧第一字線
WL2‧‧‧第二字線
通過結合圖式對實施例進行的以下描述,這些及/或其它方面將變得顯而易見並且更加容易理解。 圖1是根據本發明的實施例的基底處理方法的流程圖。 圖2到圖10是用於描述根據本發明的其它實施例的基底處理方法的橫截面圖。 圖11是根據本發明的實施例的半導體裝置的電路圖。 圖12到圖14是用於描述根據本發明的其它實施例的基底處理方法的橫截面圖。 圖15是繪示在例如乾式蝕刻操作期間截斷字線的橫截面圖,其中字線具有相同厚度。 圖16到圖19是用於描述根據本發明的其它實施例的基底處理方法的橫截面圖。 圖20是半導體裝置的橫截面圖。 圖21和圖22是繪示字線在製程期間連接的橫截面圖。 圖23到圖30是用於描述根據本發明的其它實施例的基底處理方法的橫截面圖。

Claims (21)

  1. 一種基底處理方法,包括: 將各自包括絕緣層以及犧牲層的多個堆疊結構堆疊在彼此上; 通過部分地去除所述堆疊結構來產生階梯結構; 在所述階梯結構的側表面上產生分離層;以及 使用導電字線結構替換所述犧牲層, 其中所述分離層提供在所述導電字線結構之間。
  2. 如申請專利範圍第1項所述的基底處理方法,更包括: 在所述犧牲層上產生墊層;以及 在所述階梯結構上產生層間絕緣層, 其中產生所述導電字線結構包括: 去除所述犧牲層以及所述墊層;以及 在去除所述犧牲層以及所述墊層的空間中填充導電材料。
  3. 如申請專利範圍第2項所述的基底處理方法,其中在填充所述導電材料期間通過所述分離層來防止所述導電字線結構之間的短路。
  4. 如申請專利範圍第1項所述的基底處理方法,其中在產生所述層間絕緣層期間產生連接到所述犧牲層的至少一個孔,以及 其中在替換期間產生填充所述孔的導電突起。
  5. 如申請專利範圍第1項所述的基底處理方法,其中所述導電字線結構中的每一個包括: 第一導電層,朝向溝道延伸;以及 第二導電層,提供於所述第一導電層上,以及 其中所述第一導電層的側表面的至少一部分與所述分離層接觸。
  6. 如申請專利範圍第5項所述的基底處理方法,其中導電字線結構的所述第一導電層與另一導電字線結構的所述第二導電層在垂直方向上彼此間隔開所述分離層的高度。
  7. 如申請專利範圍第5項所述的基底處理方法,其中所述第二導電層包括從所述第一導電層突起的第一突起,以及 其中所述第一突起與所述分離層接觸。
  8. 如申請專利範圍第7項所述的基底處理方法,其中所述分離層具有間隔物形狀,以及 其中所述第二導電層的下部表面具有對應於所述間隔物形狀的輪廓。
  9. 如申請專利範圍第7項所述的基底處理方法,其中所述第二導電層更包括在向下方向上從所述第一突起的端部突起的第二突起。
  10. 如申請專利範圍第7項所述的基底處理方法,其中所述第二導電層包括: 第一區域,與所述第一導電層重疊;以及 第二區域,與所述分離層重疊。
  11. 如申請專利範圍第10項所述的基底處理方法,其中產生與所述導電字線結構接觸的介層窗,以及 其中所述介層窗與所述第二導電層的所述第一區域以及所述第二區域接觸。
  12. 如申請專利範圍第1項所述的基底處理方法,其中產生所述分離層包括: 在所述階梯結構上產生第一層; 通過應用第一能量來使所述第一層選擇性地緻密化,其方式為使得在所述階梯結構的所述側表面上的所述第一層的一部分比在所述階梯結構的上部表面以及下部表面上的所述第一層的一部分更緻密;以及 等向性地蝕刻所述第一層以去除在所述階梯結構的所述上部表面以及所述下部表面上的所述第一層的所述部分以及保留在所述階梯結構的所述側表面上的所述第一層的所述部分。
  13. 如申請專利範圍第2項所述的基底處理方法,其中產生所述墊層包括: 在所述階梯結構上產生第二層; 通過應用第二能量來使所述第二層選擇性地緻密化,其方式為使得在所述階梯結構的上部表面以及下部表面上的所述第二層的一部分比在所述階梯結構的所述側表面上的所述第二層的一部分更緻密;以及 等向性地蝕刻所述第二層以去除在所述階梯結構的所述側表面上的所述第二層的所述部分以及保留在所述階梯結構的所述上部表面以及所述下部表面上的所述第二層的所述部分。
  14. 如申請專利範圍第12項所述的基底處理方法,其中所述第一能量等於或高於預設閾值以及使在所述階梯結構的所述上部表面以及所述下部表面上的所述第一層的所述部分變薄。
  15. 一種包括階梯圖案的基底的基底處理方法,所述階梯圖案通過交替地堆疊第一氧化矽層以及第一氮化矽層來產生,所述基底處理方法包括: 在所述階梯圖案的上部表面以及側壁上產生第二氧化矽層; 去除所述上部表面上所產生的所述第二氧化矽層而未將所述第二氧化矽層從所述側壁完全去除;以及 使用金屬替換所述第一氮化矽層。
  16. 如申請專利範圍第15項所述的包括階梯圖案的基底的基底處理方法,其中所述第一氮化矽層包括下部第一氮化矽層以及比所述下部第一氮化矽層更薄的上部第一氮化矽層。
  17. 如申請專利範圍第15項所述的包括階梯圖案的基底的基底處理方法,其中所述側壁上剩餘的所述第二氧化矽層提供在字線之間以及防止所述字線之間的短路。
  18. 如申請專利範圍第15項所述的包括階梯圖案的基底的基底處理方法,其中所述金屬具有過量填充的結構且充當字線。
  19. 如申請專利範圍第18項所述的包括階梯圖案的基底的基底處理方法,其中為產生所述過量填充的結構,使用所述金屬替換所述第一氮化矽層包括: 在所述第一氮化矽層以及所述側壁上剩餘的所述第二氧化矽層上產生第二氮化矽層; 去除所述第二氧化矽層上所產生的所述第二氮化矽層而無需將所述第二氮化矽層從所述第一氮化矽層完全去除;以及 使用所述金屬替換所述第一氮化矽層以及所述第二氮化矽層。
  20. 一種半導體裝置,包括: 基底; 至少一個記憶體單元串,在向上方向上從所述基底突起以及延伸; 第一字線,連接到所述記憶體單元串的第一記憶體單元;以及 第二字線,連接到所述記憶體單元串的第二記憶體單元, 其中所述第一字線以及所述第二字線中的至少一個包括: 第一導電層,朝向溝道延伸;以及 第二導電層,提供於所述第一導電層上,以及 其中所述第二導電層包括在水平方向上從所述第一導電層突起的第一突起。
  21. 如申請專利範圍第21項所述的半導體裝置,其中所述第二導電層更包括在向下方向上從所述第一突起的端部突起的第二突起。
TW107113267A 2017-04-26 2018-04-19 基底處理方法與應用其所製造的半導體裝置 TWI698939B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762490469P 2017-04-26 2017-04-26
US62/490,469 2017-04-26
US15/951,644 2018-04-12
US15/951,644 US10504901B2 (en) 2017-04-26 2018-04-12 Substrate processing method and device manufactured using the same

Publications (2)

Publication Number Publication Date
TW201907490A true TW201907490A (zh) 2019-02-16
TWI698939B TWI698939B (zh) 2020-07-11

Family

ID=63915696

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113267A TWI698939B (zh) 2017-04-26 2018-04-19 基底處理方法與應用其所製造的半導體裝置

Country Status (4)

Country Link
US (1) US10504901B2 (zh)
KR (1) KR102592694B1 (zh)
CN (1) CN108807169B (zh)
TW (1) TWI698939B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI750071B (zh) * 2021-03-16 2021-12-11 旺宏電子股份有限公司 半導體結構

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10847529B2 (en) * 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2019028136A1 (en) * 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
JP2020027873A (ja) * 2018-08-10 2020-02-20 キオクシア株式会社 半導体装置
JP2020035833A (ja) * 2018-08-28 2020-03-05 キオクシア株式会社 半導体記憶装置および半導体記憶装置の製造方法
WO2020077185A1 (en) 2018-10-13 2020-04-16 Applied Materials, Inc. Stacked transistor device
EP3891780A4 (en) 2018-12-07 2022-12-21 Sunrise Memory Corporation METHODS OF FORMING NETWORKS OF MULTILAYER VERTICAL NOR TYPE MEMORY CHAINS
CN113097215B (zh) * 2020-06-11 2021-12-07 长江存储科技有限责任公司 三维存储器结构及其制备方法
CN113322071A (zh) * 2021-05-28 2021-08-31 长江存储科技有限责任公司 刻蚀用组合物及其使用方法
US20220399363A1 (en) * 2021-06-15 2022-12-15 Micron Technology, Inc. Integrated Circuitry Comprising A Memory Array Comprising Strings Of Memory Cells And Methods Including A Method Used In Forming A Memory Array Comprising Strings Of Memory Cells
CN117219612A (zh) * 2022-05-30 2023-12-12 长鑫存储技术有限公司 半导体结构和存储器的制备方法

Family Cites Families (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
BR9509995A (pt) 1994-12-13 1997-12-30 Hp Cremie Pelzer Research & De Revestimentos têxteis recicláveis para pisos
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
EE200100421A (et) 1999-02-11 2002-12-16 Hardide Limited Volframkarbiidist kattematerjal, seda sisaldav kate ning meetod volframkarbiidi saamiseks ja katte valmistamiseks
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
KR20090126273A (ko) 2007-03-28 2009-12-08 다우 코닝 코포레이션 실리콘 및 탄소를 함유하는 장벽층의 롤투롤 플라즈마 화학 기상 증착법
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
KR101778287B1 (ko) * 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120030193A (ko) * 2010-09-17 2012-03-28 삼성전자주식회사 3차원 반도체 장치의 제조 방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
KR101744127B1 (ko) * 2010-11-17 2017-06-08 삼성전자주식회사 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
JP2014027104A (ja) 2012-07-26 2014-02-06 Toshiba Corp 半導体装置及びその製造方法
KR20140089793A (ko) * 2013-01-07 2014-07-16 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR101986245B1 (ko) * 2013-01-17 2019-09-30 삼성전자주식회사 수직형 반도체 소자의 제조 방법
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP6129756B2 (ja) * 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
KR102168189B1 (ko) * 2014-03-07 2020-10-21 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
KR102134912B1 (ko) * 2014-03-21 2020-07-20 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
KR102094470B1 (ko) * 2014-04-08 2020-03-27 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102508897B1 (ko) * 2015-12-17 2023-03-10 삼성전자주식회사 수직형 메모리 소자 및 그 형성 방법
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
WO2017184701A1 (en) 2016-04-21 2017-10-26 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102600997B1 (ko) * 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI750071B (zh) * 2021-03-16 2021-12-11 旺宏電子股份有限公司 半導體結構
US11688688B2 (en) 2021-03-16 2023-06-27 Macronix International Co., Ltd. Memory device including a landing pad with increased thickness of a conductive film in the landing area

Also Published As

Publication number Publication date
CN108807169A (zh) 2018-11-13
KR102592694B1 (ko) 2023-10-23
US10504901B2 (en) 2019-12-10
US20180315758A1 (en) 2018-11-01
TWI698939B (zh) 2020-07-11
CN108807169B (zh) 2023-04-18
KR20180120085A (ko) 2018-11-05

Similar Documents

Publication Publication Date Title
TWI698939B (zh) 基底處理方法與應用其所製造的半導體裝置
TWI700821B (zh) 基底處理方法與使用其製造的裝置
TWI682454B (zh) 基板處理方法
TWI699877B (zh) 形成三維記憶體元件的閘極結構的方法
KR100809330B1 (ko) 게이트 스페이서로 인한 응력이 배제된 반도체 소자 및 그제조 방법
CN110062958A (zh) 用于形成三维存储器件的方法
US9379126B2 (en) Damascene conductor for a 3D device
TW201913972A (zh) 記憶體裝置的階梯結構
KR20200044978A (ko) 선택적으로 에칭되는 자기-정렬된 비아 프로세스들
CN110121778A (zh) 三维存储器件
KR102171265B1 (ko) 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
TW201709465A (zh) 具有金屬裂縫停止之積體電路結構及其形成方法
CN112909005B (zh) 一种三维存储器及其制备方法
CN112687695A (zh) 一种三维存储器的制造方法
WO2019168027A1 (ja) 不揮発性記憶装置の製造方法
JPS62249422A (ja) 半導体集積回路装置の製造方法
TWI788656B (zh) 用於在三維記憶體元件中形成溝道結構的方法
TWI838721B (zh) 具有用於線後段互連及交叉點之改良隔離之減成法金屬蝕刻
CN111769037B (zh) 用于半导体结构的刻蚀方法及3d存储器件的制造方法
CN113035700B (zh) 三维存储器的制备方法
WO2023082037A1 (zh) 三维存储器及其制备方法
CN106558533A (zh) 导电插塞结构的形成方法
KR20120121796A (ko) 관통 전극을 위한 금속 패턴 형성방법
KR20090105607A (ko) 반도체 소자의 콘택홀 형성방법
KR20090002633A (ko) 반도체 소자의 제조방법