TW201709465A - 具有金屬裂縫停止之積體電路結構及其形成方法 - Google Patents

具有金屬裂縫停止之積體電路結構及其形成方法 Download PDF

Info

Publication number
TW201709465A
TW201709465A TW105118910A TW105118910A TW201709465A TW 201709465 A TW201709465 A TW 201709465A TW 105118910 A TW105118910 A TW 105118910A TW 105118910 A TW105118910 A TW 105118910A TW 201709465 A TW201709465 A TW 201709465A
Authority
TW
Taiwan
Prior art keywords
metal
barrier film
interlayer dielectric
insulator
forming
Prior art date
Application number
TW105118910A
Other languages
English (en)
Other versions
TWI613783B (zh
Inventor
Jim S Liang
Atsushi Ogino
Roger A Quon
Stephen E Greco
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW201709465A publication Critical patent/TW201709465A/zh
Application granted granted Critical
Publication of TWI613783B publication Critical patent/TWI613783B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明的實施例提供具有金屬裂縫停止的積體電路結構及其形成方法。依據本發明的實施例的IC結構可包括設於基板上方的絕緣體;設於該絕緣體上方的阻擋膜;設於該阻擋膜上方的層間介電質;以及設於該基板上方並與各該絕緣體、該阻擋膜以及該層間介電質橫向相鄰的金屬裂縫停止,其中,該金屬裂縫停止包括側壁,該側壁中具有第一凹部,以及其中,該阻擋膜與該層間介電質之間的水平界面與該金屬裂縫停止的該側壁相交。

Description

具有金屬裂縫停止之積體電路結構及其形成方法
本發明的方面通常關於積體電路(integrated circuit;IC)的結構及其製造方法。更具體地說,本發明的實施例包括其中具有金屬裂縫停止的IC結構,以及形成具有該金屬裂縫停止的該IC結構的製造方法。
特定裝置的各IC可由位於半導體基板材料的一個或多個晶片上的數十億互連裝置(例如電晶體、電阻器、電容器以及二極體)所組成。其中包括IC的產品的質量及可行性可至少部分依賴於用以製造該IC以及其中各種組件的結構的技術。IC的製造可包括兩個階段:前端製程(front-end-of-line;FEOL)製造方法以及後端製程(back-end-of-line;BEOL)製造方法。FEOL通常包括執行於晶圓上直到並包括形成第一“金屬層”(也就是將數個半導體裝置連接在一起的金屬導線)的製造方法。BEOL通常包括形成該第一金屬層之後的製造方法,包括所有後續金屬層的形成。為了使所製造的裝置具有較大的可擴展性及複雜度,可改變金屬層數以適合特定的應用,例如提 供四至六個金屬層,或者在另外的例子中提供多達16個或更多的金屬層。
隨著各IC產品中的裝置的總數不斷增加,IC結構對製造方法的副作用和/或一般磨損的彈性變得日益重要。例如,在裂縫形成於產品的邊緣處並向包括於該產品中的裝置擴展的情況下,在切割製造方法期間,金屬層的相互分離(也就是脫層)可能變得顯著。即使在靠近所製造裝置的周邊形成虛設材料(有時也稱為“裂縫停止”)來防止或減緩裂縫的生長的情況下,在一些實例中,所形成的裂縫仍可能避開該裂縫停止。
本發明的第一態樣提供一種積體電路(integrated circuit;IC)結構,該結構包括:設於基板上方的絕緣體;設於該絕緣體上方的阻擋膜;設於該阻擋膜上方的層間介電質;以及設於該基板上方並與各該絕緣體、該阻擋膜以及該層間介電質橫向相鄰的金屬裂縫停止,其中,該金屬裂縫停止包括側壁,該側壁中具有第一凹部,以及其中,該阻擋膜與該層間介電質之間的水平界面與該金屬裂縫停止的該側壁相交(intersect)。
本發明的第二態樣提供一種形成積體電路(IC)結構的方法。依據本發明的實施例形成積體電路結構的方法可包括:提供一結構,該結構包括:設於基板上方並與絕緣體橫向相鄰的犧牲金屬,設於各該犧牲金屬區域及該絕緣體上方並與其接觸的第一阻擋膜,以及設於該 第一阻擋膜上方並與其接觸的第一層間介電質;通過移除第一層間介電質的部分、該第一阻擋膜的部分,以及該犧牲金屬,在該基板上方形成開口;以及在該開口內及該基板上方形成第一金屬裂縫停止。
本發明的第三態樣提供一種形成積體電路(IC)結構的方法。依據本發明的實施例形成積體電路結構的方法可包括:提供一結構,該結構包括:設於基板上方並與絕緣體橫向相鄰的犧牲金屬,設於各該犧牲金屬及該絕緣體上方並與其接觸的第一阻擋膜,以及設於該第一阻擋膜上方並與其接觸的第一層間介電質;通過移除該第一層間介電質的第一部分、該第一阻擋膜的第一部分,以及該犧牲金屬,在該基板上方形成開口,其中,該層間介電質的第二部分及該第一阻擋膜的第二部分保持設於該犧牲金屬上方;以及在該開口內形成第一金屬裂縫停止,其中,該第一金屬裂縫停止包括與介於該第一阻擋膜與該第一層間介電質之間的界面相交的第一凹部。
本發明的示例態樣經設計以解決本文中所述的問題以及/或者未討論的其它問題。
2‧‧‧結構
10‧‧‧基板
12‧‧‧半導體區域
14‧‧‧淺溝槽隔離、STI
16‧‧‧絕緣體、絕緣體膜
18‧‧‧犧牲金屬
20‧‧‧導電區、導電層
22‧‧‧阻擋膜
24‧‧‧層間介電質、ILD、絕緣體
26‧‧‧硬遮罩、遮罩
28‧‧‧開口
30‧‧‧第一金屬裂縫停止
32‧‧‧襯裡
34‧‧‧過孔
36‧‧‧第二阻擋膜、阻擋膜
38‧‧‧第二ILD、ILD
40‧‧‧第二金屬裂縫停止
42‧‧‧齒
44‧‧‧IC結構
50‧‧‧結構
52‧‧‧平坦化層
54‧‧‧第二硬遮罩
58‧‧‧橫向差
60‧‧‧氮化物區域、氮化物
62‧‧‧開口
64‧‧‧第一金屬裂縫停止
70‧‧‧結構
72‧‧‧第二阻擋膜、層狀阻擋膜
74‧‧‧第二ILD、ILD
76‧‧‧第二金屬裂縫停止
78‧‧‧齒
80‧‧‧第二層硬遮罩
82‧‧‧第二開口
84‧‧‧過孔開口
86‧‧‧第二襯裡
92‧‧‧層狀阻擋膜
94‧‧‧層狀ILD、ILD
96‧‧‧覆蓋絕緣體
100‧‧‧IC結構
G1‧‧‧間隙
G2‧‧‧間隙、第二間隙
R‧‧‧凹部
R1‧‧‧第一凹部、凹部區域
R2‧‧‧第二凹部、凹部區域、凹部
R3‧‧‧額外凹部
S‧‧‧側壁
從下面參照附圖所作的本發明的各種態樣的詳細說明將更容易理解本發明的這些及其它特徵,這些附圖顯示本發明的各種實施例,其中:第1圖顯示依據本發明的實施例的初始結構。
第2圖顯示依據本發明的實施例的具有硬遮罩以及部分形成的開口的結構。
第3圖顯示依據本發明的實施例的其中形成有開口的結構。
第4圖顯示依據本發明的實施例的其中具有金屬裂縫停止的結構。
第5圖顯示依據本發明的實施例的第一示例IC結構。
第6圖顯示依據本發明的實施例的其上具有兩個遮罩層的初始結構。
第7圖顯示依據本發明的實施例的具有部分形成的開口以及位於其上的一個遮罩層的結構。
第8圖顯示依據本發明的實施例的其中形成有開口的結構。
第9圖顯示依據本發明的實施例的具有開口、暴露的基板以及暴露的導電區的結構。
第10圖顯示依據本發明的實施例的具有形成於單個金屬化過程中的第一金屬裂縫停止及一組過孔的結構。
第11圖顯示依據本發明的實施例的另一個示例IC結構。
第12圖顯示依據本發明的實施例的具有金屬裂縫停止以及形成於第二阻擋膜及層間介電層中的開口的結構。
第13圖顯示依據本發明的實施例的具有第二襯裡形成於其上的結構。
第14圖顯示依據本發明的實施例的包括多個阻擋膜及層間介電質的另一個示例IC結構。
應當注意,本發明的附圖並非按比例繪製。附圖意圖僅顯示本發明的典型態樣,因此不應當被認為限制本發明的範圍。附圖中,相同的元件符號表示附圖中類似的元件。
本發明的實施例提供具有金屬裂縫停止的積體電路(IC)結構,包括用以阻止或完全防止自該IC的周邊擴展至其中的主動裝置的脫層裂縫的結構。本發明也考慮本文中所述的用以製造IC結構的方法。通常,依據本發明的IC結構可包括設於基板上的絕緣體、設於該絕緣體上的阻擋膜,以及設於該第一阻擋膜上方的層間介電質。該IC結構也可包括設於該基板上方(例如通過淺溝槽隔離(shallow trench isolation;STI)與其它區域隔開的該基板的半導體區域上方)的金屬裂縫停止。該金屬裂縫停止可與各該絕緣體、該阻擋膜以及該絕緣體介電質橫向且直接相鄰。而且,該金屬裂縫停止可包括側壁,該側壁中具有第一凹部。該第一凹部可例如與介於該第一阻擋膜與該層間介電質之間的水平界面相鄰設置,且可與該金屬裂縫停止的側壁相交。除其它以外,包括於該金屬裂縫停止中的凹部可在其中容置和/或限制水平擴展的脫層裂縫,以使這 些裂縫無法沿部分垂直和/或水平反方向繼續擴展來避開該金屬裂縫停止。
請參照第1圖,其顯示依據本發明的態樣的用以製造IC的結構2。結構2包括基板10,該基板中具有半導體區域12以及淺溝槽隔離(STI)14。半導體區域12可由任意當前已知或以後開發的半導體材料組成,其可包括但不限於:矽、鍺、碳化矽,以及基本由具有由式Alx1Gax2Inx3AsY1PY2NY3SbY4定義的組成的一種或多種III-V族化合物半導體組成的物質,其中,X1、X2、X3、Y1、Y2、Y3及Y4表示相對比例,分別大於或等於0且X1+X2+X3+Y1+Y2+Y3+Y4=1(1是總的相對摩爾量)。其它合適的物質可包括具有組成ZnA1CdA2SeB1TeB2的II-VI族化合物半導體,其中,A1、A2、B1及B2是相對比例,分別大於或等於零,且A1+A2+B1+B2=1(1是總的摩爾量)。而且,各半導體區域12的整體或其部分可經應變。基板10也可包括橫向設於例如半導體區域12之間的淺溝槽隔離(STI)14。通過移除基板10的部分來形成溝槽並接著用電性絕緣材料(例如本文中其它地方所述的絕緣材料的其中一種或多種)填充這些溝槽,可形成STI 14。
絕緣體16可形成於基板10上並設於其上方。絕緣體16可由任意絕緣材料組成,例如SiO2、SiN、多孔SiOx和/或摻雜SiOx,以及具有類似屬性的其它當前已知或以後開發的材料。
在基板10上及絕緣體16內可形成犧牲金屬 18及導電區20。犧牲金屬18及導電區20可由任意當前已知或以後開發的導電材料(例如金屬)組成,且在一個示例實施例中可由相同的材料形成。儘管犧牲金屬18與導電區20是不同的結構組件,但犧牲金屬18與導電區20可具有相同的材料組成,且更具體地,可由相同的製造方法步驟產生。在一個示例實施例中,通過選擇性移除絕緣體16的部分來形成兩個溝槽,並例如通過金屬沉積製造方法在其中形成鎢,可形成犧牲金屬18與導電區20。儘管第1圖中未明確顯示,但在絕緣體16、犧牲金屬18和/或導電區20之間也可形成一個或多個傳統襯裡。
結構2可包括形成於絕緣體16、犧牲金屬18及導電區20上方的阻擋膜22。相應地,在阻擋膜22上方可形成層間介電質(interlayer dielectric;ILD)24。阻擋膜22可被實施為任意當前已知或以後開發的“低k”絕緣或介電材料,例如本文中關於絕緣體16所述的那些材料的其中一種或多種。阻擋膜22可用以與積體電路的相鄰金屬層級層絕緣,且可具有最多約3.9(例如二氧化矽(SiO2)的介電常數)的介電常數。在阻擋膜22包括低k材料的情況下,阻擋膜22的屬性可減少或防止層間導電性,也就是相鄰層之間的“串擾(cross talk)”。ILD 24(也被稱為“金屬間介電質”或“層級間介電質”)可包括能夠將設於IC的多層級金屬化區中的密集互連線電性隔開的任意介電材料。ILD 24可由與阻擋膜22相比具有較低介電常數的材料組成,且在一個實施例中,可由具有在約1.0與 約2.0之間或者在任意情況下小於約3.9的介電常數的材料組成。如本文中其它地方所述(例如關於第11至14圖),多個阻擋膜22及ILD 24可交替設於絕緣體16、犧牲金屬18以及導電區20上方。
請參照第2圖,利用如這裡所述的遮罩層可處理結構2(第1圖)。在ILD 24的部分上方可形成硬遮罩26,而使其它部分暴露並被移除。具體地說,硬遮罩26可經圖案化以使基本設於犧牲金屬18上方的阻擋膜22及ILD 24的部分被移除,並使設於導電區20上方的ILD 24的部分也被移除。硬遮罩26和/或作為一種“硬遮罩”類型的本文中所述的其它材料可由一種或多種低溫氧化物(low-temperature oxide;LTO)、SiOx化合物和/或SixNy化合物組成。硬遮罩26也可以例如氮化鈦、氮化矽和/或適於用作硬遮罩材料的任意其它當前已知或以後開發的材料形式設置。在一個示例實施例中,如第2圖中所示,可移除阻擋膜22及ILD 24的部分,以暴露犧牲金屬18的上表面並使阻擋膜22的至少部分在導電區20上方保持完好。這裡所使用的術語“移除”可包括當前已知或以後開發的各種材料移除或拋光技術(包括蝕刻)的其中任意一種。
“蝕刻”通常是指自基板(或者形成於該基板上的結構)移除材料,且經常利用就位的遮罩(例如遮罩26)執行,從而可自結構選擇性移除材料,而使其餘材料不受影響。通常有兩類蝕刻,(i)濕式蝕刻以及(ii)乾式蝕刻。利用溶劑(例如酸)執行濕式蝕刻,該溶劑可經 選擇其能力以選擇性溶解給定材料(例如金屬),而使其它材料(例如絕緣體材料)保持相對完好。選擇性蝕刻特定材料的能力對於許多半導體製造方法是必不可少的。濕式蝕刻通常會等向性地蝕刻均質材料(例如金屬),但濕式蝕刻也可非等向性地蝕刻單晶材料(例如矽和/或絕緣體)。利用電漿可執行乾式蝕刻。通過調整所述電漿的參數,電漿系統可以數種模式操作。普通電漿蝕刻產生不帶電的高能自由基,其在晶圓的表面反應。由於中性粒子從所有角度攻擊晶圓,因此此製造方法是等向性的。離子研磨(ion milling)或濺鍍蝕刻(sputter etching)用惰性氣體的高能離子轟擊晶圓,該高能離子大致從一個方向接近晶圓,因此此製造方法為高度非等向性。反應離子蝕刻(reactive-ion etching;RIE)操作於介於濺鍍與電漿蝕刻之間的中間條件下,且可被用於產生深而窄的特徵,例如淺溝槽隔離(STI)溝槽。
在RIE情況下,被稱為“RIE延遲”的現象允許暴露犧牲金屬18而使阻擋膜22的部分在導電區20上方保持完好。具體地說,經歷相同RIE實例的材料可在不同的位置以不同的速度蝕刻,尤其是當該材料上的遮罩在兩個不同的位置暴露該材料的不同橫截面積的情況下。一般來說,RIE延遲將使材料的較小橫截面以慢於相同材料的較大橫截面的速度蝕刻。如第2圖中所示,遮罩26可包括設於導電區20上方的間隙G1,其與設於犧牲金屬20上方的第二間隙G2相比具有較小的橫截面。在將RIE應用於 ILD 24及阻擋膜22期間,RIE延遲可於犧牲金屬18暴露時,使阻擋膜22的部分在導電區20上方保持完好。在一個示例實施例中,間隙G2的橫截面積可比間隙G1的橫截面積大大約兩至三個量級(100至1000倍)之間。如本文中其它地方所述,應用RIE移除阻擋膜22及ILD 24的部分可使犧牲金屬18被移除而導電區20位於阻擋膜22下面。
請參照第3圖,可移除犧牲金屬18(第1至2圖)以形成開口28,並暴露基板10的上表面。例如,可通過應用對金屬具有選擇性的濕式蝕刻材料來選擇性移除犧牲金屬18。在犧牲金屬18由鎢組成的情況下,第3圖中所示的製造方法可包括應用濕式材料,該濕式材料選擇性蝕刻鎢、鈦和/或氮化鈦(例如包括於硬遮罩26(第2圖)的組成、犧牲金屬18和/或導電區20中)而對例如絕緣體16、阻擋膜22和/或ILD 24具有可以忽略的影響。適於選擇性移除犧牲金屬18和/或遮罩26的示例濕式蝕刻溶液可包括例如鐵氰化鉀、氫氧化鉀、磷酸二氫鉀、氟化銨等。應當理解,可應用其它當前已知或以後開發的選擇性濕式及乾式蝕刻劑來移除犧牲金屬18和/或硬遮罩26。在任何情況下,在犧牲金屬18和/或硬遮罩26的該選擇性移除期間,導電區20上方的阻擋膜22的存在可基本防止導電區20被移除。犧牲金屬18的該移除可形成基本位於基板10上方的開口28。在形成開口28以後,例如通過進一步應用非選擇性或乾式蝕刻製造方法可移除位於導電區 20上方的阻擋膜22的部分,以暴露導電區20,從而在後續製造方法中允許在其上形成導電材料(例如過孔(vias)形式)。
現在請參照第4圖,通過在IC結構上形成基本平坦化的金屬的任意當前已知或以後開發的製造方法(例如連續沉積及拋光),在開口28(第3圖)內可形成第一金屬裂縫停止30。如本文中其它地方進一步詳細所述,還應當理解,在第一金屬裂縫停止30沉積於其上之前,在基板10、絕緣體16、阻擋膜22和/或ILD 24上可共形形成襯裡32(包括例如氮化鉭(TaN)和/或鉭(Ta)的難熔金屬化合物)。其它難熔金屬例如鈦(Ti)、鎢(W)、銥(Ir)、銠(Rh)以及鉑(Pt)等或其混合物也可包括於襯裡32中。在任何情況下,在例如開口28內及ILD 24上方可形成襯裡32,在第一金屬裂縫停止30形成於其中之前,從而在第一金屬裂縫停止30與相鄰材料之間提供電性絕緣和/或結構保護。術語“沉積”可包括適於材料沉積的任意當前已知或以後開發的技術,包括但不限於例如化學氣相沉積(chemical vapor deposition;CVD)、低壓CVD(low-pressure CVD;LPCVD)、電漿增強型CVD(plasma-enhanced CVD;PECVD)、半大氣壓CVD(semi-atmosphere CVD;SACVD)以及高密度電漿CVD(high density plasma CVD;HDPCVD)、快速加熱CVD(rapid thermal CVD;RTCVD)、超高真空CVD(ultra-high vacuum CVD;UHVCVD)、限制反應處理CVD(limited reaction processing CVD;LRPCVD)、金屬有機CVD(metalorganic CVD;MOCVD)、濺鍍沉積、離子束沉積、電子束沉積、雷射輔助沉積、熱氧化、熱氮化、旋塗方法、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)、化學氧化、分子束磊晶(molecular beam epitaxy;MBE)、電鍍、蒸鍍。在沉積之後,所沉積金屬的其中一些可能位於ILD 24和/或設於其上的其它結構上並與其接觸。為形成具有想要的形狀及體積的第一金屬裂縫停止30,所沉積金屬可經拋光以生產平坦化上表面。例如,通過應用化學機械拋光(chemical-mechanical polishing;CMP)(也被稱為化學機械平坦化)可平坦化第一金屬裂縫停止30。CMP是一個利用化學材料和磨料兩種材料的製造方法,相當於研磨(lapping)(類似打磨(sanding))),以自所建結構移除材料。例如,在沉積並蝕刻若干元件以後,所得結構的頂部表面可能不平坦,在執行後續製造方法步驟之前需要平滑(或平整)。通常,CMP會平整結構的高點,從而保持較平滑的平坦表面。
第一金屬裂縫停止30的該形成也可包括在導電區20的暴露部分上方形成襯裡32,以及在襯裡32及導電區20上方形成過孔34。過孔34可由任意當前已知或以後開發的導電材料組成,且更具體地說,可由用以形成第一金屬裂縫停止30的相同材料組成。各過孔34還可在其中包括多個子部分。例如,靠近導電區20設置的過孔34的部分可被稱為“溝槽過孔”,而過孔34的其它部分 可被簡單地稱為“過孔”。例如,通過共用的沉積及拋光製造方法,過孔34可與第一金屬裂縫停止30同時形成。儘管第一金屬裂縫停止30及過孔34可通過相同的製造方法步驟形成,但第一金屬裂縫停止30可減少脫層裂縫的擴展,如本文中所述,而過孔34可在IC結構的層之間傳輸電流,也如本文中所述。
請參照第5圖,在BEOL(後端製程)金屬層級形成期間,在第一金屬裂縫停止30上可形成其它裂縫停止材料。例如,在ILD 24上方可形成第二阻擋膜36,在第二阻擋膜36上方可形成第二ILD 38,從而形成交替堆疊的阻擋膜22、36及ILD 24、38。接著,例如通過選擇性或非選擇性蝕刻可蝕刻掉第二阻擋膜36及第二ILD 38的部分,以形成過孔開口(在某些情況下被識別為溝槽)並暴露第一金屬裂縫停止30的上表面。然後,在第一金屬裂縫停止30、第二阻擋膜36和/或第二ILD 38上方可形成襯裡32,接著在第一金屬裂縫停止30、襯裡32、第二阻擋膜36和/或第二ILD 38上方形成(例如通過沉積)第二金屬裂縫停止40。如第5圖中示例所示,第二金屬裂縫停止40可包括延伸穿過第二阻擋膜36與第二ILD 38之間的水平界面的多個齒42。為形成齒42,例如選擇性蝕刻第二ILD 38及第二阻擋膜36的部分以暴露第一金屬裂縫停止30,同時僅部分蝕刻第二ILD 38的其它部分並在移除第二阻擋膜36之前終止該蝕刻。例如,通過連續沉積額外遮罩(未顯示)並將其自第二ILD 38移除可控制蝕刻的不同 量。第5圖中所示的各種組件可一起構成其中包括第一金屬裂縫停止30的IC結構44。
在應力事件例如自較大結構切割晶片期間,沿阻擋膜22與ILD 24之間或阻擋膜22與絕緣體膜16之間的界面水平延伸的脫層裂縫將停止於具有第一金屬裂縫停止30的單一一體式垂直側壁S的界面。也就是說,沿這些界面擴展的脫層裂縫將遇到金屬牆,而不會遇到水平界面,否則,該裂縫可通過該水平界面擴展至第一金屬裂縫停止30的另一側。因此,裂縫將需要沿第一金屬裂縫停止30的側壁S垂直向上或向下移動,以到達另一個水平界面進行進一步擴展。如本文中其它地方所述,金屬裂縫停止30的側壁S也可在其中包括凹部,以限制和/或進一步阻止水平擴展的脫層裂縫。
請參照第6圖,其顯示依據本發明的實施例形成IC結構的另一個替代製造方法。結構50可包括例如關於具有這裡所述的額外組件的結構2(第1圖)在本文中其它地方所述的各結構。具體地說,結構50可包括在ILD 24及硬遮罩26上方的平坦化層52。“平坦化層”通常是指經施加以平滑結構的上表面的任意材料,且可通過例如沉積材料接著拋光、沉積接著在其上形成可流動氧化物材料、單獨沉積,及/或任意其它當前已知或以後開發的製造方法或製造方法的組合形成。平坦化層52可採用有機平坦化層(organic planarizing layer;OPL)的形式,該有機平坦化層包括可通過濕式和/或乾式蝕刻製造方法移除 的一個或多個碳膜。一個或多個第二硬遮罩54可設於平坦化層52上方,且可由與平坦化層52相比具有較大抗蝕刻性的材料組成。例如,第二硬遮罩54可由一種或多種低溫氧化物材料組成。第二硬遮罩54可在其中包括間隙G2,間隙G2大於設於導電層20上方的其它間隙G1。間隙G2可基本設於阻擋膜22、ILD 24及平坦化層52下面的基板10的部分上方。間隙G2可經尺寸設定以在間隙G2與設於第二硬遮罩54下方的硬遮罩26之間的相應間隙的尺寸之間提供橫向差58。
請參照第7圖,例如通過RIE可移除設於第二硬遮罩54之間的平坦化層52、ILD 24以及阻擋膜22的部分,以形成暴露犧牲金屬18的開口62。相同的蝕刻製造方法也可移除設於導電區20上方的平坦化層52及ILD 24的部分,而保持阻擋膜22至少部分完好。設於導電區20上方的阻擋膜22可因RIE延遲而保持存在,如本文中其它地方所述,因為被移除的橫向部分的面積小於犧牲金屬18上方所移除的面積。如第7圖中所示,平坦化層52的部分可保持與ILD 24接觸,而沒有通過埋置於平坦化層52內的硬遮罩26與其隔開。直接設於ILD 24上方的平坦化層52的該部分可基於間隙G2(第6圖)的尺寸由本文中所述的例如橫向差58(第6圖)所導致。
視需要地,結構50可包括橫向延伸穿過絕緣體16的至少一個氮化物區域60(例如中端製程(middle of line;MOL)氮化物)。氮化物區域60可具有電性絕緣屬性, 與絕緣體16的剩餘部分類似,但可包括不同的化學組成。更具體地說,氮化物區域60可由與絕緣體16的剩餘部分相比具有較大的抗濕式蝕刻性的材料組成,如本文中其它地方所述。在絕緣體16包括氮化物材料的情況下,氮化物區域60可由與絕緣體16的剩餘部分相比具有較大的抗濕式蝕刻能力的不同氮化物材料組成。
現在請參照第8圖,其顯示依據本發明的另外製造方法步驟。在例如通過關於第3圖在本文中其它地方所述的選擇性濕式蝕刻製造方法移除犧牲金屬18之前,平坦化層52以及未被硬遮罩26覆蓋的ILD 24的部分可通過應用選擇性或非選擇性蝕刻製造方法移除。應當理解,相同的蝕刻製造方法也可移除例如絕緣體24、犧牲金屬18以及阻擋膜22的部分。不過,為在犧牲金屬18的該選擇性移除期間保護導電區20,在犧牲金屬18的該選擇性移除之前,阻擋膜22的至少部分可在導電區20上方保持完好。ILD 24的該部分移除可使位在靠近阻擋膜22與ILD 24之間的界面的阻擋膜22與ILD 24的部分部分地橫向延伸進入開口62內,從而形成如第8圖中所示的ILD 24內的相對臺階。
如第9圖中所示,例如利用本文中其它地方所述的選擇性蝕刻製造方法的其中一個或多個可移除犧牲金屬18,以暴露基板10,從而使阻擋膜22及ILD 24的部分水平延伸進入開口62的橫截面內。在選擇性移除犧牲金屬18以後,可接著移除阻擋膜22的部分,以暴露導電區 20。利用CVD、ALD和/或電鍍也可在開口62內的基板10、絕緣體16、阻擋膜22以及ILD 24上方共形形成襯裡32,以形成襯裡32的金屬部分。在具有氮化物區域60的情況下,犧牲金屬18的該移除也可包括移除絕緣體16的部分,以使氮化物區域60水平延伸進入開口62內。例如,在通過濕式蝕刻製造方法移除犧牲金屬18的情況下,相同的蝕刻劑溶液可選擇性移除絕緣體16的部分,而以較慢的速率移除氮化物區域60的部分,或者不影響氮化物區域60。
請參照第10圖,本發明的實施例可包括在開口62(第7至9圖)內形成第一金屬裂縫停止64,並且視需要地在導電區20上方形成過孔34。例如關於第4圖在本文中其它地方所述,第一金屬裂縫停止64的該形成可包括沉積金屬於開口62內(視需要地接觸襯裡32)以及鄰接結構例如ILD 24的上表面、導電區20等上面。接著,依據任意當前已知或以後開發的平坦化製造方法,可平坦化第一金屬裂縫停止64的上表面,以使第一金屬裂縫停止64的上表面與ILD 24和/或過孔34的上表面基本共面。過孔34以及襯裡32也可由導電材料形成,且可與第一金屬裂縫停止64同時形成。例如,在後續平坦化期間與過孔34結構性分離之前,第一金屬裂縫停止64初始可作為其中包括過孔34的金屬的連續體形成。因此,本發明的實施例提供用以在單個金屬化步驟期間同時形成金屬裂縫停止64及過孔34的方法。如本文中其它地方所述,在第一金屬裂縫停止64的側壁S內可具有第一凹部R1。第一凹部 R1可與介於阻擋膜22與ILD 24之間的水平界面以及介於阻擋膜22與絕緣體16之間的水平界面基本相交。另外,在氮化物區域60自絕緣體16延伸進入第一金屬裂縫停止64的情況下,第二凹部R2可與氮化物區域60相交,以進一步阻止水平裂縫圍繞第一裂縫停止64擴展。除其它以外,水平擴展的脫層裂縫可被限制於凹部區域R1、R2內,在這裡,它們不可能向後擴展出凹部區域R以及沿垂直側壁S垂直擴展。例如,若脫層裂縫沿著阻擋膜22與ILD 24之間的界面形成並向下垂直擴展,則第一裂縫停止64中的凹部R2可減慢或防止該脫層裂縫的進一步水平生長。
第11圖顯示依據本發明的實施例的結構70,該結構可通過本文中所述的製造方法步驟至少部分形成。第二阻擋膜72及第二ILD 74可連續形成於第一金屬裂縫停止64、ILD 24和/或過孔34上方。接著,可移除第二阻擋膜72及第二ILD 74的部分以形成額外的開口,該開口可由第二金屬裂縫停止76填充。與本文中其它地方所述的實施例類似,在形成第二金屬裂縫停止76之前,可共形形成襯裡32於第二阻擋膜72及第二ILD 74上。第二金屬裂縫停止76也可包括自第二阻擋膜72或第二ILD 74延伸至第一裂縫停止64的上表面的多個齒78,以形成垂直位於第一凹部R1上方的額外凹部R3。還應當理解,如本文中其它地方所述,額外過孔或金屬導線(未圖示)可形成於過孔34上方並與其接觸,以在金屬層之間提供電性連接。
請參照第12圖,其顯示依據本發明的實施例的額外及可選製造方法步驟。作為在第一金屬裂縫停止64上方形成第二金屬裂縫停止76的附加或替代,本發明的實施例可包括擴大第一金屬裂縫停止64的尺寸。如第12圖中所示,第二層硬遮罩80可形成於第二ILD 74及第二阻擋膜72上方。最初,第二阻擋膜72及第二ILD 74可基本為平坦的層,其中沒有包括任何開口、溝槽等。第二層硬遮罩80可經圖案化以使第二阻擋膜72及第二ILD 74的部分可被移除,從而在第一金屬裂縫停止64上方形成第二開口82以及基本在過孔34上方形成過孔開口84。第二開口82可基本依據本文中關於形成開口62及第7至9圖所述的製造方法形成。尤其,例如通過在第二ILD 74及第二層硬遮罩80上方形成平坦化層(未圖示)以及額外遮罩(未圖示),以及移除第二阻擋膜72及第二ILD 74的部分以暴露第一裂縫停止64,第二阻擋膜72及第二ILD 74的部分可在第一金屬裂縫停止64上方保持完好。在第二開口82的該形成期間,也可形成過孔開口84於垂直偏離第二阻擋膜72和/或過孔34的區域上方。
請參照第13圖,例如通過應用濕式或乾式蝕刻製造方法可移除第二層硬遮罩80,且可接著移除位於過孔34上方的第二阻擋膜72的部分。在第二開口82的該形成以後,在至少第一金屬裂縫停止64、第二阻擋膜72及第二ILD 74上方可共形形成第二襯裡86。第二襯裡86可具有與襯裡32不同的材料組成。例如,第二襯裡86可包 括錳摻雜(Mn-doped)銅(Cu),或者能夠與襯裡32的組成結合的另一種材料,且在形成第二開口82以後可通過沉積和/或電鍍形成於暴露材料上。在任何情況下,在形成期間,第二襯裡86可與襯裡32結合,以使第二襯裡86與襯裡32結構連續且沒有脫層裂縫可能沿其持續擴展的水平界面。第二襯裡86可形成於過孔開口84內以及過孔34上方,與第一金屬裂縫停止62及第二開口82橫向隔開。在形成第二襯裡86以後,本發明的製造方法可包括在過孔34和/或第一金屬裂縫停止62上形成額外金屬(例如通過使用生長和/或沉積),從而以獨立或共用的步驟增加第一金屬裂縫停止62和/或過孔34的尺寸。
如第14圖中所示,可針對IC結構的每個連續金屬層重複本文中所述的用以增加第一金屬裂縫停止62和/或過孔34的尺寸的製造方法。當依據本文中所述的製造方法形成時,第一金屬裂縫停止62可持續充當單一一體式結構,而其中的兩個獨立區域之間沒有水平界面。例如,層狀阻擋膜92與層狀ILD 94可交替形成於ILD 24和/或第二ILD 74上方。視需要地,覆蓋絕緣體96可形成於最上面的層狀阻擋膜92上方,並具有與各ILD 24、74、94相比較大的厚度。覆蓋絕緣體96可包括本文中關於絕緣體16所述的示例氧化物或非氧化物絕緣體材料的其中一種或多種,或者可由任意當前已知或以後開發的電性絕緣材料組成。覆蓋絕緣體96以及多個層狀阻擋膜92及層狀ILD 94可經處理以形成開口和/或溝槽,以進一步生長第一金 屬裂縫停止62和/或過孔34。當處理結束時,本發明的實施例可包括平坦化第一金屬裂縫停止62、過孔34和/或覆蓋絕緣體96的上表面,以形成平滑的表面。另外,一條或多條金屬導線(未圖示)可與過孔34接觸形成,且可例如延伸入或延伸出頁面的平面。
在說明第一金屬裂縫停止62的進一步擴大的過程中,第14圖顯示依據本發明的實施例的IC結構100。IC結構100可包括至少第一金屬裂縫停止62,該第一金屬裂縫停止具有基本沿其垂直側壁設置並適當時與層狀阻擋膜92、22、72與ILD 24、74、94,絕緣體16、氮化物60和/或覆蓋絕緣體96之間的水平界面相交的多個凹部R。依據本文中其它地方所述的本發明的實施例以及相應製造方法步驟,IC結構100通常可包括設於基板10上方的絕緣體16(例如半導體區域12和/或STI 14上方),阻擋膜22及ILD 24連續設於絕緣體16上方,第一金屬裂縫停止62也可設於基板10上方(例如半導體部分和/或STI 14上方),在其側壁內設有一個或多個凹部R。除其它以外,IC結構100內的金屬裂縫停止62的實施例可提供一個或多個位置,在這些位置可阻止或完全防止水平擴展的脫層裂縫形成圍繞第一金屬裂縫停止62並向IC結構100的主動區延伸。相應地,本發明的實施例可降低整個IC結構100上的脫層風險或減輕其任意影響。
本文中所使用的術語僅是出於說明特定實施例的目的,並非意圖限制本發明。除非上下文中另外明確 指出,否則本文中所使用的單數形式“一個”以及“該”也意圖包括複數形式。另外,應當理解,術語“包括”用於本說明書中時表明所述特徵、整體、步驟、操作、元件和/或組件的存在,但不排除存在或添加一個或多個其它特徵、整體、步驟、操作、元件、組件,和/或其群組。
申請專利範圍中的所有手段或步驟加功能元素的相應結構、材料、動作及等同意圖包括結合具體請求保護的其它請求保護的元素執行該功能的任意結構、材料或動作。本發明的說明用於示例及說明目的,而非意圖詳盡無遺或限於所揭露形式的揭露。許多修改及變更將對於本領域的普通技術人員顯而易見,而不背離本發明的範圍及精神。實施例經選擇及說明以最佳解釋本發明的原理及實際應用,並使本領域的普通技術人員能夠理解本發明針對不同的實施例具有適合所考慮的特定應用的不同變更。
10‧‧‧基板
12‧‧‧半導體區域
14‧‧‧淺溝槽隔離、STI
16‧‧‧絕緣體、絕緣體膜
20‧‧‧導電區、導電層
22‧‧‧阻擋膜
24‧‧‧層間介電質、ILD、絕緣體
32‧‧‧襯裡
34‧‧‧過孔
60‧‧‧氮化物區域、氮化物
64‧‧‧第一金屬裂縫停止
R1‧‧‧第一凹部、凹部區域
R2‧‧‧第二凹部、凹部區域、凹部
S‧‧‧側壁

Claims (20)

  1. 一種積體電路(integrated circuit;IC)結構,包括:絕緣體,設於基板上方;阻擋膜,設於該絕緣體上方;層間介電質,設於該阻擋膜上方;以及金屬裂縫停止,包括設於該基板上方並與各該絕緣體、該阻擋膜以及該層間介電質橫向相鄰的連續金屬填充物,其中,該金屬裂縫停止還包括側壁,該側壁中具有第一凹部,以及其中,該阻擋膜與該層間介電質之間的水平界面與該金屬裂縫停止的該側壁相交。
  2. 如申請專利範圍第1項所述的積體電路結構,其中,該阻擋膜與該層間介電質之間的該水平界面與該金屬裂縫停止的該側壁內的該第一凹部相交。
  3. 如申請專利範圍第2項所述的積體電路結構,還包括交替設於該層間介電質上方的多個堆疊阻擋膜及堆疊層間介電質,其中,該第一凹部包括設於該金屬裂縫停止的該側壁內的多個第一凹部的其中一個,以及其中,各該多個第一凹部與介於該多個堆疊阻擋膜的其中一個以及該多個堆疊層間介電質的其中一個之間的相應水平界面相交。
  4. 如申請專利範圍第3項所述的積體電路結構,還包括設於該多個堆疊阻擋膜的其中最上面一個上方的覆蓋絕緣體。
  5. 如申請專利範圍第1項所述的積體電路結構,其中,該 阻擋膜與該絕緣體之間的水平界面與該金屬裂縫停止的該側壁內的該第一凹部相交。
  6. 如申請專利範圍第1項所述的積體電路結構,還包括與該金屬裂縫停止的該連續金屬填充物共形接觸的連續襯裡材料。
  7. 如申請專利範圍第6項所述的積體電路結構,其中,該襯裡材料包括與該絕緣體至少部分橫向相鄰的第一區域以及設於該第一區域上方的第二區域,其中,該第一區域包括氮化鉭(TaN)及鉭(Ta)的其中一種,且該第二區域包括錳摻雜(Mn-doped)銅(Cu)。
  8. 如申請專利範圍第1項所述的積體電路結構,還包括設於該絕緣體內的氮化物區域,其中,該金屬裂縫停止的該側壁包括與該氮化物區域相交的第二凹部。
  9. 一種形成IC結構的方法,該方法包括:提供一結構,該結構包括:犧牲金屬,設於基板上方並與絕緣體橫向相鄰,第一阻擋膜,設於各該犧牲金屬區域及該絕緣體上方並與其接觸,以及第一層間介電質,設於該第一阻擋膜上方並與其接觸;通過移除第一層間介電質的部分、該第一阻擋膜的部分,以及該犧牲金屬,在該基板上方形成第一開口;以及 在該第一開口內及該基板上方形成第一金屬裂縫停止,其中,該第一金屬裂縫停止包括與該基板接觸並與各該絕緣體、該第一阻擋膜以及該第一層間介電質橫向相鄰的連續金屬填充物。
  10. 如申請專利範圍第9項所述的方法,還包括:在該第一層間介電質及該第一金屬裂縫停止的上表面上方形成第二阻擋膜;在該第二阻擋膜上方形成第二層間介電質;通過移除該第二層間介電質及該第二阻擋膜的部分而在該第二阻擋膜及該第二層間介電質內形成第二開口,以暴露該第一金屬裂縫停止的上表面;以及在該第二開口內及該第二層間介電質的上表面上方形成第二金屬裂縫停止,其中,該第二金屬裂縫停止包括該第一裂縫停止的該連續金屬填充物。
  11. 如申請專利範圍第9項所述的方法,還包括在該第一金屬裂縫停止的該形成之前,形成襯裡於該第一開口內並與至少該基板、該絕緣體、該層間介電質以及該第一阻擋膜接觸。
  12. 如申請專利範圍第11項所述的方法,其中,該襯裡包括氮化鉭(TaN)及鉭(Ta)的其中一種。
  13. 如申請專利範圍第9項所述的方法,其中,該結構還包括橫向偏離該犧牲金屬的導電區,與該導電區相比,該犧牲金屬具有較大的寬度,其中,設於該犧牲金屬上方的該第一層間介電質及該第一阻擋膜的該部分的該移 除包括移除設於該導電區上方的該第一層間介電質的部分,以暴露設於該導電區上方的該第一阻擋膜的部分。
  14. 如申請專利範圍第13項所述的方法,還包括在形成該第一金屬裂縫停止之前,選擇性移除設於該導電區上方的該第一阻擋膜的該部分。
  15. 如申請專利範圍第14項所述的方法,還包括在該第一金屬裂縫停止的該形成期間,在該導電區上方形成過孔,其中,該過孔的上表面與該第一層間介電質的上表面以及該第一金屬裂縫停止的上表面基本共面。
  16. 一種形成IC結構的方法,該方法包括:提供一結構,該結構包括:犧牲金屬,設於基板上方並與絕緣體橫向相鄰,第一阻擋膜,設於各該犧牲金屬及該絕緣體上方並與其接觸,以及第一層間介電質,設於該第一阻擋膜上方並與其接觸;通過移除該第一層間介電質的第一部分、該第一阻擋膜的第一部分,以及該犧牲金屬,在該基板上方形成開口,其中,該層間介電質的第二部分及該第一阻擋膜的第二部分保持設於該犧牲金屬上方;以及在該開口內形成第一金屬裂縫停止,其中,該第一金屬裂縫停止包括與介於該第一阻擋膜與該第一層間 介電質之間的界面相交的第一凹部,且還包括與該基板接觸並與各該絕緣體、該第一阻擋膜以及該第一層間介電質橫向相鄰的連續金屬填充物。
  17. 如申請專利範圍第16項所述的方法,其中,該結構還包括橫向偏離該犧牲金屬的導電區,與該導電區相比,該犧牲金屬具有較大的寬度,其中,設於該犧牲金屬上方的該第一層間介電質及該第一阻擋膜的該第一部分的該移除包括移除設於該導電區上方的該第一層間介電質的部分,以暴露設於該導電區上方的該第一阻擋膜。
  18. 如申請專利範圍第17項所述的方法,還包括在形成該第一金屬裂縫停止之前,選擇性移除設於該導電區上方的該第一阻擋膜。
  19. 如申請專利範圍第18項所述的方法,還包括在該第一金屬裂縫停止的該形成期間,在該導電區上方形成過孔,其中,該過孔的上表面與該第一層間介電質的上表面及該第一金屬裂縫停止的上表面基本共面。
  20. 如申請專利範圍第15項所述的方法,其中,該犧牲金屬的該移除還包括移除該絕緣體的部分,以暴露嵌入該絕緣體內的氮化物區域,以及其中,該氮化物區域延伸進入該第一金屬裂縫停止。
TW105118910A 2015-08-27 2016-06-16 具有金屬裂縫停止之積體電路結構及其形成方法 TWI613783B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/837,461 2015-08-27
US14/837,461 US9589911B1 (en) 2015-08-27 2015-08-27 Integrated circuit structure with metal crack stop and methods of forming same

Publications (2)

Publication Number Publication Date
TW201709465A true TW201709465A (zh) 2017-03-01
TWI613783B TWI613783B (zh) 2018-02-01

Family

ID=58104454

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105118910A TWI613783B (zh) 2015-08-27 2016-06-16 具有金屬裂縫停止之積體電路結構及其形成方法

Country Status (3)

Country Link
US (1) US9589911B1 (zh)
CN (1) CN106486478B (zh)
TW (1) TWI613783B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803495B (zh) * 2017-11-08 2023-06-01 台灣積體電路製造股份有限公司 半導體裝置結構的形成方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10340229B2 (en) * 2017-10-11 2019-07-02 Globalfoundries Inc. Semiconductor device with superior crack resistivity in the metallization system
US10490513B2 (en) 2018-03-28 2019-11-26 International Business Machines Corporation Advanced crack stop structure
US10475753B2 (en) 2018-03-28 2019-11-12 International Business Machines Corporation Advanced crack stop structure
KR102557402B1 (ko) * 2018-10-19 2023-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN117174584A (zh) * 2023-11-01 2023-12-05 合肥晶合集成电路股份有限公司 一种半导体结构的制造方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2776457B2 (ja) 1992-12-29 1998-07-16 インターナショナル・ビジネス・マシーンズ・コーポレイション 半導体デバイスのクラックストップ形成方法及び半導体デバイス
US5834829A (en) 1996-09-05 1998-11-10 International Business Machines Corporation Energy relieving crack stop
US5789302A (en) 1997-03-24 1998-08-04 Siemens Aktiengesellschaft Crack stops
US6033791A (en) 1997-04-04 2000-03-07 Smith And Stout Research And Development, Inc. Wear resistant, high impact, iron alloy member and method of making the same
US6022791A (en) 1997-10-15 2000-02-08 International Business Machines Corporation Chip crack stop
US6365958B1 (en) 1998-02-06 2002-04-02 Texas Instruments Incorporated Sacrificial structures for arresting insulator cracks in semiconductor devices
US6091131A (en) 1998-04-28 2000-07-18 International Business Machines Corporation Integrated circuit having crack stop for interlevel dielectric layers
US6521975B1 (en) 1999-05-20 2003-02-18 Texas Instruments Incorporated Scribe street seals in semiconductor devices and method of fabrication
US6492247B1 (en) 2000-11-21 2002-12-10 International Business Machines Corporation Method for eliminating crack damage induced by delaminating gate conductor interfaces in integrated circuits
US6383893B1 (en) 2000-12-28 2002-05-07 International Business Machines Corporation Method of forming a crack stop structure and diffusion barrier in integrated circuits
US6650010B2 (en) 2002-02-15 2003-11-18 International Business Machines Corporation Unique feature design enabling structural integrity for advanced low K semiconductor chips
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
JP2003249547A (ja) * 2002-02-22 2003-09-05 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
US6696757B2 (en) 2002-06-24 2004-02-24 Texas Instruments Incorporated Contact structure for reliable metallic interconnection
US7098676B2 (en) 2003-01-08 2006-08-29 International Business Machines Corporation Multi-functional structure for enhanced chip manufacturibility and reliability for low k dielectrics semiconductors and a crackstop integrity screen and monitor
US7067902B2 (en) 2003-12-02 2006-06-27 International Business Machines Corporation Building metal pillars in a chip for structure support
US7098544B2 (en) 2004-01-06 2006-08-29 International Business Machines Corporation Edge seal for integrated circuit chips
US7109093B2 (en) 2004-03-22 2006-09-19 International Business Machines Corporation Crackstop with release layer for crack control in semiconductors
JP4776195B2 (ja) 2004-09-10 2011-09-21 ルネサスエレクトロニクス株式会社 半導体装置
US7112470B2 (en) 2004-09-15 2006-09-26 International Business Machines Corporation Chip dicing
US20070087067A1 (en) 2005-10-18 2007-04-19 Yuan Yuan Semiconductor die having a protective periphery region and method for forming
US7544602B2 (en) 2007-03-29 2009-06-09 International Business Machines Corporation Method and structure for ultra narrow crack stop for multilevel semiconductor device
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
US7679200B2 (en) 2007-09-11 2010-03-16 Globalfoundries Inc. Semiconductor chip with crack stop
US8008750B2 (en) 2008-02-01 2011-08-30 Infineon Technologies Ag Crack stops for semiconductor devices
US7871902B2 (en) 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
US7491578B1 (en) 2008-04-02 2009-02-17 International Business Machines Corporation Method of forming crack trapping and arrest in thin film structures
US8063469B2 (en) 2008-09-30 2011-11-22 Infineon Technologies Ag On-chip radio frequency shield with interconnect metallization
US8188574B2 (en) 2009-02-12 2012-05-29 International Business Machines Corporation Pedestal guard ring having continuous M1 metal barrier connected to crack stop
US8237246B2 (en) 2009-02-12 2012-08-07 International Business Machines Corporation Deep trench crackstops under contacts
US8048761B2 (en) 2009-02-17 2011-11-01 Globalfoundries Singapore Pte. Ltd. Fabricating method for crack stop structure enhancement of integrated circuit seal ring
US9076798B2 (en) 2009-05-11 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dicing structures for semiconductor substrates and methods of fabrication thereof
US8859390B2 (en) 2010-02-05 2014-10-14 International Business Machines Corporation Structure and method for making crack stop for 3D integrated circuits
US8592941B2 (en) 2010-07-19 2013-11-26 International Business Machines Corporation Fuse structure having crack stop void, method for forming and programming same, and design structure
US8692245B2 (en) * 2011-08-21 2014-04-08 Nanya Technology Corp. Crack stop structure and method for forming the same
US8963282B2 (en) * 2011-09-14 2015-02-24 Nanya Technology Corp. Crack stop structure and method for forming the same
US8604618B2 (en) 2011-09-22 2013-12-10 International Business Machines Corporation Structure and method for reducing vertical crack propagation
US9059191B2 (en) 2011-10-19 2015-06-16 International Business Machines Corporation Chamfered corner crackstop for an integrated circuit chip
US8742594B2 (en) 2012-09-14 2014-06-03 International Business Machines Corporation Structure and method of making an offset-trench crackstop that forms an air gap adjacent to a passivated metal crackstop
JP6061726B2 (ja) 2013-02-26 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置および半導体ウェハ
US8970008B2 (en) 2013-03-14 2015-03-03 Infineon Technologies Ag Wafer and integrated circuit chip having a crack stop structure
JP5613290B2 (ja) 2013-05-24 2014-10-22 ルネサスエレクトロニクス株式会社 半導体装置
US9379065B2 (en) * 2013-08-16 2016-06-28 Qualcomm Incorporated Crack stopping structure in wafer level packaging (WLP)
US9230921B2 (en) * 2013-10-08 2016-01-05 Globalfoundries Inc. Self-healing crack stop structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803495B (zh) * 2017-11-08 2023-06-01 台灣積體電路製造股份有限公司 半導體裝置結構的形成方法

Also Published As

Publication number Publication date
US20170062354A1 (en) 2017-03-02
CN106486478B (zh) 2019-10-01
CN106486478A (zh) 2017-03-08
US9589911B1 (en) 2017-03-07
TWI613783B (zh) 2018-02-01

Similar Documents

Publication Publication Date Title
TWI613783B (zh) 具有金屬裂縫停止之積體電路結構及其形成方法
JP6029802B2 (ja) 集積回路用相互接続構造の製造方法
TWI634621B (zh) 具有金屬插塞之積體電路結構的製造
US8404580B2 (en) Methods for fabricating semiconductor devices
US9472457B2 (en) Manganese oxide hard mask for etching dielectric materials
US10332837B2 (en) Enhancing barrier in air gap technology
US9305886B2 (en) Integrated circuits having crack-stop structures and methods for fabricating the same
US9666529B2 (en) Method and structure to reduce the electric field in semiconductor wiring interconnects
CN105575828B (zh) 一种半导体器件的制作方法
JP2013530519A (ja) デバイスを形成する方法およびデバイス
TW201813038A (zh) 內犧牲間隔件的互連
TWI728484B (zh) 具有降低電阻變化的內連線結構及其形成方法
US20230080438A1 (en) Beol etch stop layer without capacitance penalty
KR101168507B1 (ko) 반도체 소자 및 그 형성 방법
JP2007251135A (ja) 半導体装置およびその製造方法
US7662711B2 (en) Method of forming dual damascene pattern
US20230090755A1 (en) Beol tip-to-tip shorting and time dependent dielectric breakdown
TWI621234B (zh) Method of forming interconnect structure
US8772936B2 (en) Semiconductor device with a copper line and method for manufacturing the same
JP2010114259A (ja) 半導体装置の製造方法及び半導体装置
JP2009200373A (ja) 半導体装置の製造方法
JP2006173390A (ja) 半導体装置の製造方法
JP2004063522A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees