CN108807169B - 衬底处理方法和使用其制造的半导体装置 - Google Patents

衬底处理方法和使用其制造的半导体装置 Download PDF

Info

Publication number
CN108807169B
CN108807169B CN201810379780.3A CN201810379780A CN108807169B CN 108807169 B CN108807169 B CN 108807169B CN 201810379780 A CN201810379780 A CN 201810379780A CN 108807169 B CN108807169 B CN 108807169B
Authority
CN
China
Prior art keywords
layer
conductive
word line
processing method
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810379780.3A
Other languages
English (en)
Other versions
CN108807169A (zh
Inventor
柳太熙
闵允基
刘龙珉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN108807169A publication Critical patent/CN108807169A/zh
Application granted granted Critical
Publication of CN108807169B publication Critical patent/CN108807169B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02469Group 12/16 materials
    • H01L21/02472Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/14Word line organisation; Word line lay-out

Abstract

本发明提供一种衬底处理方法和使用其制造的半导体装置。所述衬底处理方法包含将各自包含绝缘层和牺牲层的多个堆叠结构堆叠在彼此上。方法还包含通过蚀刻堆叠结构来产生阶梯结构以及在阶梯结构的侧表面上产生分离层。方法进一步包含去除牺牲层且在去除牺牲层的空间中产生导电字线结构。分离层提供在导电字线结构之间。本发明的衬底处理方法可通过在台阶式结构的选择性区域上沉积薄膜来防止或最小化可在工艺期间出现的某些风险。

Description

衬底处理方法和使用其制造的半导体装置
相关申请案的交叉引用
本申请案主张在美国专利商标局于2017年4月26日提交的美国临时申请案第62/490,469号的权益,所述申请案的揭示内容以全文引用的方式并入本文中。另外,本申请案还主张在美国专利商标局于2018年4月12日提交的美国非临时申请案第15/951,644号的权益,所述申请案的揭示内容以全文引用的方式并入本文中。
技术领域
一个或多个实施例涉及使用薄膜沉积技术的衬底处理方法和使用其制造的半导体装置,并且更具体来说,涉及通过基于等离子体增强原子层沉积(plasma-enhancedatomic layer deposition;PEALD)在衬底上沉积绝缘层(例如氧化硅(silicon oxide;SiO)层和/或氮化硅(silicon nitride;SiN)层)来处理衬底的方法和使用所述方法制造的装置(例如半导体装置)。
背景技术
当制造在衬底上产生微电路的装置时,可使用在台阶式结构上沉积薄膜的技术。具体来说,三维半导体装置的高密度集成电路可包含沟槽结构或阶梯结构,且可需要在结构的选择性区域上沉积薄膜。
发明内容
一个或多个实施例包含可通过在台阶式结构的选择性区域上沉积薄膜来防止或最小化可在工艺期间出现的某些风险的衬底处理方法。
额外方面将部分地阐述于以下描述中,并且部分地将从所述描述中显而易见,或者可通过对所呈现实施例的实践而习得。
根据一个或多个实施例,衬底处理方法包含:将各自包含绝缘层和牺牲层的多个堆叠结构堆叠在彼此上;通过蚀刻堆叠结构来产生阶梯结构;在阶梯结构的侧表面上产生分离层;以及使用导电字线结构替换牺牲层,其中分离层提供于导电字线结构之间。
衬底处理方法可进一步包含:在牺牲层上产生垫层;以及在阶梯结构上产生层间绝缘层,并且产生导电字线结构可包含:去除牺牲层和垫层,以及在去除牺牲层和垫层的空间中填充导电材料。
在填充导电材料期间可通过分离层来防止导电字线结构之间的短路。
可在产生层间绝缘层期间产生连接到牺牲层的至少一个孔,并且可在产生导电字线结构期间产生填充孔的导电突起。
导电字线结构中的每一个可包含朝向沟道延伸的第一导电层以及提供于第一导电层上的第二导电层,并且其中第一导电层的侧表面的至少一部分与分离层接触。
导电字线结构的第一导电层与另一导电字线结构的第二导电层可在垂直方向上彼此间隔开分离层的高度。
第二导电层可包含从第一导电层突起的第一突起,且第一突起可与分离层接触。
分离层可具有间隔物形状,且第二导电层的下部表面可具有对应于间隔物形状的轮廓。
第二导电层可进一步包含在向下方向上从第一突起的端部突起的第二突起。
第二导电层可包含与第一导电层重叠的第一区域以及与分离层重叠的第二区域。
可产生与导电字线结构接触的介层窗(via contact),且介层窗可与第二导电层的第一区域和第二区域接触。
产生分离层可包含:在阶梯结构上产生第一层;通过应用第一能量来使第一层选择性地致密化,其方式为使得在阶梯结构的侧表面上的第一层的一部分比在阶梯结构的上部表面和下部表面上的第一层的一部分更致密;以及各向同性地蚀刻第一层以去除在阶梯结构的上部表面和下部表面上的第一层的部分且保留在阶梯结构的侧表面上的第一层的部分。
产生垫层可包含:在阶梯结构上产生第二层;通过应用第二能量使第二层选择性地致密化,其方式为使得在阶梯结构的上部表面和下部表面上的第二层的一部分比在阶梯结构的侧表面上的第二层的一部分更致密;以及各向同性地蚀刻第二层以去除在阶梯结构的侧表面上的第二层的部分且保留在阶梯结构的上部表面和下部表面上的第二层的部分。
第一能量可等于或高于预设阈值,且可使在阶梯结构的上部表面和下部表面上的第一层的部分变薄。
根据一个或多个实施例,包含通过交替地堆叠第一氧化硅层和第一氮化硅层来产生的阶梯图案的衬底的衬底处理方法包含:在阶梯图案的上部表面和侧壁上产生第二氧化硅层;去除阶梯图案的上部表面上所产生的第二氧化硅层而无需将第二氧化硅层从侧壁完全去除;以及使用金属替换第一氮化硅层。
阶梯图案的下部区域中的氮化硅层的厚度可大于阶梯图案的上部区域中的氮化硅层的厚度。
侧壁上剩余的第二氧化硅层可提供于字线之间,且防止字线之间的短路。
金属可具有过量填充的结构且充当字线。
为产生过量填充的结构,使用金属替换第一氮化硅层可包含:在剩余的第二氧化硅层上产生第二氮化硅层;去除第二氧化硅层上所产生的第二氮化硅层而无需将第二氮化硅层从第一氮化硅层完全去除;以及使用金属替换第一氮化硅层和第二氮化硅层。
根据一个或多个实施例,半导体装置包含:衬底;至少一个存储器单元串,其在向上方向上从衬底突起和延伸;第一字线,其连接到存储器单元串的第一存储器单元;以及第二字线,其连接到存储器单元串的第二存储器单元,其中第一字线和第二字线中的至少一个包含朝向沟道延伸的第一导电层以及提供于第一导电层上的第二导电层,并且其中第二导电层包含在水平方向上从第一导电层突起的第一突起。
第二导电层可进一步包含在向下方向上从第一突起的端部突起的第二突起。
根据一个或多个实施例,供用于处理用于在侧壁上选择性形成层的衬底的方法包含在衬底的水平表面和侧壁表面上方沉积共形层。所沉积的层经等离子体处理以使水平表面上的部分变薄,保留易受通过各向同性蚀刻来从水平表面完全去除和从侧壁表面不完全去除影响的层。
方法可包含实施各向同性蚀刻以从侧壁上的所沉积的层保留侧壁间隔物结构。等离子体处理可采用高密度、各向异性等离子体。
根据一个或多个实施例,供用于处理用于在水平表面上选择性形成层的衬底的方法包含在衬底的水平表面和侧壁表面上方沉积共形层。所沉积的层经等离子体处理以使上部表面上的部分致密化,保留易受通过各向同性蚀刻来从侧壁表面完全去除和从水平表面不完全去除影响的层。
方法可包含实施各向同性蚀刻以保留水平表面上的所沉积的层的选择性水平部分。等离子体处理可采用低密度、各向异性等离子体。
附图说明
通过结合附图对实施例进行的以下描述,这些和/或其它方面将变得显而易见并且更加容易理解。
图1是根据本发明的实施例的衬底处理方法的流程图。
图2到图10是用于描述根据本发明的其它实施例的衬底处理方法的横截面图。
图11是根据本发明的实施例的半导体装置的电路图。
图12到图14是用于描述根据本发明的其它实施例的衬底处理方法的横截面图。
图15是示出在例如干式蚀刻操作期间截断字线的横截面图,其中字线具有相同厚度。
图16到图19是用于描述根据本发明的其它实施例的衬底处理方法的横截面图。
图20是半导体装置的横截面图。
图21和图22是示出字线在工艺期间连接的横截面图。
图23到图30是用于描述根据本发明的其它实施例的衬底处理方法的横截面图。
附图标号说明
200:衬底;
210、210a、210b、210c、210d:绝缘层;
220、220a、220b、220c、220d:牺牲层;
250:层间绝缘层;
260:介层窗;
300:第一层;
310:分离层;
320:第二层;
330、330':垫层;
C1、C1':第一导电层;
C2、C2':第二导电层;
H1、H2:孔;
L:下部表面;
MC1:第一存储器单元;
MC2:第二存储器单元;
MCS:存储器单元串;
P1:第一突起;
P2:第二突起;
S:侧表面;
S1:第一区域;
S2:第二区域;
S110、S120、S130、S140:步骤;
ST:台阶;
t1、t2、t3:厚度;
U:上部表面;
W0、W1、W2、W3、W4:字线
WL、WLa、WLb、WLc、WLd:导电字线结构;
WL1:第一字线;
具体实施方式
在下文中,将通过参考附图解释本发明的实施例来详细描述本发明。
然而,本发明可以通过多种不同形式实施并且不应被解释为限于本文中所阐述的实施例;相反地,提供这些实施例使得本发明将是透彻且完整的并且将本发明的概念完全地传达给本领域的普通技术人员。
本文中所使用的术语出于描述具体实施例的目的且并不旨在限制本发明。如本文中所使用,除非上下文另外明确指示,否则单数形式“一(a/an)”和“所述”也希望包含复数形式。应进一步理解,当用于本发明时,术语“包括(comprise/comprising)”指定陈述特征、整数、步骤、操作、元件和/或组件的存在,但不排除存在或添加一个或多个其它特征、整数、步骤、操作、元件、组件和/或其群组。如本文中所使用,术语“和/或”包含相关联的所列项目中的一个或多个的任何和所有组合。例如“中的至少一个”等表述当在元件列表之前时修饰元件的整个列表而不是修饰列表的个别元件。
应理解,尽管本文中可以使用术语第一、第二、第三等来描述各种元件、组件、区域、层和/或区段,但是这些元件、组件、区域、层和/或区段不应受到这些术语的限制。这些术语仅用于区分一个元件、组件、区域、层或区段与另一元件、组件、区域、层或区段。因此,在不脱离本发明的教示内容的情况下,下文所论述的第一元件、组件、区域、层或区段可以称为第二元件、组件、区域、层或区段。
在本发明中,术语“气体”可包含蒸发的固体和/或液体,且可被配置成单种气体或气体的混合物。在本文中,通过簇射头提供到反应室中的处理气体可包含前体气体和添加气体。前体气体及添加气体一般可作为混合气体或分离气体提供到反应空间中。前体气体可连同如惰性气体的运载气体一起提供。添加气体可包含反应气体和稀释气体,例如惰性气体。反应气体和稀释气体可作为混合气体或分离气体提供到反应空间。前体可包含两种或多于两种前体,且反应气体可包含两种或多于两种反应气体。前体为在衬底上化学吸附的气体,其配置介电层的矩阵的主要结构,且一般含有类金属或金属元素,且用于沉积的反应气体是当激发气体以将原子层或单层固定在衬底上时与在衬底上化学吸附的前体反应的气体。术语“化学吸附”是指化学饱和吸附。除处理气体外的气体,即不通过簇射头提供的气体,可用于密封反应空间,且包含如惰性气体的密封气体。在一些实施例中,术语“膜”是指在垂直于厚度方向的方向上连续延伸的层,无针孔覆盖整个目标或相关表面;或仅仅覆盖目标或相关表面的层。在一些实施例中,术语“层”是指在表面上所产生的且具有一定厚度的结构,用作膜的同义词,或是指非膜结构。膜或层可包含具有某些特征的非连续单膜或单层或多膜或多层。邻近膜或邻近层的边界可清晰或不清晰,且可基于物理、化学和/或其它特征、产生工艺或序列和/或邻近膜或邻近层的功能或目的来设定。
在本发明中,表述“相同材料”应解释为主要成分相同。举例来说,当第一层和第二层皆为氮化硅层且皆由相同材料制成时,第一层可由选自Si2N、SiN、Si3N4以及Si2N3所组成的群组中的一种制成,并且第二层也可由选自以上群组中的一种制成,但可具有与第一层的结构不同的结构。
另外,在本发明中,由于可工作范围可基于常规工作确定,因此变数的任何两个数字可以构成变数的可工作范围,并且所指示的任何范围可包含或不包含端点。另外,所指示的变数的任何值(与它们是否用“约”指示无关)可指精确值或近似值且包含等效物,并且在一些实施例中可指平均值、中值、代表值、大部分值等。
在未指定条件和/或结构的本发明中,鉴于本发明,本领域的普通技术人员可按照实验容易地提供这类条件和/或结构。在所有的所揭示的实施例中,出于既定目的,实施例中所使用的任何元素可用与其同等的任何元素替换,包含本文中明确地、必须地或固有地揭示的那些元素。另外,本发明可同样应用于装置和方法。
本文中参考本发明的理想化实施例(和中间结构)的示意说明描述本发明的实施例。因而,预期说明的形状因为例如制造技术和/或公差而有所变化。因而,本发明的实施例不应理解为限于本文中说明的区域的特定形状,而是包含例如因制造引起的形状偏差。
图1是根据本发明的实施例的衬底处理方法的流程图。
参考图1,各自包含绝缘层和牺牲层的多个堆叠结构堆叠于彼此上(步骤S110)。举例来说,绝缘层可以是氧化硅(SiO)层,并且牺牲层可以是氮化硅(SiN)层。然而,本发明不限于此,且绝缘层和牺牲层可由具有不同蚀刻选择性比率的任意材料制成。
随后,通过蚀刻各自包含绝缘层和牺牲层的堆叠结构的端部来产生阶梯结构(步骤S120)。阶梯结构可包含多个台阶,且例如每一台阶可包含一个绝缘层和一个牺牲层。阶梯结构可使用例如反应性离子蚀刻和抗粘化(resist sliming)来产生。
此后,在阶梯结构的侧表面(例如在阶梯结构的上部表面与下部表面之间提供以连接上部表面和下部表面的倾斜表面)上产生分离层(步骤S130)。可产生分离层以覆盖阶梯结构的侧表面的至少部分且暴露阶梯结构的上部(和下部)表面的至少部分。分离层可使用原子层沉积(atomic layer deposition;ALD)工艺且更具体地说使用等离子体增强原子层沉积(plasma-enhanced atomic layer deposition;PEALD)工艺来产生。
产生以覆盖阶梯结构的侧表面的分离层可将一个台阶与另一台阶分离。产生以暴露阶梯结构的上部表面和下部表面的分离层可将垫层与邻近台阶(即在水平方向上邻近于垫层的台阶)分离。分离层的上述功能将在下文详细描述。
随后,使用导电字线结构替换牺牲层。在所图示的工艺中,去除牺牲层,且在去除牺牲层的空间中产生导电字线结构(步骤S140)。导电字线结构可包含钨或选自如铜和多晶硅的各种导电材料的材料或组合。将在下文详细描述用于产生导电字线结构的实例实施例。
通过进行上文所描述的操作,可在导电字线结构之间提供分离层。分离层将导电字线结构彼此电分离。因此,当产生导电字线结构时,其间的电连接可通过分离层来防止。
图2到图10是用于描述根据本发明的其它实施例的衬底处理方法的横截面图。根据当前实施例的衬底处理方法可为根据先前实施例的衬底处理方法的修改实例。其间的重复描述将不在本文中提供。
参考图2,多个堆叠结构包含绝缘层210a、绝缘层210b、绝缘层210c以及绝缘层210d(在下文中统称为“210”)和牺牲层220a、牺牲层220b、牺牲层220c以及牺牲层220d(在下文中统称为“220”)。每一堆叠结构包含一对绝缘层(绝缘层210a、绝缘层210b、绝缘层210c或绝缘层210d)和牺牲层(牺牲层220a、牺牲层220b、牺牲层220c或牺牲层220d)。绝缘层210和牺牲层220在衬底200上堆叠于彼此上。尽管图2中未示出,但沟道孔可通过蚀刻来自堆叠结构的一些区域的绝缘层210和牺牲层220来产生,且存储器单元串(参看图11的MCS)的元件的至少一部分可产生于沟道孔中。存储器单元串可包含如沟道、栅极导电层以及栅极绝缘层的元件。
用于产生存储器单元串的元件的工艺可通过直接地产生沟道、栅极导电层以及栅极绝缘层的至少一部分来进行,或通过在沟道孔中产生牺牲层以允许沟道、栅极导电层以及栅极绝缘层在后续工艺中产生来进行,或通过两者来进行。
随后,如图3中所示出,通过蚀刻堆叠结构来产生阶梯结构。如上文所描述,阶梯结构可包含多个台阶,且每一台阶可具有上部表面(或第一水平表面)、下部表面(或第二水平表面)以及连接上部表面和下部表面的侧表面(或垂直表面)。举例来说,阶梯结构可包含一个或多个台阶ST,且一个台阶ST可具有牺牲层220b上的上部表面U、牺牲层220a上的下部表面L以及连接上部表面U和下部表面L的侧表面S。
此后,在阶梯结构的侧表面上产生分离层。为这个目的,如图4中所示出,最初在阶梯结构上产生第一层300。尽管第一层300在图4中示出为单层,但第一层300也可包含多层。第一层300可由例如与绝缘层210的材料相同的材料制成。另外,第一层300可由例如与牺牲层220的材料不同的材料制成。在另一实施例中,第一层300可由与绝缘层210和牺牲层220的材料不同的材料制成。
第一层300可使用相对于先前实施例的上述PEALD工艺来产生。在实例实施例中,针对第一层300,PEALD工艺可通过维持较高等离子体密度条件来进行。举例来说,第一层300的ALD工艺可通过应用较高等离子体功率来进行。这个条件(例如较高等离子体密度或较高等离子体功率)可由于离子而引起层断裂。因此,除第一层300的侧表面外,第一层300的上部表面可由于应用等离子体而变薄,并且第一层300可在后续各向同性蚀刻工艺期间选择性去除。因此,均厚沉积(blanket deposition)和等离子体处理可选择性显现所沉积的第一层300的水平(上部/下部)部分比所沉积的第一层的垂直(侧)部分更易受后续蚀刻影响,以使得间隔物可通过后续各向同性蚀刻(参看图5和文本)而非定向蚀刻来形成。
可在ALD工艺期间和/或等离子体后处理操作中应用等离子体。也就是说,可在产生第一层300的操作期间、之后或在所述操作期间和之后应用等离子体。
随后,参考图5,在第一层300上进行各向同性蚀刻工艺(参看图4)。如上文所描述,第一层300的上部表面可由于在一定条件下应用等离子体而变薄,并且由此,即使进行各向同性蚀刻工艺,也可留存阶梯结构的侧表面上所产生的第一层300。因此,在第一层300的各向同性蚀刻工艺期间(参看图4),可去除阶梯结构的上部表面和下部表面上的第一层300的部分,且可留存阶梯结构的侧表面上的第一层300的部分。
分离层310可定义为第一层300的剩余部分。可产生每一分离层310以覆盖一个牺牲层220的侧表面和另一牺牲层220的部分的上部表面。在实施例中,分离层310可由于各向同性蚀刻工艺而具有间隔物形状。因此,随后待产生的垫层(参看图7的330)可具有对应于分离层310的间隔物形状的轮廓。如上文所描述,即使采用各向同性蚀刻,与高能量和损坏常规定向(各向异性)间隔物蚀刻相反,也可如上文所描述实现分离层310的间隔物形状。
其后,在牺牲层220上产生垫层(应注意,垫层包含于牺牲层220中且也可称为本发明中的牺牲层220)。垫层可在产生导电字线结构之前产生。为这个目的,如图6中所示出,在牺牲层220和分离层310上产生第二层320。尽管第二层320在图6中示出为单层,但第二层320也可产生为多层。第二层320可由例如与牺牲层220的材料相同的材料制成。另外,第二层320可由例如与绝缘层210的材料不同的材料制成。
第二层320可使用相对于先前实施例的上述PEALD工艺来产生。在实例实施例中,针对第二层320,PEALD工艺可通过维持较低等离子体密度条件来进行。举例来说,第二层320的ALD工艺可通过应用较低等离子体功率来进行。这个条件(例如较低等离子体密度或较低等离子体功率)可由于离子而引起层致密化。因此,第二层320的上部表面或水平部分相对于垂直部分可由于应用等离子体而致密化,且第二层320可在后续各向同性蚀刻工艺期间选择性去除。因此,沉积可保留与水平表面上的部分相比更易受蚀刻影响的垂直表面上的第二层320的部分,所述水平表面上的部分相对较不易受后续蚀刻影响。
可在ALD工艺期间和/或等离子体后处理操作中应用等离子体。也就是说,可在产生第二层320的操作期间、之后或在所述操作期间和之后应用等离子体。
在实例实施例中,等离子体可使用如氩的惰性气体和/或具有牺牲层220的成分的气体(例如当第二层320为SiN层时的情况下的氮)来应用。使用应用等离子体的致密化可通过应用定向等离子体来进行。归因于等离子体离子方向性,可相对于垂直侧壁上的第二层320的部分使在阶梯结构的水平上部表面U和水平下部表面L上所产生的第二层320的部分致密化。因此,阶梯结构上的第二层320可在后续各向同性蚀刻工艺期间选择性蚀刻。
随后,参考图7,在第二层320上进行各向同性蚀刻工艺(参看图6)。如上文所描述,第二层320的上部表面可由于在一定条件下应用等离子体而致密化,并且由此,即使进行各向同性蚀刻工艺,也可留存阶梯结构的上部表面上所产生的第二层320。因此,在第二层320的各向同性蚀刻工艺期间,可留存阶梯结构的上部表面和下部表面上的第二层320的部分,且可去除阶梯结构的侧表面上的第二层320的部分。
应用等离子体被描述为上述描述中的实例,但应注意本发明不限于此。第一层和第二层可通过应用选择性类型的能量来选择性地致密化和/或变薄。举例来说,第一层的上部(水平)表面和下部(水平)表面上的第一层的部分可通过在第一能量应用工艺期间供应等于或高于预设阈值的能量来变薄。作为另一实例,第二层的(水平)上部表面和(水平)下部表面上的第二层的部分可通过在第二能量应用工艺期间供应等于或低于预设阈值的能量来致密化。如图6和图7中所示出,面朝上的表面上的水平部分相对于侧壁上的垂直部分的致密化可显现更易受使用各向同性蚀刻来选择性蚀刻垂直部分影响的所沉积层。相反,如图4和图5中所示出,面朝上的表面上的水平部分相对于侧壁上的垂直部分变薄可显现更易受使用各向同性蚀刻来选择性蚀刻水平部分影响的所沉积层。
如上文所描述,为产生分离层310(即为产生阶梯结构的侧表面上剩余的层),可在产生第一层300的操作期间应用高密度的等离子体,并且为产生垫层330(即为产生阶梯结构的上部表面/下部表面上剩余的层),可在产生第二层320的操作期间应用低密度的等离子体。因此,在产生第一层300的操作期间所供应的等离子体的密度可高于在产生第二层320的操作期间所供应的等离子体的密度。
在产生分离层310和垫层330之后,在阶梯结构上产生层间绝缘层250。如图8中所示出,在各向同性蚀刻工艺之后在阶梯结构上产生层间绝缘层250。层间绝缘层250可由与位于阶梯结构的牺牲层220之间的绝缘层210的材料相同的材料制成。举例来说,阶梯结构的牺牲层220可以是SiN层,阶梯结构的绝缘层210可以是SiO层,并且层间绝缘层250可以是SiO层。
在产生层间绝缘层250的操作期间,可产生连接牺牲层220和分离层310的至少一个孔。或者,可产生连接垫层330和分离层310的至少一个孔。在原则上不应产生孔,但当牺牲层220与分离层310之间的距离(或如果不存在分离层310,那么牺牲层220与邻近结构之间的距离)较短时且当用于产生层间绝缘层250的原料气体不完全地填充其间的较小空间时可产生孔。
孔可连接到牺牲层220和分离层310中的至少一个。具体来说,孔可连接到牺牲层220。归因于连接到牺牲层220的孔,导电突起可在产生导电字线结构的后续操作期间产生。将在下文参考图12到14提供这类孔的详细描述以及可如何避免短路。
返回参考图8,随后,连接到至少部分的牺牲层220(包含垫层330)的介层窗260通过图案化层间绝缘层250的上部表面来产生。在任选实施例中,介层窗260可在导电字线结构通过蚀刻牺牲层220来产生之后产生。
随后,产生导电字线结构。字线结构可替换牺牲层220和垫层330。最初,参考图9,去除牺牲层220(参看图8)和垫层330(参看图8)。举例来说,选择性蚀刻牺牲层220和垫层330以暴露沟道(未示出)、绝缘层210、层间绝缘层250以及介层窗260。因而,可去除包含牺牲层220(参看图8)和垫层330(参看图8)的牺牲字线结构。在任选实施例中,在蚀刻牺牲层220和垫层330的操作期间,也可蚀刻衬底200上所产生的垫层330'(参看图8),并且由此可暴露衬底200的部分的上部表面。
参考图10,导电字线结构WLa、导电字线结构WLb、导电字线结构WLc以及导电字线结构WLd(在下文中统称为“WL”)产生于暴露空间中。可产生导电字线结构WL以对应于去除牺牲字线结构的空间。也就是说,导电字线结构WL可通过在去除牺牲层220和垫层330的空间中填充导电材料来产生。
如上文所描述产生的导电字线结构WL中的每一个可包含朝向沟道延伸的第一导电层C1和第一导电层C1上所提供的第二导电层C2。换句话说,导电字线结构WL可包含对应于牺牲层220(参看图8)的第一导电层C1和对应于垫层330(参看图8)的第二导电层C2。各自包含第一导电层C1以及第一导电层C1上所提供的第二导电层C2的导电字线结构WL称为过量填充结构,在图10中可看到所述导电字线结构WL延伸在间隔物形分离层310上方并且可充当用于介层窗260的延伸着陆垫(landing pad),如下文所描述。
第一导电层C1的侧表面的至少一部分可与分离层310接触。归因于这类接触,一个导电字线结构WL的第一导电层C1可与另一导电字线结构WL的第二导电层C2'分离。因此,在填充导电材料的操作期间,可通过分离层310来防止或最小化两个导电字线结构WL之间的电短路。
第二导电层C2可包含在水平方向上从第一导电层C1突起的第一突起P1。第一突起P1可与分离层310接触。举例来说,第一突起P1的下部表面可与分离层310的上部表面接触。归因于这类接触,第二导电层C2的下部表面可具有对应于分离层310的上部表面轮廓的形状。举例来说,分离层310可由于各向同性蚀刻工艺而具有间隔物形状,并且,在这种情况下,第二导电层C2的下部表面可具有对应于间隔物形状的轮廓。
在任选实施例中,第二导电层C2可包含向下方向上从第一突起P1的端部突起的第二突起P2。归因于例如具有间隔物形状的上文所描述的分离层310,可产生第二突起P2。
根据一些实施例,产生分离层310以防止或最小化导电字线结构WL之间短路的风险。归因于分离层310,使用如本文中所描述的衬底处理方法制造的装置可具有各种特征。
举例来说,导电字线结构WL的第二导电层C2可包含与第一导电层C1重叠的第一区域S1以及与分离层310重叠的第二区域S2。因此,第二导电层C2的长度可由分离层310的宽度来增加,并且可改良与导电字线结构WL接触的介层窗260与第二导电层C2之间的对准。在这种情况下,介层窗260与第二导电层C2的第一区域S1和第二区域S2两者接触。
作为另一实例,一个导电字线结构WL的第一导电层C1'可以与另一导电字线结构WL的第二导电层C2在垂直方向上间隔开分离层310的高度。这对应于因为在产生第二导电层C2之前产生分离层310而出现的结构特征。另外,一个导电字线结构WL的第一导电层C1可以与另一导电字线结构WL的第二导电层C2'在水平方向上间隔开至少分离层310的宽度。
如上文所描述,通过在导电字线结构之间提供分离层,导电字线结构可彼此电分离且可彼此间隔开足够的距离。另外,分离层可在在垫层产生工艺期间进行的第二层各向同性蚀刻工艺期间充当蚀刻掩模,并且由此用于产生垫层的第二层各向同性蚀刻工艺可在不由于各向同性蚀刻而损坏牺牲层的情况下充分进行。
图11是根据本发明的实施例的半导体装置的电路图。半导体装置可使用根据先前实施例的衬底处理方法来产生。其间的重复描述将不在本文中提供。
参考图11,半导体装置(例如半导体存储器装置)可包含衬底200、存储器单元串MCS、第一字线WL1以及第二字线WL2。
存储器单元串MCS可在向上方向上从衬底200突起和延伸。存储器单元串MCS中的每一个可包含多个存储器单元。尽管图11中仅示出四个存储器单元,但必要时,一个存储器单元串MCS中可包含更小或更大数目的存储器单元。
第一字线WL1可连接到第一存储器单元MC1。举例来说,可产生第一字线WL1以朝向第一存储器单元MC1的沟道延伸。同样地,第二字线WL2可连接到第二存储器单元MC2,并且可产生以朝向第二存储器单元MC2的沟道延伸。
第一字线WL1和第二字线WL2中的至少一个可包含通过进行相对于图2到图10上文所描述的操作来产生的字线结构。因此,第一字线WL1和第二字线WL2中的至少一个可包含朝向沟道延伸的第一导电层C1以及提供于第一导电层C1上的第二导电层C2,且第二导电层C2可包含在水平方向上从第一导电层C1突起的第一突起P1。第二导电层C2可进一步包含在向下方向上从第一突起P1的端部突起的第二突起P2。
第一突起P1和第二突起P2的上文所描述的形状由产生分离层310造成。也就是说,垫层330可沿具有间隔物形状的分离层310的上部表面产生,且可产生第二导电层C2以对应于垫层330,由此产生第一突起P1和第二突起P2。
与字线相关的结构特征相对于图11在上文描述,但应注意,本发明不限于此。除非特征彼此相抵触,否则根据本发明的实施例的图11的半导体装置可包含上文所描述的方法的一些或所有特征。
图12到图14是用于描述根据本发明的其它实施例的衬底处理方法的横截面图。根据当前实施例的衬底处理方法可为根据先前实施例的衬底处理方法的修改实例。其间的重复描述将不在本文中提供。
参考图12,在包含分离层310和垫层330的阶梯结构上产生层间绝缘层250,且随后通过图案化层间绝缘层250来产生介层窗260。如上文所描述,在产生层间绝缘层250的操作期间,用于产生层间绝缘层250的原料气体可不完全地填充牺牲层220与分离层310之间的空间或垫层330与分离层310之间的空间。在这种情况下,可产生连接到牺牲层220的孔H1或连接到垫层330的孔H2。
参考图13,去除牺牲层220和垫层330。因而,暴露绝缘层210、层间绝缘层250以及介层窗260。同时,连接到牺牲层220的孔H1或连接到垫层330的孔H2可暴露邻近牺牲字线结构的部分。
根据本发明的一些实施例,在产生层间绝缘层250之前,产生用于将邻近字线结构彼此分离的分离层310。因此,即使在产生层间绝缘层250的操作期间产生孔H1且暴露邻近字线结构的部分,也仅暴露分离层310。
随后,如图14所示出,通过在暴露空间中填充导电材料来产生导电字线结构。在一般字线结构中,因为不存在分离层310,所以当在产生层间绝缘层250的操作期间产生孔H1或孔H2时,邻近导电字线结构可电连接到彼此。然而,根据本发明的一些实施例,邻近导电字线结构之间的电短路的风险可通过采用分离层310来防止或最小化。
本发明涉及衬底处理方法和使用衬底处理方法制造的装置,且装置可为半导体装置,并且更具体来说,可为垂直NAND(vertical NAND;VNAND)闪存装置。VNAND闪存装置包含垂直栅极堆叠。通过交替地堆叠SiO层/SiN层来产生栅极堆叠,且随后产生穿过栅极堆叠的垂直沟道。随后,从SiO/SiN堆叠蚀刻SiN层以产生字线。也就是说,连接到接触塞的SiN层使用例如钨层的导电层替换,并且由此产生具有阶梯结构的栅极堆叠。
众所周知,如钨层的导电层在如接触孔产生操作的后续操作中收缩。收缩的原因中的一个为导电层在产生热的干式蚀刻操作中结晶。金属字线的收缩可引起字线的截断。
图15是示出在例如用于产生接触孔的干式蚀刻操作期间字线截断的横截面图,其中字线W1、W2、W3具有相同厚度(即t1=t2=t3)。当从衬底的下部表面接收由加热器产生的热能时,归因于加热的结晶变为与堆叠的高度成比例串联(因为在较大高度的堆叠处需要较高热能)。
为最小化归因于加热的结晶的风险,钨可在钨填充过程中过量填充,并且由此在钨填充过程期间可补充钨收缩。然而,字线可能在钨过量填充工艺期间彼此连接,并且由此可造成VNAND装置的功能失常。
在另一方面中,因为下部钨层从加热器接收更多热能且由此更加结晶,为防止字线的截断,底部层的厚度t3可大于中间层的厚度t2,并且中间层的厚度t2可大于上部层的厚度t1。因此,可通过在字线产生操作(即钨过量填充工艺)中向下部层证明大量钨来防止结晶。
然而,当如上文所描述产生字线时,电阻偏差出现在字线之间,且因为字线之间的绝缘层(例如氧化层)具有相同厚度,所以字线短路。当产生绝缘层以具有不同厚度时,可增加装置高度和工艺复杂度。
本发明的一个实施例采用反向拓扑选择性(reverse topology selective;RTS)SiO层作为金属字线之间的阻挡层。在本文中,“RTS”具有与“拓扑选择性(topologyselective;TS)”的概念相反的概念,且意指所需结构通过调节应用于阶梯结构上所产生的层的方向能量的能量应用参数来产生,以选择性地使层致密化/变薄。举例来说,“TS-SiO”层是指通过使阶梯结构的上部表面和下部表面上的层致密化(或通过使阶梯结构的侧表面上的层变薄)来产生以去除在阶梯结构的侧表面上的层且保留在阶梯结构的上部表面和下部表面上的层的SiO结构。相反,“RTS-SiO”层是指通过使阶梯结构的上部表面和下部表面上的层变薄(或通过使阶梯结构的侧表面上的层致密化)来产生以保留在阶梯结构的侧表面上的层且去除在阶梯结构的上部表面和下部表面上的层的SiO结构。
RTS-SiO层在钨字线之间产生。选择性蚀刻SiO/SiN栅极堆叠的阶梯结构上所产生的RTS-SiO层以去除在阶梯结构的上部表面上的RTS-SiO层且保留在阶梯结构的侧壁上的RTS-SiO层。使用PEALD、环状化学气相沉积(chemical vapor deposition;CVD)、依序等离子体增强式化学气相沉积(plasma enhanced chemical vapor deposition;PECVD)以及脉冲PECVD中的至少一种来沉积RTS-SiO层。或者,RTS-SiO层可以是碳氧化硅(siliconoxycarbide;SiOC)层。
根据本发明的方法产生的上文所描述的RTS-SiO层可如下文所描述概述。应注意,以下描述中的操作次序仅对应于实例并且可改变。
(a)沉积RTS-SiO层:通过交替地堆叠SiO层和SiN层来产生栅极堆叠。其后,产生阶梯图案,且SiO层(例如RTS-SiO层)沉积于阶梯图案的上部表面和侧壁上。在任选实施例中,下部SiN层的厚度可大于上部SiN层的厚度。也就是说,假定上部SiN层的厚度为t1,中间SiN层的厚度为t2,并且下部SiN层的厚度为t3,可满足t1<t2<t3。
(b)蚀刻RTS-SiO层:蚀刻和去除SiN层的上部表面上所产生的RTS-SiO层。也就是说,进行蚀刻操作,其方式为使得去除阶梯图案的上部表面上所产生的SiO层(例如RTS-SiO层)且很少地蚀刻但留存阶梯图案的侧壁上所产生的SiO层。侧壁上剩余的SiO层将提供于字线之间以防止或最小化字线之间的短路的风险。
(c)使用金属填充字线:使用金属替换SiN层。举例来说,钨过量填充工艺可用于补偿收缩。假定上部字线的厚度为t1,中间字线的厚度为t2,并且下部字线的厚度为t3,可满足t1<t2<t3。
(d)填充接触孔:将正硅酸四乙氧酯(Tetraethoxy orthosilicate;TEOS)填充于阶梯图案上。随后,产生接触孔,并且通过使用金属填充接触孔来产生介层窗。
以上描述中所概述的操作现将参考图16到图19详细描述。图16到图19是用于描述根据本发明的其它实施例的衬底处理方法的横截面图。根据当前实施例的衬底处理方法可为根据先前实施例的衬底处理方法的修改实例。其间的重复描述将不在本文中提供。
1)操作1(参看图16):沉积RTS-SiO层。最初,产生SiO/SiN栅极堆叠,其方式为使得下部SiN层的厚度大于上部SiN层的厚度。举例来说,产生图16中所示出的结构。在本文中,SiN层的厚度可满足t1<t2<t3以防止由于在对应于SiN层所产生的字线中的下部字线的结晶而截断。
随后,产生RTS-SiO层。确切地说,RTS-SiO层沉积于暴露SiN层的端部的多层SiO/SiN栅极堆叠的阶梯结构上。RTS-SiO层可使用PEALD、依序PECVD、脉冲CVD和其它等效方法中的至少一种来沉积。如O2、O3、N2O或NO2的含氧气体可用作SiO工艺的反应物。任选地,可沉积含SiO层,例如SiOC层。
2)操作2(参看图17):蚀刻RTS-SiO层。蚀刻在SiN层的暴露端部上所产生的RTS-SiO层。在这个操作中,去离子水(deionized water;DIW):氢氟酸(hydrofluoric acid;HF)=100:1的稀释氢氟酸(diluted hydrofluoric acid;DHF)用作蚀刻剂以蚀刻SiN层的上部表面上所产生的RTS-SiO2层,且可很少地蚀刻或不蚀刻阶梯结构的侧表面(即SiN层的侧表面)上所产生的RTS-SiO2层。
3)操作3(参看图18):使用金属填充字线。栅极堆叠的SiN层使用如磷酸(H3PO4)的蚀刻剂来蚀刻,且金属字线W0、W1、W2、W3通过使用钨填充蚀刻空间来产生。如上文所描述,钨可在字线中过量填充以补充钨收缩。当下部SiN层具有较大厚度时,下部钨层的厚度可大于上部钨层的厚度。在这个操作中,在操作2之后剩余的RTS-SiO层可防止钨字线彼此连接。也就是说,RTS-SiO层充当钨字线之间的阻挡层,并且随后留存在阶梯结构的侧壁上。
4)操作4(参看图19):使用金属填充接触孔。产生介电层以覆盖装置结构。介电层可使用正硅酸四乙氧酯(TEOS)由SiO2制成。产生多个接触孔且使用如钨的金属填充所述接触孔以产生金属接触件,并且金属接触件单独地连接到使用钨填充的字线的端部。
选择性蚀刻RTS-SiO层的机制可基于离子轰击效果来解释。一般来说,等离子体工艺中的离子轰击与薄膜的致密化紧密相关。因此,产生在垂直于基团前进方向的表面上的薄膜与产生在平行于基团前进方向的表面上的薄膜相比具有较强化学抗性。
然而,当等离子体功率或频率超过一定阈值时,离子轰击破坏膜结合结构且减弱膜的化学抗性。在这种情况下,产生在垂直于基团前进方向的表面上的薄膜与产生在平行于基团前进方向的表面上的薄膜相比具有较弱化学抗性。因此,上文所描述的根据本发明的选择性蚀刻薄膜的操作可通过控制等离子体条件(例如等离子体功率、等离子体频率、等离子体工作时间或基团类型)来进行。
图20是半导体装置(未必是现有技术)的横截面图,所述半导体装置使用与上文所描述的方法的工艺类似的工艺来制造,不同之处在于没有产生提供于字线之间以防止字线之间的连接的分离层并且介层窗在产生导电字线结构之后产生。其间的重复描述将不在本文中提供。
参考图20,半导体装置可在不产生与SiN层的侧表面接触的分离层的情况下制造。也就是说,产生SiO/SiN堆叠结构,并且产生穿过堆叠结构的垂直沟道。随后,堆叠结构蚀刻为阶梯结构,并且SiN层基于PEALD沉积于阶梯结构上。此后,执行选择性侧蚀刻以去除沉积于阶梯结构的侧表面上的SiN层且保留沉积于阶梯结构的上部表面上的SiN层。
随后,在阶梯结构上产生TEOS层,且字线W1、W2、W3、W4通过去除堆叠SiN层和剩余的SiN层且随后填充钨来产生。钨填充于阶梯结构的暴露部分以及去除堆叠SiN层的空间中。这个字线结构可称为过量填充结构。
其后,产生穿过TEOS层且连接到阶梯结构上所产生的钨层(即过量填充钨层)的接触孔,且金属层填充其中以将字线连接到位线。
过量填充钨层可解决因干式蚀刻选择性而导致的装置不稳定性问题。确切地说,为产生待连接到上部位线的金属填充通路,产生待使用干式蚀刻工艺使用金属填充的接触孔。在干式蚀刻工艺中,因为上部阶梯层和下部阶梯层具有不同干式蚀刻选择性比率(即从TEOS层的上部表面到每一阶梯层的暴露上部表面产生接触孔所采用的时间不同),所以接触孔穿过上部SiN层以将上部SiN层连接到下部SiN层。因此,钨层可彼此连接。过量填充钨层在本发明的至少一些实施例中称为垫层以强调其功能而不限制材料。
然而,当过量填充钨层之间的距离如图21中所示出较短时,TEOS的原料气体可能不完全地填充于其间间隙中。归因于这类不完全填充,钨层可随后彼此连接而不彼此分离。也就是说,当未充分产生TEOS层时,所述钨层的原料气体(例如六氟化钨(WF6))可穿透到狭窄的空间中,并且由此钨层可如图21中所示出彼此连接。
另外,如图22中所示出,当在产生TEOS层之前选择性蚀刻TS-SiN层时,可能不完全去除阶梯结构的侧表面上所产生的TS-SiN层,并且由此钨层可在后续工艺中彼此连接。在这种情况下,装置的电特性可劣化且由此装置可功能失常。
本发明的至少一些实施例可提供某些益处。举例来说,装置稳定性可通过产生分离层以防止上部钨层(即上部字线)与下部钨层(即下部字线)之间的接触来实现。另外,高品质分离层可使用简单工艺通过基于PEALD沉积和选择性蚀刻RTS-SiO层来产生。
图23到图30是用于描述根据本发明的其它实施例的衬底处理方法的横截面图。根据当前实施例的衬底处理方法可为根据先前实施例的衬底处理方法的修改实例。其间的重复描述将不在本文中提供。
根据本发明,SiO2层基于PEALD沉积于具有阶梯形状的SiO/SiN栅极堆叠上,且随后进行选择性阶梯顶部蚀刻以保留在阶梯结构的侧表面上的SiO2层且去除在阶梯结构的上部表面上的SiO2层。这类选择性蚀刻可通过调节等离子体应用参数或使用光刻工艺而无需变薄/致密化工艺来实现。
随后,SiN层基于PEALD沉积于阶梯结构上。其后,进行选择性阶梯侧蚀刻以保留在阶梯结构的上部表面上的SiN层且去除在阶梯结构的侧表面上的SiN层(产生保护层)。随后,充当层间绝缘层的厚绝缘层(例如TEOS层)沉积于栅极堆叠上,且去除SiN层。在去除SiN层之后,去除空间使用导电材料填充以产生字线。另外,产生通过暴露于字线的TEOS层的通路接触孔。随后,通路接触孔使用例如金属的导电材料填充以将字线连接到位线。
上文所描述的衬底处理方法可如下文所描述概述。应注意,以下描述中的操作次序仅对应于实例并且可改变。
操作1(参看图23):在这个操作中,产生SiO/SiN栅极堆叠层,且随后蚀刻其以形成阶梯结构。SiO/SiN堆叠层可通过基于CVD、PECVD、ALD或PEALD交替地堆叠SiO/SiN层来产生。
操作2(参看图24):在这个操作中,SiO层基于PEALD沉积于SiO/SiN栅极堆叠层上。在这个操作中,沉积通过维持较高等离子体密度条件来进行。举例来说,可应用较高等离子体功率。因此,选择性蚀刻可在后续操作(操作3,参看图25)中在阶梯结构上进行。
操作3(参看图25):在这个操作中,选择性蚀刻在操作2中所沉积的SiO层。针对选择性蚀刻,使用H3PO4执行湿式蚀刻以蚀刻沉积于阶梯结构的上部表面上的SiO层且保留沉积于阶梯结构的侧表面上的SiO层。因为在操作2的沉积工艺中保持较高等离子体密度条件,因离子而导致的薄膜的断裂比因离子轰击效果而导致的薄膜的致密化更显著,并且由此阶梯结构的上部表面的SiO层比阶梯结构的侧表面的SiO层更快蚀刻。在这个操作中在阶梯结构的侧表面上所产生的SiO层相较于操作4和操作5的“TS-SiN”层称为“RTS-SiO层”。
操作4(参看图26):在这个操作中,SiN层基于PEALD沉积于选择性蚀刻SiO层上(即沉积于阶梯图案的侧表面上剩余的SiO层上)。在这个操作中,沉积通过维持较低等离子体密度条件来进行。举例来说,可应用较低等离子体功率。因此,SiN层可在后续操作(操作5)中在阶梯结构上选择性蚀刻。
操作5(参看图27):在这个操作中,选择性蚀刻先前操作中所沉积的SiN层。在这个操作中,针对选择性蚀刻,湿式蚀刻使用氢氟酸(HF):去离子水(DIW)=1:100的稀释氢氟酸(DHF)来进行以蚀刻沉积于阶梯结构的侧表面上的SiN层且保留沉积于阶梯结构的上部表面上的SiN层(即充当牺牲层220的SiN层上所产生的SiN层)。阶梯结构上剩余的SiN层充当用于防止待产生于后续操作中的通路接触孔穿过阶梯结构中的栅极堆叠的SiN层的保护层。另外,剩余的SiN层充当用于将通路接触孔连接到字线(即待使用金属替换的栅极堆叠SiN层)的接触焊垫。在操作4的沉积工艺中,因为保持较低等离子体密度条件,所以因离子轰击效果而导致的薄膜的致密化比因离子而导致的薄膜的断裂更显著。因此,阶梯结构的侧表面的SiN层比阶梯结构的上部表面的SiN层更快蚀刻。在这个操作中在阶梯结构的上部表面上所产生的SiN层称为“TS-SiN”层。在使用选择性蚀刻来产生TS-SiN层之后,绝缘材料层沉积于阶梯结构上。绝缘材料层可以是TEOS层且可充当后续操作中的用于产生通路接触孔的模塑层。
操作6(参看图28):产生通过产生于操作5中的TEOS层连接到TS-SiN层的通路接触孔。通路接触孔使用干式蚀刻来产生。在产生通路接触孔之后,介层窗通过使用例如铝金属、钨或等效导电材料的导电材料填充通路接触孔来产生。
操作7(参看图29):在这个操作中,栅极堆叠的SiN层和产生于操作5中的TS-SiN层基于湿式蚀刻去除。湿式蚀刻可使用H3PO4进行。
操作8(参看图30):在这个操作中,导电材料填充于在操作7中去除SiN层的空间中。在这个实施例中,钨使用CVD填充。
图30示出通过使用金属替换充当牺牲层220的SiN层和充当垫层的SiN层来产生的字线以及位于字线之间的RTS-SiO2层。如图30中所示出,金属字线W1、W2、W3、W4可通过RTS-SiO2层彼此完全分离,并且由此可防止金属字线之间的接触。
附图中的元件的形状仅对应于实例供用于清晰理解本发明。应注意,所图示的形状可进行各种改变。在附图中,类似的参考标号表示类似元件。
尽管已参考附图描述一个或多个实施例,但本领域的普通技术人员应了解,在不脱离由以下权利要求定义的本发明的精神和范围的情况下可以在本文中对形式和细节进行各种改变。

Claims (20)

1.一种衬底处理方法,其特征在于,包括:
将各自包括绝缘层以及牺牲层的多个堆叠结构堆叠在彼此上;
通过部分地去除所述堆叠结构来产生阶梯结构;
在所述阶梯结构的侧表面上产生分离层;以及
使用导电字线结构替换所述牺牲层,
其中所述分离层提供在所述导电字线结构之间,
其中所述的衬底处理方法进一步包括:
在所述牺牲层上产生垫层;以及
在所述阶梯结构上产生层间绝缘层,
其中产生所述导电字线结构包括:
去除所述牺牲层以及所述垫层;以及
在去除所述牺牲层以及所述垫层的空间中填充导电材料。
2.根据权利要求1所述的衬底处理方法,其中在填充所述导电材料期间通过所述分离层来防止所述导电字线结构之间的短路。
3.根据权利要求1所述的衬底处理方法,其中在产生所述层间绝缘层期间产生连接到所述牺牲层的至少一个孔,以及
其中在替换期间产生填充所述孔的导电突起。
4.根据权利要求1所述的衬底处理方法,其中所述导电字线结构中的每一个包括:
第一导电层,朝向沟道延伸;以及
第二导电层,提供于所述第一导电层上,以及
其中所述第一导电层的侧表面的至少一部分与所述分离层接触。
5.根据权利要求4所述的衬底处理方法,其中导电字线结构的所述第一导电层与另一导电字线结构的所述第二导电层在垂直方向上彼此间隔开所述分离层的高度。
6.根据权利要求4所述的衬底处理方法,其中所述第二导电层包括从所述第一导电层突起的第一突起,以及
其中所述第一突起与所述分离层接触。
7.根据权利要求6所述的衬底处理方法,其中所述分离层具有间隔物形状,以及
其中所述第二导电层的下部表面具有对应于所述间隔物形状的轮廓。
8.根据权利要求6所述的衬底处理方法,其中所述第二导电层进一步包括在向下方向上从所述第一突起的端部突起的第二突起。
9.根据权利要求6所述的衬底处理方法,其中所述第二导电层包括:
第一区域,与所述第一导电层重叠;以及
第二区域,与所述分离层重叠。
10.根据权利要求9所述的衬底处理方法,其中产生与所述导电字线结构接触的介层窗,以及
其中所述介层窗与所述第二导电层的所述第一区域以及所述第二区域接触。
11.根据权利要求1所述的衬底处理方法,其中产生所述分离层包括:
在所述阶梯结构上产生第一层;
通过应用第一能量来使所述第一层选择性地致密化,其方式为使得在所述阶梯结构的所述侧表面上的所述第一层的一部分比在所述阶梯结构的上部表面以及下部表面上的所述第一层的一部分更致密;以及
各向同性地蚀刻所述第一层以去除在所述阶梯结构的所述上部表面以及所述下部表面上的所述第一层的所述部分以及保留在所述阶梯结构的所述侧表面上的所述第一层的所述部分。
12.根据权利要求1所述的衬底处理方法,其中产生所述垫层包括:
在所述阶梯结构上产生第二层;
通过应用第二能量来使所述第二层选择性地致密化,其方式为使得在所述阶梯结构的上部表面以及下部表面上的所述第二层的一部分比在所述阶梯结构的所述侧表面上的所述第二层的一部分更致密;以及
各向同性地蚀刻所述第二层以去除在所述阶梯结构的所述侧表面上的所述第二层的所述部分以及保留在所述阶梯结构的所述上部表面以及所述下部表面上的所述第二层的所述部分。
13.根据权利要求11所述的衬底处理方法,其中所述第一能量等于或高于预设阈值以及使在所述阶梯结构的所述上部表面以及所述下部表面上的所述第一层的所述部分变薄。
14.一种包括阶梯图案的衬底的衬底处理方法,所述阶梯图案通过交替地堆叠第一氧化硅层以及第一氮化硅层来产生,其特征在于,所述衬底处理方法包括:
在所述阶梯图案的上部表面以及侧壁上产生第二氧化硅层;
去除所述上部表面上所产生的所述第二氧化硅层而未将所述第二氧化硅层从所述侧壁完全去除;以及
使用金属替换所述第一氮化硅层。
15.根据权利要求14所述的包括阶梯图案的衬底的衬底处理方法,其中所述第一氮化硅层包括下部第一氮化硅层以及比所述下部第一氮化硅层更薄的上部第一氮化硅层。
16.根据权利要求14所述的包括阶梯图案的衬底的衬底处理方法,其中所述侧壁上剩余的所述第二氧化硅层提供在字线之间以及防止所述字线之间的短路。
17.根据权利要求14所述的包括阶梯图案的衬底的衬底处理方法,其中所述金属具有过量填充的结构且充当字线。
18.根据权利要求17所述的包括阶梯图案的衬底的衬底处理方法,其中为产生所述过量填充的结构,使用所述金属替换所述第一氮化硅层包括:
在所述第一氮化硅层以及所述侧壁上剩余的所述第二氧化硅层上产生第二氮化硅层;
去除所述第二氧化硅层上所产生的所述第二氮化硅层而无需将所述第二氮化硅层从所述第一氮化硅层完全去除;以及
使用所述金属替换所述第一氮化硅层以及所述第二氮化硅层。
19.一种半导体装置,其特征在于,包括:
衬底;
至少一个存储器单元串,在向上方向上从所述衬底突起以及延伸;
第一字线,连接到所述存储器单元串的第一存储器单元;以及
第二字线,连接到所述存储器单元串的第二存储器单元,
其中所述第一字线以及所述第二字线中的至少一个包括:
第一导电层,朝向沟道延伸;以及
第二导电层,提供于所述第一导电层上,以及
其中所述第二导电层包括在水平方向上从所述第一导电层突起的第一突起。
20.根据权利要求19所述的半导体装置,其中所述第二导电层进一步包括在向下方向上从所述第一突起的端部突起的第二突起。
CN201810379780.3A 2017-04-26 2018-04-25 衬底处理方法和使用其制造的半导体装置 Active CN108807169B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762490469P 2017-04-26 2017-04-26
US62/490,469 2017-04-26
US15/951,644 2018-04-12
US15/951,644 US10504901B2 (en) 2017-04-26 2018-04-12 Substrate processing method and device manufactured using the same

Publications (2)

Publication Number Publication Date
CN108807169A CN108807169A (zh) 2018-11-13
CN108807169B true CN108807169B (zh) 2023-04-18

Family

ID=63915696

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810379780.3A Active CN108807169B (zh) 2017-04-26 2018-04-25 衬底处理方法和使用其制造的半导体装置

Country Status (4)

Country Link
US (1) US10504901B2 (zh)
KR (1) KR102592694B1 (zh)
CN (1) CN108807169B (zh)
TW (1) TWI698939B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10847529B2 (en) * 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2019028136A1 (en) * 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
JP2020027873A (ja) * 2018-08-10 2020-02-20 キオクシア株式会社 半導体装置
JP2020035833A (ja) * 2018-08-28 2020-03-05 キオクシア株式会社 半導体記憶装置および半導体記憶装置の製造方法
WO2020077185A1 (en) 2018-10-13 2020-04-16 Applied Materials, Inc. Stacked transistor device
EP3891780A4 (en) 2018-12-07 2022-12-21 Sunrise Memory Corporation METHODS OF FORMING NETWORKS OF MULTILAYER VERTICAL NOR TYPE MEMORY CHAINS
CN113097215B (zh) * 2020-06-11 2021-12-07 长江存储科技有限责任公司 三维存储器结构及其制备方法
US11688688B2 (en) 2021-03-16 2023-06-27 Macronix International Co., Ltd. Memory device including a landing pad with increased thickness of a conductive film in the landing area
CN113322071A (zh) * 2021-05-28 2021-08-31 长江存储科技有限责任公司 刻蚀用组合物及其使用方法
US20220399363A1 (en) * 2021-06-15 2022-12-15 Micron Technology, Inc. Integrated Circuitry Comprising A Memory Array Comprising Strings Of Memory Cells And Methods Including A Method Used In Forming A Memory Array Comprising Strings Of Memory Cells
CN117219612A (zh) * 2022-05-30 2023-12-12 长鑫存储技术有限公司 半导体结构和存储器的制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201021202A (en) * 2008-11-10 2010-06-01 Toshiba Kk Nonvolatile semiconductor memory device and method of manufacturing the same
CN102468282A (zh) * 2010-11-17 2012-05-23 三星电子株式会社 三维半导体器件及其制造方法

Family Cites Families (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
BR9509995A (pt) 1994-12-13 1997-12-30 Hp Cremie Pelzer Research & De Revestimentos têxteis recicláveis para pisos
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
EE200100421A (et) 1999-02-11 2002-12-16 Hardide Limited Volframkarbiidist kattematerjal, seda sisaldav kate ning meetod volframkarbiidi saamiseks ja katte valmistamiseks
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
KR20090126273A (ko) 2007-03-28 2009-12-08 다우 코닝 코포레이션 실리콘 및 탄소를 함유하는 장벽층의 롤투롤 플라즈마 화학 기상 증착법
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
KR101778287B1 (ko) * 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120030193A (ko) * 2010-09-17 2012-03-28 삼성전자주식회사 3차원 반도체 장치의 제조 방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
JP2014027104A (ja) 2012-07-26 2014-02-06 Toshiba Corp 半導体装置及びその製造方法
KR20140089793A (ko) * 2013-01-07 2014-07-16 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR101986245B1 (ko) * 2013-01-17 2019-09-30 삼성전자주식회사 수직형 반도체 소자의 제조 방법
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP6129756B2 (ja) * 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
KR102168189B1 (ko) * 2014-03-07 2020-10-21 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
KR102134912B1 (ko) * 2014-03-21 2020-07-20 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
KR102094470B1 (ko) * 2014-04-08 2020-03-27 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102508897B1 (ko) * 2015-12-17 2023-03-10 삼성전자주식회사 수직형 메모리 소자 및 그 형성 방법
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
WO2017184701A1 (en) 2016-04-21 2017-10-26 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102600997B1 (ko) * 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201021202A (en) * 2008-11-10 2010-06-01 Toshiba Kk Nonvolatile semiconductor memory device and method of manufacturing the same
TWI390715B (zh) * 2008-11-10 2013-03-21 Toshiba Kk 非揮發性半導體記憶裝置及其製造方法
CN102468282A (zh) * 2010-11-17 2012-05-23 三星电子株式会社 三维半导体器件及其制造方法

Also Published As

Publication number Publication date
CN108807169A (zh) 2018-11-13
KR102592694B1 (ko) 2023-10-23
US10504901B2 (en) 2019-12-10
TW201907490A (zh) 2019-02-16
US20180315758A1 (en) 2018-11-01
TWI698939B (zh) 2020-07-11
KR20180120085A (ko) 2018-11-05

Similar Documents

Publication Publication Date Title
CN108807169B (zh) 衬底处理方法和使用其制造的半导体装置
TWI700821B (zh) 基底處理方法與使用其製造的裝置
TWI682454B (zh) 基板處理方法
TWI701813B (zh) 立體記憶體元件
JP7190584B2 (ja) 三次元メモリデバイス及びそれを形成するための方法
US8293642B2 (en) Method of manufacturing semiconductor devices
CN108735756B (zh) 衬底处理方法及通过所述衬底处理方法制造的半导体器件
CN110062958A (zh) 用于形成三维存储器件的方法
KR100809330B1 (ko) 게이트 스페이서로 인한 응력이 배제된 반도체 소자 및 그제조 방법
US9379126B2 (en) Damascene conductor for a 3D device
KR20200044978A (ko) 선택적으로 에칭되는 자기-정렬된 비아 프로세스들
TW201913972A (zh) 記憶體裝置的階梯結構
KR100691492B1 (ko) 플래시 메모리 소자의 금속배선 형성방법
CN111403397B (zh) 一种3d nand存储器及其制造方法
US6852592B2 (en) Methods for fabricating semiconductor devices
WO2019168027A1 (ja) 不揮発性記憶装置の製造方法
US7332761B2 (en) Method for fabricating capacitor of semiconductor device
US20220246626A1 (en) Raised pad formations for contacts in three-dimensional structures on microelectronic workpieces
CN113394084B (zh) 半导体装置的制造方法
EP3840034B1 (en) Method for producing nanoscaled electrically conductive lines for semiconductor devices
JP2022142897A (ja) パターン形成方法及び半導体装置の製造方法
KR100613381B1 (ko) 반도체 소자의 금속 배선 형성 방법
CN115000007A (zh) 接触插塞、其制作方法及半导体器件
KR20000021258A (ko) 전자이탈을 억제하는 구조의 금속컨택 및 그형성방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant