PL138247B1 - Method of producing thin films of compoenets of various elements,in particular thin oxide films on glass and apparatus therefor - Google Patents

Method of producing thin films of compoenets of various elements,in particular thin oxide films on glass and apparatus therefor Download PDF

Info

Publication number
PL138247B1
PL138247B1 PL1980222293A PL22229380A PL138247B1 PL 138247 B1 PL138247 B1 PL 138247B1 PL 1980222293 A PL1980222293 A PL 1980222293A PL 22229380 A PL22229380 A PL 22229380A PL 138247 B1 PL138247 B1 PL 138247B1
Authority
PL
Poland
Prior art keywords
reaction chamber
substrate
reaction
reactive
source
Prior art date
Application number
PL1980222293A
Other languages
English (en)
Other versions
PL222293A1 (pl
Original Assignee
Lohja Ab Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lohja Ab Oy filed Critical Lohja Ab Oy
Publication of PL222293A1 publication Critical patent/PL222293A1/xx
Publication of PL138247B1 publication Critical patent/PL138247B1/pl

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • C23C16/306AII BVI compounds, where A is Zn, Cd or Hg and B is S, Se or Te
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • C30B29/20Aluminium oxides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/46Sulfur-, selenium- or tellurium-containing compounds
    • C30B29/48AIIBVI compounds wherein A is Zn, Cd or Hg, and B is S, Se or Te

Description

Przedmiotem wynalazku jest sposób wytwarza¬ nia cienkich warstw zwiazków róznych pierwiast¬ ków, zwlaszcza cienkich warstw tlenków na pod¬ lozu szklanym oraz urzadzenie do wytwarzania cienkich warstw zwiazków róznych pierwiastków.Z polskiego opisu patentowego nr 80 802 znane gest otrzymywanie pojedynczej warstwy Si02 na podlozach z monokrystalicznego krzemu na dro- jdze jego utleniania para wodna w obecnosci ga¬ zu obojetnego.Z polskiego opisu patentowego nr 77119 jest z kolei znany sposób wytwarzania cienkich warstw antymonku indu, polegajacy na naparowywaniu lub napylaniu tego zwiazku na podloze w prózni lub w atmosferze gazu obojetnego oraz nastepu¬ jacej po tym rekrystalizacji materialu warstwy.Znana jest metoda wytwarzania cienkich warstw, okreslona mianem ALE (Atomie Layer Epitaxy — epitakcja warstw atomowych), umo¬ zliwiajaca otrzymywanie warstw cienkich wyso¬ kiej jakosci przez kolejne wystawianie powierzch¬ ni podloza na dzialanie par reaktywnych, powo¬ dujacych narastanie pojedynczych warstw atomo¬ wych na tej powierzchni podczas kazdego z za¬ biegów reakcyjnych.Metoda ALE polega na wywolywaniu oddziel¬ nych, powierzchniowych reakcji z róznymi para¬ mi reaktywnymi, a tym samym zastosowaniu specjalnego urzadzenia i sposobu doprowadzania do narastania warstw. W opisie patentowym St. 15 20 30 Zjedn. Ameryki nr 4 508 430 wymaganiom tym czyni sie zadosc przez zastosowanie znanej me¬ tody naparowywania prózniowego wzbogaconej o wprowadzanie stref lub okresów reakcyjnych, oddzielonych od siebie strefami lub okresami wystepowania stanu wysokiej prózni. Na skutek ulatywania par reaktywnych ze stref reakcyjnych oraz zalegania pozostalosci par, dajacego znac o sobie zwlaszcza w przypadku wyzyskiwania re¬ akcji wymiany powierzchniowej, istnieje trudnosc w uzyskiwaniu stanu wysokiej prózni, niezbednej do skutecznego oddzielania zabiegów reakcyjnych.Te niedogodnosc- metody ALE kompensuje ko¬ rzystna jej wlasnosc samostabilizowania sie szyb¬ kosci narastania warstw, uzyskiwana w metodzie ALE przez uzycie ilosci par reaktywnych, znacz¬ nie przekraczajacych ilosci potrzebne do pelnego pokrycia powierzchni w trakcie pojedynczego po¬ wierzchniowego zabiegu reakcyjnego.Znane sa urzadzenia do wytwarzania cienkich warstw zawierajace komore reakcyjna z umiesz¬ czonymi w niej uchwytami podlozy, na których jest prowadzony wzrost cienkiej warstwy zwiaz¬ ku, pompe prózniowa dolaczona do komory re¬ akcyjnej, zródla co najmniej dwóch róznych ga¬ zów dolaczone do komory reakcyjnej, a ponad¬ to zawierajace grzejnik do utrzymywania zada¬ nej temperatury komory reakcyjnej i zródel ga¬ zów reakcyjnych. W tego rodzaju urzadzeniach wystepuje trudnosc w uzyskiwaniu stanu wyso- 138 24T138 247 kiej prózni, niezbednej do skutecznego oddziela¬ nia poszczególnych zabiegów reakcyjnych.Celem wynalazku jest opracowanie sposobu d urzadzenia umozliwiajacych przezwyciezenie wyzej opisanych trudnosci, powstajacych w przy¬ padku stosowania naparowywania prózniowego w sposobie doprowadzania do narastania warstw metoda ALE.Sposób wytwarzania cienkich warstw zwiazków róznych pierwiastków, zwlaszcza cienkich warstw tlenków na podlozu szklanym, przez naprzemien¬ ne reakcje powierzchniowe róznych substancji zawierajacych te pierwiastki, zgodnie z wynalaz¬ kiem polega na tym, ze proces prowadzi sie w obecnosci obojetnego gazu rozdzielajacego, któ¬ ry doprowadza sie do komory reakcyjnej miesz¬ czacej podloze w okresach pomiedzy naprzemien¬ nym dostarczaniem substancji.Obojetny gaz rozdzielajacy zgodnie z wynalaz¬ kiem pelni funkcje zapór dyfuzyjnych, rozdziela¬ jacych poszczególne reakcje powierzchniowe od globie.Tego rodzaju zapory dyfuzyjne zastepuja rów¬ niez dzialanie zaworów sterujacych przeplywem par reaktywnych z ich zródel do strefy zachodze¬ nia reakcji powierzchniowych. Ponadto gaz obo¬ jetny pelni funkcje gazu nosnego do przenosze¬ nia par reaktywnych z ich zródel do strefy za¬ chodzenia reakcji powierzchniowych oraz do prze¬ noszenia pozostalosci * par z powierzchni reakcyj¬ nej do wylotu komory reakcyjnej.Rozwiazanie wedlug wynalazku stanowi nie tylko alternatywe dla metody przedstawionej w opisie St. Zjedn. Ameryki nr 4 058 430, umo¬ zliwiajacej narastanie epitaksjalnych warstw ato¬ mowych, lecz przynosi nowe korzysci w porówna¬ niu ze znanymi rozwiazaniami, w ogólnosci doty¬ czace narastania zlozonych warstw cienkich. Do zalet rozwiazania wedlug wynalazku nalezy zali¬ czyc latwosc, z jaka przeprowadza sie reakcje wymiany powierzchniowej, w których pierwiastki skladowe zwiazku, jaki ma rosnac, doprowadza sie do obszaru zachodzenia reakcji powierzchnio¬ wych jako inne zwiazki tych pierwiastków. Ma to szczególne znaczenie w odniesieniu do metali o niskiej preznosci par, które mozna tym samym zastapic bardziej lotnymi zwiazkami, takimi jak halogenki metali lub zwiazki metaloorganiczne.Stwarza to takze mozliwosc obnizenia tempera¬ tury zródla jak i obnizenia temperatury podloza, potrzebnego do dokladnego odparowywania wtór¬ nego po utworzeniu sie warstwy na calej po¬ wierzchni, zgodnie z metoda ALE. Co sie tyczy wzrostu warstw tlenków meitali, to zgodnie z obec¬ nym stanem wiedzy, halogenek metalu jest che¬ micznie adsorbowany w postaci czasteczek halo¬ genku na powierzchni tlenku dotad, dokad atomy halogenowe nie zostana uwolnione w nastepnej re¬ akcji powierzchniowej przez atomy wodoru po¬ chodzace z czasteczek wody stosowanej jako para reaktywna w powierzchniowej reakcji utleniania.Inna zalete, dzieki stosowaniu reakcji wymiany, uzyskuje sie w odniesieniu do szybkosci narasta¬ nia. Na przyklad, w przypadku narastania ZnS, jednoatomowa powierzchniowa warstwa metalu 20 ma sklonnosc do ponownego wyparowywania przed wejsciem w reakcje z parami siarki. Ozna¬ cza to, ze szybkosc narastania jest uzalezniona od czasu parowania wtórnego, uplywajacego miedzy 5 powierzchniowymi zabiegami reakcyjnymi epitak- * sji cynku i siarki, a ponadto maleje wraz ze wzrostem temperatury. W przypadku odpowied¬ niego wykorzystywania reakcji wymiany wada ta nie wystepuje, stwierdza sie natomiast stala sze- 10 rokosc narastania, zasadniczo równa 0,1 nm/cykl, i to w szerokim zakresie temperatur i czasów wtórnego parowania. Stwierdzono, ze kazdy zwia¬ zek cechuje typowa szybkosc narastania, odpowia¬ dajaca konfiguracji stabilnej warstwy monokry- 15 stalicznej, zgodnej ze stalymi sieciowymi danego krysztalu.Sposób wedlug wynalazku stosuje sie po spel¬ nieniu pewnych warunków wstepnych, odnosza¬ cych sie do ksztaltu podlozy; jakie maja byc sto¬ sowane, a to ze wzgledu na koniecznosc utrzy¬ mania okreslonej ich aerodynamiki niezbednej do uzyskiwania zadanej szybkosci narastania. Ideal¬ nymi podlozami sa plaskie plytki q gladkich po¬ wierzchniach, npi plytki szklane itp. . Parametry 25 eksploatacyjne metody ALE stwarzaja jedynie mozliwosc wykonywania struktur warstwowych wykrojowych oraz domieszkowania, natomiast bardzo latwo uzyskuje sie takie wyroby dopiero sposobem wedlug wynalazku. Para reaktywna, 30 stosowana w pojedynczym powierzchniowym za¬ biegu reakcyjnym moze zawierac kilka skladni¬ ków, które sa zdolne do reagowania z powierzch¬ nia, na której maja narastac, lecz nie moze za¬ wierac takich skladników, które reaguja ze soba 135 z wytworzeniem zwiazku w stanie stalym w tem¬ peraturze powierzchni narastania. Na przyklad, wzrost osnowy z ZnS mozna modyfikowac doda¬ jac niewielkie ilosci NaCl2 w postaci pary do pary ZnCl2 w danym zabiegu reakcyjnym. NaCl2 40 i ZnCl2 nie reaguja ze soba, natomiast kazdy z tych zwiazków reaguje z powierzchnia utwo¬ rzona przez siarke w postaci stalej, dajac ZnS domieszkowany Mn. W ten sam sposób mozna otrzymywac kompleksy, to jest przez dodawanie CdCl2 w postaci pary do ZnCl2 w danym zabiegu reakcyjnym narastania ZnS, co prowadzi do otrzymywania warstwy ZnxCd!_xS. Odpowiednie domieszkowanie lub tworzenie kompleksów mozli¬ we jest tez w przypadku dodawania pierwiastków grupy VI lub jakiejkolwiek innej grupy pierwiast¬ ków. Warunkiem uzyskania wzrostu warstwy w przypadku stosowania sposobu wedlug wyna¬ lazku jest niedopuszczenie za pomoca zapór dyfu¬ zyjnych, tworzonych z czynnika w fazie gazowej lub z gazu nosnego, do wystapienia jednoczesnych interakcji tych par, które sa zdolne dp reagowa¬ nia ze soba w temperaturze powierzchni podloza z wytworzeniem produktów reakcji w stanie sta¬ lym w tej temperaturze. Taki czynnik wystepu¬ jacy w fazie gazowej, powinien zasadniczo byc obojetny wzgledem powierzchni narastania. Moga jednak byc dopuszczone oddzialywania wtórne, takie jak wynikajace z dodawania skladników do¬ mieszkujacych, jak dzialania katalityczne lub in- 65 hibicyjne oraz chemiczne przenoszenie pozosta- 45 50 55 60I m 217 6 losci reakcji powierzchniowych.Urzadzenie do wytwarzania cienkich warstw zwiazków róznych pierwiastków, zwlaszcza cien¬ kich warstw tlenków na podlozu szklanym, zgod¬ nie z wynalazkiem zawiera komore reakcyjna 5 z umieszczonymi w niej uchwytami podlozy, na których jest prowadzony wzrost cienkiej warstwy zwiazku, pompe prózniowa dolaczona do komory reakcyjnej, zródla co najmniej dwóch róznych ga¬ zów reakcyjnych dolaczone do komory reakcyjnej, 10 elementy sterujace doprowadzeniem gazów reak¬ cyjnych, a ponadto zawiera grzejnik do utrzymy¬ wania zadanej temperatury komory reakcyjnej i zródel gazów reakcyjnych.Istote wynalazku stanowi zastosowanie w urza- 15 dzeniu zródla obojetnego gazu rozdzielajacego, do¬ laczonego do komory reakcyjnej.Przedmiot wynalazku jest uwidoczniony w przy¬ kladach wykonania na rysunku, na którym fig. 1 przedstawia przebieg impulsów par reaktywnych 20 oraz zapore dyfuzyjna pomiedzy tymi impulsami, fig. 2 — przyklad wykonania urzadzenia do sto¬ sowania sposobu wedlug wynalazku, schematycz¬ nie, w przekroju, fig. 3 — urzadzenie wedlug fig. 2 w przekroju poprzecznym, dokonanym wzdluz 25 linii III—III, fig. 4 — przebieg samostabilizowa- nia sie szybkosci narastania warstwy uzyskiwany w sposobie wedlug wynalazku oraz dla porówna¬ nia wedlug stanu techniki, fig. 5 — typowy zawór magnetyczny, stosowany do wytwarzania impul- 30 sów par reaktywnych, jako ich zródlo w sposobie wedlug wynalazku, fig. 6 — schematycznie, przy¬ klad wykonania zródla impulsów par reaktyw- . mych stosowanego w sposobie wedlug wynalazku, fig. 7 — uproszczony uklad analogowy przykladu. 8* zródla wedlug wynalazku fig. 6, fig. 8 — schema¬ tycznie, inny przyklad wykonania urzadzenia, do stosowania sposobu wedlug wynalazku, o przekro¬ ju pionowym, fig 9A—9C — urzadzenie wedlug fig. 8 w przekrojach poprzecznych, dokonanych 40 wzdluz linii odpowiednio IXA—IXA, IXB—IXB i IXC—IXC, fig. 10 — przyklad wykonania urza¬ dzenia, które zasadniczo jest porównywalne z przedstawionym na fig. 1, w rzucie pionowym, fig. 11 — urzadzenie wedlug fig. 10, w przekroju « i w widoku z góry, fig 12 — przyklad wykonania zródla wedlug fig. 6, stosowanego w przykladzie wykonania urzadzenia wedlug fig. 10, w wykroju fig. 13 — schematycznie inny przyklad wykonania urzadzenia do stosowania sposobu wedlug wyna- 50 lazku, w przekroju pionowym, dokonanym wzdluz linii XIII—XIII wedlug fig. 14 — przyklad wyko¬ nania urzadzenia wedlug fig. 13, schematycznie, ,w przekroju dokonanym wzdluz linii XIV—XIV, fig. 15 — schematycznie, elektroluminescencyjna 55 strukture cienkowarstwowa (EL), otrzymana spo¬ sobem wedlug wynalazku, zgodnie z przykladem 4, fig. 16 — krzywa luminancji i skutecznosci zró¬ dla swiatla, otrzymane dla struktury EL wedlug fig. 15, a fig. 17 — wyniki pomiarów wlasnosci 60 elektrycznych warstwy A1203 otrzymanej wedlug przykladu V.Wedlug wynalazku podloze podaje sie kolejno dzialaniu impulsów róznych par reaktywnych, aby doprowadzic clo narosniecia zlozonej warstwy cien- w kiej na tym podlozu. Na przyklad, jak to przed-, stawia fig. 1, impulsy takie o cisnieniu czastecz¬ kowym PQ par reaktywnych Ax i By, majace za¬ pore dyfuzyjna V pomiedzy soba, przepuszcza sie„ poprzez komore reakcyjna, przy czym dlugosc za¬ pory dyfuzyjnej w czynniku G, wystepujacym w fazie gazowej i majacym predkosc v w kierun¬ ku X, wynosi XB. Wielkosc tB oznacza czas utrzy¬ mywania zapory dyfuzyjnej, która zasadniczo za¬ pobiega wzajemnemu odzialywaniu substancji par ze soba, a tym samym nie dopuszcza do tego, aby mialo ono widoczny wplyw na wyrób koncowy.Urzadzenie przedstawione na fig. 2 i 3 ma kor¬ pus rurowy 10 stanowiacy rure szklana, zródlo 20 z wlotowymi kanalami 12, prowadzacymi do ko¬ mory reakcyjnej 18, znajdujacej sie w glównym korpusie rurowym, pompe ssaca 17, zaopatrzona w wylotowy lacznik rurowy 13, prowadzacy z glównego korpusu rurowego i umozliwiajacy utrzymywanie cisnienia P oraz grzejnik 15 ota¬ czajacy komore reakcyjna 18. Podloze lub podloza 11 umieszcza sie w strefie reakcyjnej poprzez ot¬ wór 14 w koncowej czesci korpusu rurowego 10.Temperature podloza, w trakcie narastania warstw 100 na podlozu, utrzymuje sie za pomoca elemen¬ tów grzejnych 16, regulowanych typowymi urza¬ dzeniami regulacyjnymi. Impulsy par reaktyw¬ nych, pochodzace ze zródla 20, sa regulowane za pomoca znanego zespolu zegarowego i kierowane, jeden po drugim, do strefy reakcyjnej.Jak to juz zostalo wspomniane powyzej, dzieki wynalazkowi uzyskuje sie efekt samostabilizowa-: nia sie szybkosci narastania warstwy, . którego przyklad jest porównany ze stanem techniki na fig. 4. Krzywa a przedstawia zarys grubosci cien¬ kiej warstwy otrzymanej zgodnie z zasadami we¬ dlug wynalazku przy zastosowaniu urzadzenia wedlug iig. 2 i 3. Natomiast zarys grubosci b wy¬ nika z narastania odpowiedniej cienkiej warstwy osiagnietego za pomoca srodków wedlug stanu techniki, to jest za pomoca dwóch par reaktyw¬ nych, jednoczesnie reagujacych z podlozem.Stosowane sa zasadniczo dwa sposoby wytwa¬ rzania impulsów par reaktywnych. Jeden polega na uzyciu zaworów mechanicznych do materia¬ lów bioracych udzial w reakcji i ulatniajacych sie w temperaturze pokojowej. Rozwiazanie tego- ro¬ dzaju jest ukazane na fig. 5, na której lacznik rurowy 25 prowadzi ze zródla do zbiornika pary reaktywnej, a zawór 21 ma Cewke elektromagne¬ tyczna 22 oraz zawieradlo 23, zas Otwór zaworowy 26 i lacznik prowadzacy ze zródla 28 zasilania ga¬ zem obojetnym lacza sie bezposrednio z kanalem 12. Przeplyw gazu obojetnego moze byc wyko¬ rzystywany do minimalizowania tworzenia sie ogo¬ nów impulsów gazu reaktywnego w kanale 12.Dzialanie zaworu jest kontrolowane za pomoca zespolu zegarowego 30.Inny przyklad wykonania zródla impulsowego jest przedstawiony schematycznie na fig. 6.W tym przykladzie dzialanie zaworu mechanicz^ nego w laczniku rurowym, pomiedzy zródlem a komora reakcyjna, jest zastapione sterowalnymi zaporami dyfuzyjnymi. Ten typ zródla korzystnie stosuje sie w przypadkach, gdy material reaktyw-133 247 ny ma niska preznosc par, i dlatego musi byc wprowadzany do komory reakcyjnej w podwyz¬ szonej temperaturze. Pare reaktywna wytwarza sie przez nagrzewanie materialu reaktywnego M, znajdujacego sie w stanie stalym lub cieklym, w strefie odparowywania 41 zródla za pomoca elementu grzejnego 47. W stanie zablokowania zapore dyfuzyjna wytwarza sie w przewodzie wlo¬ towym 43 za pomoca gazu obojetnego, doprowa¬ dzanego poprzez lacznik rurowy 49, a wyciaga sie poprzez lacznik rurowy 46 za pomoca pompy ssa¬ cej 50. Odpowiednia zapore dyfuzyjna wytwarza sie w kanale 12, w celu niedopuszczenia do prze¬ nikania par z komory reakcyjnej do zródla.Ponizej sa szczególowo opisane warunki niezbed¬ ne dla takich zapór dyfuzyjnych. W stanie zablo¬ kowania pare reaktywna, wytworzona w strefie odparowywania 41 przenosi sie do strefy konden¬ sacji 42, która moze byc chlodzona za pomoca ele¬ mentu chlodzacego 48. Stan zablokowania zródla zmienia sie w stan zasilania, za pomoca zaworu sterowniczego 44, który dopuszcza dodatkowy prze¬ plyw gazu obojetnego o natezeniu dostatecznie du¬ zym do odwrócenia kierunku przeplywu w prze¬ wodzie wlotowym 43. Dzialanie zródla jest szcze¬ gólowo pokazane na podstawie ukladu przeply¬ wowego analogowego, przedstawionego na lig. 7.Kolejny przyklad wykonania urzadzenia jest przedstawiony na fig. 10 i 11. Co do zasady dzia¬ lania jest ono identyczne z przykladem wedlug fig. 2 i 3, i zawiera rurowa komore reakcyjna 18, zródlo 28, otoczone spiralami grzejnymi 121 i 97, oraz urzadzenie wyciagowe. Korpus mieszczacy komore reakcyjna 110 jest wykonany ze stali nierdzewnej, która jest wylozona od wewnatrz okladzina szklana 96. W komorze reakcyjnej 18 znajduja sie trzy podloza Ha, llb, He, usytuowa¬ ne jedno nad drugim w pewnych odstepach od siebie. Urzadzenie wyciagowe zawiera element grzejny 116, strefe kondensacji 19 oraz scianke 115 do kierowania przeplywu gazu. Regulatory tem¬ peratury i zespól zegarowy do dawkowania im¬ pulsów zródla sa przedstawione na rysunku od¬ powiednio jako blok 90 i91. ( Na fig. 12 jest przedstawione zródlo zastosowa¬ ne w urzadzeniu wedlug fig. 10 i 11 zgodnie z zasadami przedstawionymi na fig. 6 i 7. W przy¬ padku konstrukcji pokazanej na fig. 12 kanal wlotowy 12* lacznik rurowy 49 oraz przewód wlo¬ towy 43 sa usytuowane wspólosiowo i maja postac szklanych rur, przy czym lacznik rurowy 49 za¬ konczony jest w przyblizeniu stozkowo uksztalto¬ wana czescia 84. Zewnetrzny korpus 86 urzadze¬ nia jest wykonany ze stali nierdzewnej.Wspólna cecha urzadzen wedlug fig. 2 i 3 oraz fig. 10 i 11 jest to, ze podloza sa umieszczone w stalym miejscu w komorze reakcyjnej podczas narastania cienkich warstw. Impulsy par reak¬ tywnych przechodza przez komore, niesione przez gaz obojetny, który tworzy zapory dyfuzyjne po¬ miedzy tymi impulsami par reaktywnych.W innym przykladzie wykonania urzadzenia miejscowo ustalone strumienie par reaktywnych oddziela sie od siebie za pomoca strumieni gazo¬ wych tworzacych zapory dyfuzyjne, Okresowe, naprzemienne oddzialywania pomiedzy powierzch¬ nia podloza a strumieniem kazdej z par reaktyw¬ nych uzysjcuje sie przez obracanie lub inne okre¬ sowe, mechaniczne przemieszczanie podloza. 6 Z punktu widzenia powierzchni podloza w obu tych przypadkach sytuacja jest zasadniczo podob¬ na, jako ze podloze jest kolejno poddawane dzia¬ laniu kazdej z par reaktywnych, znajdujacych sie w czynniku wystepujacym w fazie gazowej, który J? utrzymuje te pary w stanie rozdzielenia dzieki utworzeniu zapór dyfuzyjnych pomiedzy nimi.W urzadzeniu wedlug fig. S, 9A, 9B i 9C w prze¬ ciwleglych kolumnach 51, 52 korpusu 60 sa umiesz¬ czone dwa zródla 53, 54 par reaktywnych. Zródla 15 te sa nagrzewane za pomoca grzejników 56. Pary reaktywne sa unoszone na skutek dyfuzji lub za pomoca gazów obojetnych az do zetkniecia z po¬ dlozami 11', które sa umieszczone w obrotowym uchwycie 61 o konstrukcji przypominajacej kolo 20 lopatkowe. Gdy uchwyt obraca sie, kazde z pod¬ lozy wchodzi na zmiane w styilc z kazda z par reaktywnych, przy przechodzeniu odpowiednio przez kolumny 51, 52. Strumienie gazów obojetr nych pochodzace z przewodów 66, 67 rozdzielaja 25 pary reaktywne pomiedzy podloza, gdy te sa prze¬ mieszczane w kolumnach 55. W kanalach pomie¬ dzy podlozami warunki przeplywu sa prawie ta¬ kie same jak w urzadzeniu wedlug fig. 10 i 11.Na fig. 8 jest pokazany zespól do wprawiania po- 30 dlozy w ruch wirowy, zawierajacy silnik z wal¬ kiem napedowym 64 ulozyskowanym na swych koncach w lozyskach 65 i osadzonym w rurze oslonowej 59, do której przylaczone sa poziome kolnierze kolowe ograniczajace komore reakcyjna. 35 Strefe reakcyjna otacza grzejnik 68, przy czym pomiedzy pionowymi kolumnami przeplywowymi 51, 52 i 55, zaznaczonymi równiez na fig. 90 ozna¬ czeniami 51', 32' i 55', sa umieszczone scianki 57, 57'. 40 W urzadzeniu wedlug fig. 13 i 14 kolejne wza¬ jemne oddzialywania pomiedzy powierzchnia pod¬ loza a parami reaktywnymi maja miejsce dzieki wprowadzeniu podloza 11' w ruch posuwisto- -zwrotny wzgledem zestawu otworów wylotowych 45 75 par reaktywnych, otworów wylotowych 73 gazu obojetnego i otworów wyciagowych 74 miedzy ni¬ mi. Pomiedzy kadlubem 72 zaopatrzonym w zes¬ taw tych otworów a powierzchnia podloza sa wy¬ twarzane zapory dyfuzyjne E. Zgodnie z oblicze- 50 niami przytoczonymi ponizej urzadzenia wedlug tego przykladu jego wykonania moze byc eksploa¬ towane nawet przy cisnieniu atmosferycznym, i to bez potrzeby stosowania nadmiernie wysokiego natezenia przeplywu gazu obojetnego. Na fig. 13 55 i 14 jest przedstawiony element 77 jako przewód zasilania gazem obojetnym, a ponadto sa przed¬ stawione laczniki odzródlowe 79, laczniki wycia¬ gowe 78, zródla 81, 82 par reaktywnych oraz przes¬ trzen przeplywowa 76.Poniewaz proces typu ALE sklada sie zasadni¬ czo z tysiecy pojedynczych powierzchniowych za¬ biegów reakcyjnych, umozliwiajacych wzrost cien¬ kich warstw, laczny czas tp trwania procesu byl¬ by bardzo dlugi, gdyby sie nie zwrócilo szczegól- 65 nej uwagi na zminimalizowanie zwlok w naste-;138 247 powaniu cykli zachodzenia reakcji. Ogólnie, cha¬ rakterystyczna dla procesu narastania warstw cienkich jest wielkosc E okreslona wzorem.E = T.AB/(tp+tL), (1) gdzie: T oznacza grubosc warstwy, Ag — pole powierzchni podloza, jakie ma byc pokryte, t — czas trwania procesu, a tL oznacza czas zalado¬ wywania i wyladowywania urzadzenia. Koszty wy¬ konania urzadzenia, zuzycie mocy i sprawnosc materialu zródla nie sa uwzglednione w tym po¬ równaniu.W procesie typu ALE grubosc cienkiej warstwy moze byc wyrazona wzorem T = N-T o' (2) TQ oznacza grubosc uzyskana w jednym gdzie cyklu zachodzenia reakcji, a N liczbe cykli. Czas trwania procesu t moze byc wyrazony wzorem tp = N'to' (3) gdzie: czas trwania jednego cyklu, równy t , jest suma czasów impulsów róznych par reaktyw¬ nych, oznaczonych przez tt—12..., tn, oraz czasów przerw pomiedzy nimi, oznaczonych przez tir ti2... t. , jakie sa potrzebne do utworzenia zapór dy- 15 20 Sp_ dt = D d2p dx2. (6) Dla uproszczenia przyjmuje sie, ze wartosc cis¬ nienia czastkowego PQ na brzegach impulsu jest stala podczas dyfuzji, z czego wynikaja warunki brzegowe, przy czym zalozenie to jest wazne rów¬ niez w odniesieniu do przypadków miejscowego ustalenia zapór dyfuzyjnych, jak to ma miejsce w przypadku zródel wedlug fig. 6 i 7 oraz w urza¬ dzeniu wedlug fig. 13 i 14. Rozwiazaniem dla równania (6) jest P(x, t) = po erfc (x/2y Dt), (r) gdzie: x oznacza odleglosc od brzegów impulsu, a t oznacza czas, jaki uplynal od chwili wstrzyk¬ niecia impulsu. Izobara cisnienia p4 rozprzestrze¬ nia sie od brzegów impulsu i okreslona jest po¬ dlug wzoru x = 2 Ci / Dt, 25 gdzie; Cx oznacza erfc Cx = p1/pQ. (8) (9) Zapora dyfuzyjna V, która jest zdolna do ogra¬ niczenia czastkowych cisnien do wartosci plf ma fuzyjnych. W przypadku zwiazku dwuskladniko- 30 dlugosc x wynoszaca zgodnie z równaniem (8) wego AB, tQ ma postac t -tA+TlA+tirl--t IB' (4) i fig. 1 XB = 2'Xp] -4-C,]^ Dt. (10) Pole powierzchni podloza Ag, jakie sie poddaje obróbce, zalezy glównie od rozmiarów urzadzenia i moze sie zmieniac w szerokich granicach. Ana¬ lize eksploatacyjna, obejmujaca równiez wplyw rozmiarów urzadzenia praktycznie przeprowadza sie, analizujac udzialy czasu tm i t.m w cyklu reakcyjnym. Dokladna analiza zostala wykonana dla przykladów wykonania urzadzenia wedlug fig. 2. 3, 10 i 11, w których przeplyw gazu obo¬ jetnego odbywa sie w rurowatej komorze reak¬ cyjnej z predkoscia v, przy czym wolne pole prze¬ kroju wynosi A wedlug fig. 1, cisnienie calkowi¬ te wynosi Pr, a cisnienie czastkowe impulsów AX i BY par reaktywnych wynosi Pp, a przy tym im¬ pulsy te sa niesione gazem obojetnym, plynacym w kierunku x.Impulsy par reaktywnych maja sklonnosc do zwiekszania swej szerokosci w trakcie ich pedze¬ nia, a to na skutek dyfuzji w gazie obojetnym przebiegajacej zgodnie z równaniem dt (5) gdzie: D oznacza stala dyfuzji pary reaktywnej w gazie obojetnym. W warunkach przeplywu la- minarnego w rurowatej komorze reakcyjnej, a za¬ tem przy pominieciu wplywu zmiany predkosci w kierunku promieniowym, równanie (5) mozna zastapic liniowym równaniem dyfuzji w kierun^ ku x 40 50 55 60 Przy predkosci gazu obojetnego równej v dlu¬ gosc zapory dyfuzyjnej XB w odleglosci L od pun¬ ktu wprowadzania impulsów par reaktywnych moze byc wyrazona wzorem Xb = 4-C1|/dL/v, dl) i odpowiada odstepowi pomiedzy impulsami par reaktywnych, wynoszacemu tB, przy czym tB = xB/v = 4 d j/ DL/v3. (12) Do praktycznych zastosowan wygodnie jest wy¬ razic stala dyfuzji D jako D- D*/p, (13) gdzie stala D* jest zalezna od cisnienia czynnika dyfuzyjnego. Tak wiec tB moze byc wyrazone ja¬ ko tB = 4 Cj J/D* y L/v3p. (14) Zgodnie z wyrazeniem (14}, tB jest silnie uza¬ leznione od predkosci v gazu obojetnego, która mozna równiez wyrazic jako v = S/A, (15) gdzie S oznacza wydatek, zas A-pole wolnego przekroju rurowej komory reakcyjnej. Obnizanie11 138 247 natezenia przeplywu gazu obojetnego do mini¬ mum dla danej wartosci tB prowadzi do obnize¬ nia sie cisnienia, które nie moze jednak byc niz¬ sze niz pQ.Graniczna wartosc cisnienia czastkowego po dla 5 par reaktywnych mozna wyliczyc z lacznej dawki atomów lub czasteczek, potrzebnych do pelnego pokrycia powierzchni podlozy. Zgodnie z kinetycz¬ na teoria gazów oraz dla danych, przestrzennych warunków propagacji liczbe czasteczek gazu re- i° aktywnego w impulsie mozna wyrazic .wzorem n2 = vt1-p( •A/kT, (16) gdzie: tx oznacza czas trwania impulsu reak- 15 tywnego. Jesli liczba atomów niezbednych do calkowitego pokrycia jednostki pola powierzchni wynosi Na, zas sprawnosc wykorzystania par re¬ aktywnych wynosi rj, to liczba czasteczek, jaka powinna wystepowac w impulsie par reaktywnych 20 dana jest zaleznoscia nl=Ns'As/??' (17) gdzie: Ag oznacza pole powierzchni podloza. 25 Gdy nx = n2, to N -A -kT P = vt1'-A-^ (18) trwania procesu jest okreslony wzorem tp = 2(tD+t1), 30 Ze wzoru (18) wynika czas \ trwania impulsu dla danego pQ.Latwo stwierdzic, ze dla minimalnego tx war¬ tosc pQ jest wieksza. Górna granica jest dla po ustalana cisnieniem gazu obojetnego, co jak es stwierdzono sprzyja uzyskiwaniu korzystnych wa¬ runków zminimalizowanego, optymalnego nate¬ zenia przeplywu, pr'V, oraz tQ. Male natezenie przeplywu stanowi o wystepowaniu niewielkich róznic w promieniowym rozkladzie cisnienia czast- 40 kowego P0 pary reaktywnej. Dla przykladu na¬ rastania prostego zwiazku dwuskladnikowego AB z par reaktywnych Ax i By minimalny czas t ' 41 (19) dzieki temu, ze tA — tB = tj oraz tiA = tiB = tD Praktycznym rzedem wielkosci dla tD i tL jest 0,1 ... 1 i odpowiednio 0,05 ... 05 sekundy przy M calkowitym cisnieniu pr wynoszacym 0,5 ... 5 hPa.Nalezy zauwazyc, ze zwykle zarówno dlugosc lx — v/tx impulsów jak i dlugosc XD zapór dyfu¬ zyjnych sa wieksze od srednic rurowych komór reakcyjnych, co mozna przyjac za kryterium dyfu- 55 zji jednowymiarowej. W powyzszej analizie zo¬ stalo przyjete, ze strome brzegi impulsów par re¬ aktywnych wystepuja w miejscu ich wprowadza¬ nia. Zgodnie z rozwiazaniem zródla wedlug fig. 5 latwo osiagnac to za pomoca znanych zaworów. 6a Aby osiagnac taka zamierzona sytuacje w przy¬ padku zródla wedlug fig. 6 i 7 potrzebna jest szczególowa analiza. Stan zablokowania zródla osiaga sie przez wytworzenie zapór dyfuzyjnych w kanalach 13, 12. Parametry niezbedne do usta- & 12 nowienia takich zapór dyfuzyjnych mozna obli¬ czyc dla natezen przeplywów f2, f3 w tych ksztalt¬ kach rurowych rózniczkujac równanie (8), skad uzyskuje sie predkosc vd izobary w gazie obojet¬ nym vd=—=C1"|/D/l/t =2 C2-D/x = 2-C2 D*/xp.P dt (20) Zapore dyfuzyjna tworzy sie przez ustalenie natezenia przeplywu fd. z którego wynika, ze predkosc vfvd gazu obojetnego w kanale o polu przekroju Af wynosi skad vf = td/Af-p 2 C^D*/^, fd2Af Cx2 D*/x. (21) (22) W analogowym ukladzie przeplywowym, we¬ dlug fig. 7. parametry zapory dyfuzyjnej w kana¬ lach 43, 12 okreslaja zaleznosci fd22A2 C^ D*/^ fd32A3 CV D*/L3, (23) (24) gdzie: A2 i A3 oznaczaja pola przekrojów, a L2 i Lg dlugosci odpowiednio kanalów 43, 12.Stan wtryskiwania impulsów uzyskuje sie usta¬ nawiajac przeplyw poprzez zawór Sr Czas naras¬ tania wtrysku zródla latwo zminimalizowac w po¬ równaniu z czasami tB i tx ,trzeba jednak zwró¬ cic uwage na wartosc objetosci C zródla i war¬ tosci przewodnosci gv g2 i g3, w celu zapewnienia krótkotrwalosci opóznienia od punktu rozpoczecia zamykania. Ogólnie, natezenie przeplywu f po¬ przez kanal moze byc wyrazone wzorem f = g(Pa2-Pb2), (25) gdzie: pa i pb oznaczaja cisnienie na koncu ka¬ nalu, a g jest stala zalezna od ksztaltu kanalu i wlasnosci danego gazu. Za pomoca równania (25) i ukladu analogowego wedlug fig. 7 otrzymu¬ je sie rozwiazanie na wartosc cisnienia pc zródla, jako funkcje czasu uplywajacego od chwili rozpo¬ czecia zamykania w punkcie Sr i tak a et/T+1 a et/T-1 (26) gdzie: pco oznacza cisnienie zródla w chwili t = 0, zas a = (l+pcoo/pco) / (l-pcoo/pco), (27) Pc^ = V te*P*2+giPi2) / (£*+%), (28) = C/2g*pcoo (29) g* = g2/ (l +g2/g3), zas (30) P*-]/pr2+Vg3. (31)138247 13 14 Cisnienie p2 (fig. V) ma wartosc P22 = Q0+APc+hP** l fe2+g3)- <32 Zapore dyfuzyjna w kanale 43 uzyskuje sie przez podstawienie f2 = fd2 f2 = g2[fo+g3(Pr2-PC2)] ' <&%+*!*** ™ Czas narastania ts zapory dyfuzyjnej, który jest równy czasowi opóznienia, mozna obliczyc ze wzoru (34) i (26), skad Oln H£) , gdzie b-y [f0+g3pr*-fd2(g2+g3) / g2] (z3/pc°o.Zapas bezpieczenstwa dla minimalnego prze¬ dzialu czasowego tr jaki uplywa pomiedzy dwo¬ ma impulsami, uzyskuje sie przez dodanie czasu opóznienia ts do czasu" tB.Analiza parametrów zapór dyfuzyjnych byla wykorzystana w urzadzeniach wedlug fig. 2 i 3 oraz fig. 10 i 11. Analiza ta znajduje bezposred¬ nie zastosowanie równiez do przypadku przedsta¬ wionego na fig. 8 i 9, a przy tym latwo mozna ja dostosowac do przypadków wedlug fig. 13 i 15.W celu dalszego przyblizenia wynalazku zostaly ponizej podane przyklady jego stosowania. Przy¬ klady te nie ograniczaja jednak ani zakresu ochrony, ani zakresu zastosowan tego wynalazku.Przyklad I. Urzadzenie w przykladowym wykonaniu wedlug fig. 10 i 11 odznacza sie nas¬ tepujacym wyborem parametrów konstrukcyjnych i eksploatacyjnych.Strefa reakcyjna: Dlugosc, L = 40 cm, pole*przekroju korpusu AR = 14X14 cm2, wolne pole przekroju przeplywowego A = 150 cm2, wydajnosc pompy wyciagowej S = 60 m3/h.Zródlo: (wedlug fig. 12) Objetosc zródla (41+42), C 210 cm3, kanal odzródlowy (43), 0 0,7X10 cm, g2— = 1400 cm3/hPa sekunde, kanal odzródlowy (12), 0 1,1X10 cm, g3 = = 8800 cm3/hPa sekunde, lacznik wyciagowy (46), gx = 100 cm3/hPa sekunde.Parametry eksploatacyjne (typowe) cisnienie w strefie reakcyj¬ nej pr = 2 ihPa, cisnienie odzródlowej pompy wyciagowej pb = 0,4 hPa, natezenie przeplywu argonu jako gazu obojetnego IQ = 5500 hPa cm3/ /sekunde, cisnienie impulsu w zródle pco = 3 hPa Z powyzszych parametrów mozna wyliczyc nas¬ tepujace wartosci. 10 15 (35) (36) 20 25 35 40 Wzór (30): wzór (28): wzór (36): wzór (22): g* = 1208 cm3/hPa sekunde, pcoo = 2,07 hPa, b = 1,067 fd2 = 89 hPa cm3/sekunde odpowied¬ nio do izobary w czesciach na milion, P1/po = 10-6 w srodko¬ wej czesci zapory dyfuzyjnej, wzór (29): t = 0,030 sekundy, wzór (35): ts = 0,05 sekundy, wzór (14): tB = 0,74 sekundy.Bezpieczny uplyw czasu pomiedzy impulsami tx = 0,8 sekundy. W praktyce stosowana byla war¬ tosc tA =- 1 sekunda.Przyklad II. Otrzymywanie zlozonej warst¬ wy cienkiej Ta2Os w urzadzeniu okreslonym pa¬ rametrami wedlug przykladu I.Podloza: 6 plytek szklanych o wymiarach 0,3X10 X20 cm.Temperatura w strefie reakcyjnej TR = 300UC.Pary substancji: Ta2Cl5 ze zródla wedlug fig. 12, o temperaturze 140°C, t^TagClg) = 0,2 sekundy, H20 ze zródla wedlug fig. 5, tx (H20) = 0,2 sekun¬ dy, T(H20) = 15°C.W trakcie 2500 cykli narosla na podlozach cien¬ ka warstwa Ta2Os o grubosci 10-7 m.Przyklad III. Otrzymywanie cienkich warstw''z ZnS domieszkowanego manganem.Urzadzenie wedlug przykladu I.Podloza jak w przykladzie II lub korzystnie ze szkla kronowego 7059 Temperatura w strefie reakcyjnej 450°C.Pary substancji reaktywnych: ZnCl2 i MnCl2 ze zródel wedlug fig. 12, o temperaturze odpo¬ wiednio 380 i 510°C. Impulsy ZnCl2 i MnCIg byly wydawane ze zródla jednoczesnie, przy czym ich czas tx = 0,2 sekundy. Czynnik usiarczajacy, H2S, jest wydawany ze zródla wedlug fig. 5, przy czym tx = 0,2 sekundy.'W ciagu 4500 cykli powstal na podlozach narost ZnS (Mn) o grubosci warstwy wynoszacej 4-10-7 m..Przyklad IV. Otrzymywanie warstw Ta2Os+ 43 ZnS(Mn)+Ta2Os zgodnie z przykladami 2, 3, na podlozach ze szkla pokrytego cienka przewodzaca i przezroczysta warstwa kompleksu tlenku indowo- cynowego, pokryta stykowa elektroda z alumi¬ nium w celu uzyskania struktury elektrolumines- 50 cencyjnej, jaka jest przedstawiona na fig. 15. Na fig. 15 podloze 11 jest pokryte przezroczysta, prze¬ wodzaca warstwa kompleksu tlenku indowo-cy- nowego 101, która z kolei jest pokryta pierwsza warstwa izolacyjna 102 z Ta2Os, warstwa 103 55 z ZnS(Mn), druga'warstwa izolacyjna 104 z Ta2Og wytwarzanie pola elektrycznego pomiedzy warst¬ wami 101 i 105. W przypadku pobudzania fala sinusoidalna o czestotliwosci 2 kHz otrzymuje sie 60 dla tej struktury charakterystyki luminancji i sprawnosci zródla swiatla, przedstawione na fig. 16. Krzywa B przedstawia luminancje w kande¬ lach na m2 wzgledem napiecia wzbudzania, zas krzywa C sprawnosc zródla swiatla w lumenach *5 na wat.15 135 247 ló Pr z y k l a d V. Otrzymywanie cienkiej warst- 503. Zostal sób do podanego w wy z Al2Os. Zostal tu zastosowany podobny spo Ta2Cl5 zostal zastapiony przez A1C13 przykladzie II z tym, ze stosowany w "temperaturze 95°C. Tym sposobem, w ciagu 2800 cykli zostala uzyskana cienka warstwa A1203 o grubosci 2,2* 10~7 m, przy czym w strefie reak¬ cyjnej panowala temperatura 250UC. Nastepnie charakterystyki elektryczne otrzymanej warstwy tlenku glinowego zostaly pomierzone dla przypad¬ ku struktury warstwowej, w której A1203 spelnia funkcje izolatora kondensatora cienkowarstwowe¬ go, umieszczonego pomiedzy dwiema cienkimi warstwami aluminiowymi, stanowiacymi jego elektrody o polu powierzchni czynnej wynoszacej 5X5 mm. Na fig. 17 krzywa C okresla pojemnosc W pF w funkcji czestotliwosci, zas krzywa tg 5 okresla straty dielektryczne.Zastrzezenia patentowe 1 Sposób wytwarzania cienkich warstw zwiaz¬ ków róznych pierwiastków,* zwlaszcza cienkich warstw tlenków na podlozu szklanym, przez na¬ przemienne reakcje powierzchniowe róznych sub- 10 15 10 stancji zawierajacych te pierwiastki, polegajacy na doprowadzaniu par tych substancji powtarzalnie i naprzemiennie do komory reakcyjnej mieszcza¬ cej podloze, znamienny tym, ze proces prowadzi sie w obecnosci obojetnego gazu rozdzielajacego, który doprowadza sie do komory reakcyjnej miesz¬ czacej podloze w okresach pomiedzy naprzemien¬ nym dostarczaniem substancji. 2. Urzadzenie do wytwarzania cienkich warstw zwiazków róznych pierwiastków, zwlaszcza cien¬ kich warstw tlenków na podlozu szklanym, za¬ wierajace komore reakcyjna z umieszczonymi w niej uchwytami podlozy, na których prowadzo¬ ny jest wzrost cienkiej warstwy zwiazku, pompe prózniowa dolaczona do komory reakcyjnej, zród¬ la co najmniej dwóch róznych gazów reakcyjnych dolaczone do komory reakcyjnej, elementy steru¬ jace dopwrowadzaniem gazów reakcyjnych, a po¬ nadto zawierajace grzejnik do utrzymywania zada¬ nej temperatury komory reakcyjnej i zródel gazów reakcyjnych, znamienne tym, ze ma zródlo (28r 81, 82) obojetnego gazu rozdzielajacego, dolaczone do komory reakcyjnej (18).FI6.1 * *«tt*v * 20 12 % i foooo h o o o oo'ooooo d. 15 iL i \ o o oo ofo o o o 6d\ó^ o gToT ^ x 18 ni *.i 100 io FIG.2 ~T A 13- fl FIG.4 26 12 ——^. -30 , ? i' 10-f s.»»- W"' .**l;\ FIG.5 , \ t—138 247 "J 44 (S,) 48' 42 41 43 P2 12 I joooool 1 RsooocToobo o ooboop"To Olo o"j iq oo.ooiTooooocyooopooool log Qo.QSa Pb- 46 m -50 LI M l£ *l k- A2 A3 Pr 10 J00 18 11 -iP. FIG.6 fto S FIG.9C138 247 121 ,20 X 15 96 110 115 FIG.10 11b. lic 97 9'6 97 110 96 0'» :«L«L-^«ILS«Wf £ ^ 42 86 FIG.11 ,47 41 115 jJOQOQQiJ^^Q"C'¦TT .. -X „ ~ -L, , "i r^n:* Sfe 84 . 110 96 M Mo M 47 84 49 43 g, 12 96\ -46' \ 1' ©r50 FI 6,12138 247 XIV , XIV 73 11 7L 75 % 73 74 75 U 73 76 ¦ v^lk/j_rr7V / a/ / /y/ //ys /x// /x / / x // A// /y //sza^_ T 77 FIG.13 79- 81- yj-r- 78 79 7rf~ 82 ^ 72 E 73 E xB 74 80 E v • • • - ~-^j=^—x 11 74 E f Ac= ^b£ pan r/l ? <-r F F ^ r — —» H I— A- —^j~7 F <— =£ ^fc^ xm 76y [» j 80 75 76 73 74 75 FIG.U .02 4 76 73 FIG.17 .0051 ,002f IkHz)13S247 101 «-tf 101 ^r ¦nA \\MUMl\VUMU\\mmi\\\\mYY FIG. 15 W)*^4 Zakl. Graf. Radom — 877/86 90 egz. A4 Cena 100 zl PL

Claims (2)

1. Zastrzezenia patentowe 1 Sposób wytwarzania cienkich warstw zwiaz¬ ków róznych pierwiastków,* zwlaszcza cienkich warstw tlenków na podlozu szklanym, przez na¬ przemienne reakcje powierzchniowe róznych sub- 10 15 10 stancji zawierajacych te pierwiastki, polegajacy na doprowadzaniu par tych substancji powtarzalnie i naprzemiennie do komory reakcyjnej mieszcza¬ cej podloze, znamienny tym, ze proces prowadzi sie w obecnosci obojetnego gazu rozdzielajacego, który doprowadza sie do komory reakcyjnej miesz¬ czacej podloze w okresach pomiedzy naprzemien¬ nym dostarczaniem substancji.
2. Urzadzenie do wytwarzania cienkich warstw zwiazków róznych pierwiastków, zwlaszcza cien¬ kich warstw tlenków na podlozu szklanym, za¬ wierajace komore reakcyjna z umieszczonymi w niej uchwytami podlozy, na których prowadzo¬ ny jest wzrost cienkiej warstwy zwiazku, pompe prózniowa dolaczona do komory reakcyjnej, zród¬ la co najmniej dwóch róznych gazów reakcyjnych dolaczone do komory reakcyjnej, elementy steru¬ jace dopwrowadzaniem gazów reakcyjnych, a po¬ nadto zawierajace grzejnik do utrzymywania zada¬ nej temperatury komory reakcyjnej i zródel gazów reakcyjnych, znamienne tym, ze ma zródlo (28r 81, 82) obojetnego gazu rozdzielajacego, dolaczone do komory reakcyjnej (18). FI6.1 * *«tt*v * 20 12 % i foooo h o o o oo'ooooo d. 15 iL i \ o o oo ofo o o o 6d\ó^ o gToT ^ x 18 ni *.i 100 io FIG.2 ~T A 13- fl FIG.4 26 12 ——^. -30 , ? i' 10-f s.»»- W"' .**l;\ FIG.5 , \ t—138 247 "J 44 (S,) 48' 42 41 43 P2 12 I joooool 1 RsooocToobo o ooboop"To Olo o"j iq oo.ooiTooooocyooopooool log Qo.QSa Pb- 46 m -50 LI M l£ *l k- A2 A3 Pr 10 J00 18 11 -iP. FIG.6 fto S FIG.9C138 247 121 ,20 X 15 96 110 115 FIG.10 11b. lic 97 9'6 97 110 96 0'» :«L«L-^«ILS«Wf £ ^ 42 86 FIG.11 ,47 41 115 jJOQOQQiJ^^Q"C'¦TT .. -X „ ~ -L, , "i r^n:* Sfe 84 . 110 96 M Mo M 47 84 49 43 g, 12 96\ -46' \ 1' ©r50 FI 6,12138 247 XIV , XIV 73 11 7L 75 % 73 74 75 U 73 76 ¦ v^lk/j_rr7V / a/ / /y/ //ys /x// /x / / x // A// /y //sza^_ T 77 FIG.13 79- 81- yj-r- 78 79 7rf~ 82 ^ 72 E 73 E xB 74 80 E v • • • - ~-^j=^—x 11 74 E f Ac= ^b£ pan r/l ? <-r F F ^ r — —» H I— A- —^j~7 F <— =£ ^fc^ xm 76y [» j 80 75 76 73 74 75 FIG.U .02 4 76 73 FIG.17 .0051 ,002f IkHz)13S247 101 «-tf 101 ^r ¦nA \\MUMl\VUMU\\mmi\\\\mYY FIG. 15 W)*^4 Zakl. Graf. Radom — 877/86 90 egz. A4 Cena 100 zl PL
PL1980222293A 1979-02-28 1980-02-27 Method of producing thin films of compoenets of various elements,in particular thin oxide films on glass and apparatus therefor PL138247B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
FI790680A FI57975C (fi) 1979-02-28 1979-02-28 Foerfarande och anordning vid uppbyggande av tunna foereningshinnor

Publications (2)

Publication Number Publication Date
PL222293A1 PL222293A1 (pl) 1980-11-03
PL138247B1 true PL138247B1 (en) 1986-08-30

Family

ID=8512439

Family Applications (1)

Application Number Title Priority Date Filing Date
PL1980222293A PL138247B1 (en) 1979-02-28 1980-02-27 Method of producing thin films of compoenets of various elements,in particular thin oxide films on glass and apparatus therefor

Country Status (18)

Country Link
US (1) US4413022A (pl)
EP (1) EP0015390B1 (pl)
JP (1) JPS6021955B2 (pl)
AT (1) ATE15820T1 (pl)
AU (1) AU535151B2 (pl)
BR (1) BR8001087A (pl)
CA (1) CA1166937A (pl)
DE (1) DE3071110D1 (pl)
DK (1) DK157943C (pl)
FI (1) FI57975C (pl)
HU (1) HU181779B (pl)
IL (1) IL59393A (pl)
IN (1) IN152596B (pl)
MX (1) MX151518A (pl)
NO (1) NO155106C (pl)
PL (1) PL138247B1 (pl)
SU (1) SU1085510A3 (pl)
ZA (1) ZA80852B (pl)

Families Citing this family (522)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5889821A (ja) * 1981-11-24 1983-05-28 Canon Inc 堆積膜の製造装置
JPS5898917A (ja) * 1981-12-09 1983-06-13 Seiko Epson Corp 原子層エビタキシヤル装置
FI64878C (fi) * 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4520039A (en) * 1982-09-23 1985-05-28 Sovonics Solar Systems Compositionally varied materials and method for synthesizing the materials
US4664960A (en) * 1982-09-23 1987-05-12 Energy Conversion Devices, Inc. Compositionally varied materials and method for synthesizing the materials
EP0145201A1 (en) * 1983-11-10 1985-06-19 Optical Coating Laboratory, Inc. Antireflection optical coating with antistatic properties
JPS60189928A (ja) * 1984-03-12 1985-09-27 Fujitsu Ltd 減圧気相成長装置
JPH0782990B2 (ja) * 1984-07-26 1995-09-06 新技術事業団 半導体装置の製造方法
JPH0766908B2 (ja) * 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長方法
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) * 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
GB2162862B (en) * 1984-07-26 1988-10-19 Japan Res Dev Corp A method of growing a thin film single crystalline semiconductor
JPH0787179B2 (ja) * 1984-07-26 1995-09-20 新技術事業団 超格子半導体装置の製造方法
JPH0715884B2 (ja) * 1984-07-26 1995-02-22 新技術事業団 選択型結晶の成長方法
JPH0766907B2 (ja) * 1984-07-26 1995-07-19 新技術事業団 半導体結晶成長方法
JPH0782991B2 (ja) * 1984-07-26 1995-09-06 新技術事業団 化合物半導体単結晶薄膜の成長法
JPH0766910B2 (ja) * 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
JP2577542B2 (ja) * 1984-07-26 1997-02-05 新技術事業団 半導体結晶成長装置
JPH0766906B2 (ja) * 1984-07-26 1995-07-19 新技術事業団 GaAsエピタキシャル成長方法
JP2577543B2 (ja) * 1984-08-08 1997-02-05 新技術事業団 単結晶薄膜成長装置
JP2577544B2 (ja) * 1984-08-08 1997-02-05 新技術事業団 半導体装置の製造方法
JPH07120625B2 (ja) * 1984-08-08 1995-12-20 新技術事業団 化合物半導体単結晶薄膜の形成方法
JPS62226892A (ja) * 1986-03-29 1987-10-05 Univ Tohoku 単結晶サフアイア薄膜の製造法
JPS6328031A (ja) * 1986-07-21 1988-02-05 Matsushita Electric Ind Co Ltd 気相成長装置
JP2587623B2 (ja) * 1986-11-22 1997-03-05 新技術事業団 化合物半導体のエピタキシヤル結晶成長方法
JPH0727861B2 (ja) * 1987-03-27 1995-03-29 富士通株式会社 ▲iii▼−▲v▼族化合物半導体結晶の成長方法
US5296087A (en) * 1987-08-24 1994-03-22 Canon Kabushiki Kaisha Crystal formation method
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US4931132A (en) * 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
EP0449821B1 (en) * 1988-12-21 1994-05-25 Lam Research Corporation Chemical vapor deposition reactor and method for use thereof
DE3843157C1 (pl) * 1988-12-22 1990-05-10 Du Pont De Nemours (Deutschland) Gmbh, 6380 Bad Homburg, De
JPH0824191B2 (ja) * 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3351477B2 (ja) * 1993-02-04 2002-11-25 理化学研究所 固体レーザー結晶薄膜作成方法および固体レーザー結晶薄膜作成装置
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
JP2654608B2 (ja) * 1994-09-09 1997-09-17 科学技術振興事業団 GaAs半導体ダイオードの製造方法
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
JP3206375B2 (ja) * 1995-06-20 2001-09-10 信越半導体株式会社 単結晶薄膜の製造方法
US5759623A (en) * 1995-09-14 1998-06-02 Universite De Montreal Method for producing a high adhesion thin film of diamond on a Fe-based substrate
FI954922A (fi) * 1995-10-16 1997-04-17 Picopak Oy Valmistusmenetelmä sekä kontaktinystyrakenne puolijohdepalojen tiheitä pintaliitoksia varten
US6013583A (en) * 1996-06-25 2000-01-11 International Business Machines Corporation Low temperature BPSG deposition process
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP4556282B2 (ja) * 2000-03-31 2010-10-06 株式会社デンソー 有機el素子およびその製造方法
FI117978B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
WO2001094662A1 (fr) * 2000-06-07 2001-12-13 Commissariat A L'energie Atomique Procede de preparation d'un revetement sur un substrat par le procede ald utilisant un reactant deutere
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
FI20001694A0 (fi) * 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US9255329B2 (en) 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
KR100853903B1 (ko) * 2001-03-20 2008-08-25 맷슨 테크놀로지, 인크. 비교적 높은 유전율을 갖는 코팅을 기판 상에 증착하는 방법
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6627268B1 (en) 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US7056278B2 (en) * 2001-06-01 2006-06-06 Adamed Sp. Z.O.O. Method of treating overactive bladder in women
JP2002367990A (ja) 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6835414B2 (en) 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
DE10392519T5 (de) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
JP4292777B2 (ja) * 2002-06-17 2009-07-08 ソニー株式会社 薄膜形成装置
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US6804136B2 (en) * 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7154140B2 (en) 2002-06-21 2006-12-26 Micron Technology, Inc. Write once read only memory with large work function floating gates
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
JP4528489B2 (ja) 2003-01-27 2010-08-18 独立行政法人理化学研究所 p型半導体を用いた紫外発光素子
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7294360B2 (en) * 2003-03-31 2007-11-13 Planar Systems, Inc. Conformal coatings for micro-optical elements, and method for making the same
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
JP2007523994A (ja) 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
DE102005003336B3 (de) * 2005-01-25 2006-07-13 Bte Bedampfungstechnik Gmbh Verfahren zur Bildung einer dünnen Schicht auf einer Substratoberfläche
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
KR101019293B1 (ko) 2005-11-04 2011-03-07 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 원자층 증착 장치 및 방법
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
FI121341B (fi) * 2006-02-02 2010-10-15 Beneq Oy Hopean suojapinnoitus
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7235736B1 (en) 2006-03-18 2007-06-26 Solyndra, Inc. Monolithic integration of cylindrical solar cells
US7456429B2 (en) 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US7413982B2 (en) * 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7801623B2 (en) * 2006-06-29 2010-09-21 Medtronic, Inc. Implantable medical device having a conformal coating
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8207063B2 (en) * 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US7629256B2 (en) 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090079328A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Thin film encapsulation containing zinc oxide
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US20090081360A1 (en) 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US8017183B2 (en) * 2007-09-26 2011-09-13 Eastman Kodak Company Organosiloxane materials for selective area deposition of inorganic materials
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
WO2009070574A2 (en) * 2007-11-27 2009-06-04 North Carolina State University Methods for modification of polymers, fibers and textile media
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US20110097492A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097489A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097493A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097491A1 (en) * 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097490A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
FI20096154A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä kalvon muodostamiseksi, kalvo ja sen käyttöjä
FI20096153A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä koristepäällysteen muodostamiseksi, koristepäällyste ja sen käyttötapoja
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
FI20096262A0 (fi) 2009-11-30 2009-11-30 Beneq Oy Menetelmä koristepinnoitteen muodostamiseksi jalokiveen, jalokiven koristepinnoite, ja sen käytöt
FI122616B (fi) 2010-02-02 2012-04-30 Beneq Oy Vahvistettu rakennemoduuli ja sen valmistusmenetelmä
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
JP5963948B2 (ja) * 2012-05-14 2016-08-03 ピコサン オーワイPicosun Oy 原子層堆積カートリッジを用いた粉末粒子コーティング
CN104364419A (zh) * 2012-06-15 2015-02-18 皮考逊公司 通过原子层沉积来涂覆衬底卷式基材
KR20150023016A (ko) * 2012-06-15 2015-03-04 피코순 오와이 원자층 퇴적에 의한 기판 웹 코팅
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9478422B2 (en) 2013-02-25 2016-10-25 Solan, LLC Methods for fabricating refined graphite-based structures and devices made therefrom
JP6134191B2 (ja) 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6398761B2 (ja) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102420015B1 (ko) 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI571526B (zh) * 2015-12-18 2017-02-21 國家中山科學研究院 一種原子層磊晶系統之進氣管路陣列
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102586409B1 (ko) * 2016-04-12 2023-10-11 피코순 오와이 금속 휘스커를 억제하기 위한 ald에 의한 코팅
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI620830B (zh) * 2016-12-30 2018-04-11 Nat Chung Shan Inst Science & Tech Batch coating process system
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220064792A1 (en) 2020-08-25 2022-03-03 Innovalens B.V. Partial coating of intraocular lenses using spatial atomic layer deposition
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1057845B (de) * 1954-03-10 1959-05-21 Licentia Gmbh Verfahren zur Herstellung von einkristallinen halbleitenden Verbindungen
NL129707C (pl) * 1959-06-18
US3218203A (en) * 1961-10-09 1965-11-16 Monsanto Co Altering proportions in vapor deposition process to form a mixed crystal graded energy gap
NL6709379A (pl) * 1967-07-06 1969-01-08
US3602192A (en) * 1969-05-19 1971-08-31 Ibm Semiconductor wafer processing
US3721583A (en) * 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
US4015558A (en) * 1972-12-04 1977-04-05 Optical Coating Laboratory, Inc. Vapor deposition apparatus
US3964937A (en) * 1973-08-13 1976-06-22 Materials Technology Corporation Method of making a composite coating
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4048955A (en) * 1975-09-02 1977-09-20 Texas Instruments Incorporated Continuous chemical vapor deposition reactor

Also Published As

Publication number Publication date
BR8001087A (pt) 1980-10-29
DK84680A (da) 1980-08-29
IL59393A0 (en) 1980-05-30
PL222293A1 (pl) 1980-11-03
CA1166937A (en) 1984-05-08
SU1085510A3 (ru) 1984-04-07
AU5578680A (en) 1980-09-04
JPS55130896A (en) 1980-10-11
FI57975C (fi) 1980-11-10
ATE15820T1 (de) 1985-10-15
DK157943C (da) 1990-08-27
IN152596B (pl) 1984-02-18
FI57975B (fi) 1980-07-31
DK157943B (da) 1990-03-05
HU181779B (en) 1983-11-28
US4413022A (en) 1983-11-01
MX151518A (es) 1984-12-10
NO155106C (no) 1987-02-11
AU535151B2 (en) 1984-03-08
IL59393A (en) 1983-06-15
DE3071110D1 (en) 1985-10-31
EP0015390A1 (en) 1980-09-17
NO155106B (no) 1986-11-03
NO800555L (no) 1980-08-29
EP0015390B1 (en) 1985-09-25
ZA80852B (en) 1981-02-25
JPS6021955B2 (ja) 1985-05-30

Similar Documents

Publication Publication Date Title
PL138247B1 (en) Method of producing thin films of compoenets of various elements,in particular thin oxide films on glass and apparatus therefor
Lee et al. Rapid degradation of methyl orange using hybrid advanced oxidation process and its synergistic effect
US4389973A (en) Apparatus for performing growth of compound thin films
Diwald et al. The effect of nitrogen ion implantation on the photoactivity of TiO2 rutile single crystals
US5114770A (en) Method for continuously forming functional deposited films with a large area by a microwave plasma cvd method
US8252112B2 (en) High speed thin film deposition via pre-selected intermediate
JP5738601B2 (ja) 薄膜太陽電池セルのための緩衝層蒸着
CN101578707B (zh) 用于形成太阳能电池吸收体的前驱物膜的卷对卷反应
US5976257A (en) Apparatus for continuously forming a large area deposited film by means of microwave plasma CVD process
EP2489757A2 (en) Plasma grid implant system for use in solar cell fabrications
US20170144891A1 (en) Phases interface reactor and methods for producing reaction product and secondary reaction product using phases interface reaction
WO2009066286A2 (en) Amorphous group iii-v semiconductor material and preparation thereof
Kodama et al. Fundamental study of Ti feedstock evaporation and the precursor formation process in inductively coupled thermal plasmas during TiO2 nanopowder synthesis
Jo et al. Cell viability and measurement of reactive species in gas-and liquid-phase exposed by a microwave-excited atmospheric pressure argon plasma jet
JPH0330419A (ja) マイクロ波プラズマcvd法により大面積の機能性堆積膜を連続的に形成する方法及び装置
Kodama et al. Two-dimensional spectroscopic observation of a pulse-modulated induction thermal plasma torch for nanopowder synthesis
Lin et al. Performance of a Metal Ion‐Doped Titania‐Coated Planar Photocatalytic Microreactor
Hidaka et al. Photoinduced Agn0 cluster deposition: Photoreduction of Ag+ ions on a TiO2-coated quartz crystal microbalance monitored in real time
Fan et al. Cluster size effects on hydrazine decomposition on Irn/Al2O3/NiAl (1 1 0)
Inoue et al. Superatom Generation and Deposition of Alkali-like Ta@ Si16 and Halogen-like Al13 via Atomic Aggregation
US20090050058A1 (en) Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
JPH0330421A (ja) マイクロ波プラズマcvd法により大面積の機能性堆積膜を連続的に形成する方法及び装置
CA2396559A1 (en) Ion cyclotron and converter and radio power microwave generator
Sebastian et al. Formation of CuInSe2 thin films by selenization, employing CVTG, of electroless deposited Cu In alloy
JP2810531B2 (ja) 堆積膜形成方法及び堆積膜形成装置