DK157943B - Fremgangsmaade og apparat til udfoerelse af en epitaksiel vaekst af atomare lag - Google Patents

Fremgangsmaade og apparat til udfoerelse af en epitaksiel vaekst af atomare lag Download PDF

Info

Publication number
DK157943B
DK157943B DK084680A DK84680A DK157943B DK 157943 B DK157943 B DK 157943B DK 084680 A DK084680 A DK 084680A DK 84680 A DK84680 A DK 84680A DK 157943 B DK157943 B DK 157943B
Authority
DK
Denmark
Prior art keywords
chamber
substrate
vapors
gas phase
gas
Prior art date
Application number
DK084680A
Other languages
English (en)
Other versions
DK84680A (da
DK157943C (da
Inventor
Tuomo Suntola
Arto Pakkala
Sven Lindfors
Original Assignee
Lohja Ab Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lohja Ab Oy filed Critical Lohja Ab Oy
Publication of DK84680A publication Critical patent/DK84680A/da
Publication of DK157943B publication Critical patent/DK157943B/da
Application granted granted Critical
Publication of DK157943C publication Critical patent/DK157943C/da

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • C23C16/306AII BVI compounds, where A is Zn, Cd or Hg and B is S, Se or Te
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • C30B29/20Aluminium oxides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/46Sulfur-, selenium- or tellurium-containing compounds
    • C30B29/48AIIBVI compounds wherein A is Zn, Cd or Hg, and B is S, Se or Te

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)
  • Inorganic Insulating Materials (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)

Description

DK 157943 B
Den foreliggende opfindelse vedrører en fremgangsmåde til udførelse af en epitaksiel vækst af atomare lag til fremstilling af sammensatte tynde film i overensstemmelse med den i USA patentskrift nr.
4.058.430 beskrevne teknik.
5 Opfindelsen vedrører også et apparat til udøvelse af denne fremgangsmåde .
Ifølge denne fremgangsmåde, som benævnes ALE (Atomic Layer Epitaxy), kan der fremstilles sammensatte tynde film af høj kvalitet ved at udsætte den overflade, der skal bringes til at vokse, for efter 10 hinanden følgende reaktive dampe, som får overfladen til at vokse med et enkelt atomart lag for hvert reaktionstrin.
Sammenlignet med kendte tyndfilmanbringelsesmetoder kræver ALE adskilte overfladereaktioner af forskellige reaktive dampe, hvilket medfører en stigning i de specielle krav til udstyret og fremgangsmå-15 den ved udførelse af ALE-væksten. I USA patentskrift nr. 4.058.430 søges disse krav løst ved brug af klassiske vakuumanbringelsesteknikker ved tilvejebringelse af separate reaktionsregioner eller perioder, som adskilles fra hinanden ved hjælp af en region eller periode med en kraftig vakuumtilstand. Som følge af lækager af de reaktive 20 dampe fra reaktionsregionerne og som følge af residualdampe, specielt i tilfælde af ionbytningsoverfladereaktioner, opstår der vanskeligheder ved opnåelse af den kraftige vakuumtilstand, som kræves for den heldige adskillelse af reaktionstrinnene. Disse problemer reducerer den fordel ved ALE-opfindelsen, ved hvilken der opnås en selvstabili-25 serende væksthastighedsegenskab ved brug af mængder af reaktive dampe, som indeholder reaktive atomer i et antal udover det antal, som kræves for en total overfladedækning i et enkelt overfladereaktionstrin.
Formålet med denne opfindelse er at tilvejebringe en fremgangsmåde og 30 et apparat, som eliminerer de ovennævnte vanskeligheder, der opstår ved brug af vakuumanbringelsesteknikker ved udøvelse af ALE-vækst-fremgangsmåden. Et væsentligt træk ved den foreliggende opfindelse er brugen af gasfasediffusionsbarrierer for adskillelse af de enkelte overfladereaktionstrin. Ifølge opfindelsen benyttes diffusionsbarri-
DK 157943 B
2 ereteknikkerne desuden til opnåelse af ventilvirkninger for styring af strømmen af reaktive dampe fra deres kilder til overfladereaktionszonen og til adskillelse af udstrømningskondensationszonen fra reaktionszonen. Den gas, som benyttes ved dannelsen af diffusions-5 barriererne, kan yderligere benyttes som en bæregas til overførsel af de reaktive dampe fra deres kilder til overfladereaktionszonen og til overførsel af residualdampe fra overfladereaktionszonen til udstrømningen.
Dette formål opnås med fremgangsmåden ifølge opfindelsen til udfø-10 relse af en epitaksiel vækst af atomare lag ved, at en tynd film af en forbindelse af forskellige grundforbindelser bringes til at vokse på en substratoverflade ved tilvejebringelse af skiftende overfladereaktioner af forskellige forbindelser indeholdende disse grundforbindelser, hvilken fremgangsmåden er ejendommelig ved, at den om-15 fatter gentagen og skiftende tilførsel af dampe af de nævnte forbindelser til et kammer, som indeholder substratet, tilførsel af et gasfasemedium til kammeret i det mindste under perioder mellem den skiftende tilførsel af forbindelserne, hvorved dampene reagerer med substratets overflade, og der vokser en film på denne således, at der 20 opnås et produkt i fast form ved substratoverfladetemperaturen, og hvorved tilførselen af gasfasemediet i alt væsentligt forhindrer en samtidig reaktion mellem de skiftevis tilførte dampe under væksten af den sammensatte tynde film af den nævnte forbindelse på substratet.
Brugen af inerte gasser som bæregasser eller som beskyttelsesgasser 25 kendes allerede i flere forbindelser, f.eks. inden for gaschroma-tografiområdet og ved kemiske damparibringelsesfremgangsmåder CVD (Chemical Vapor Deposition), som er vidt udbredte inden for halvleder industrien. Det kan generelt være nyttigt at betragte den foreliggende opfindelse som en forskydning af udførelsen af ALE-væksten fra 30 en proces af molekylær stråleepitaxy-typen MBE (Molecular Beam Epitaxy) mod CVD-teknikkerne. Forholdet mellem fremgangsmåden og appara-tet ifølge den foreliggende opfindelse og de kendte CVD-teknikker kan imidlertid sammenlignes med de væsentlige forskelle mellem udførelsen af ALE-processen ifølge klassiske vakuumaribringelsesfremgangsmåder 35 inklusiv MBE.
3
DK 157943 B
Det skal understreges, at den foreliggende opfindelsen ikke blot er et alternativ til den i USA patentskrift nr. 4.058.430 beskrevne fremgangsmåde til udførelse af ALE-vækst, men medfører nye fordele sammenlignet med den kendte teknik i almindelighed vedrørende vækst 5 af sammensatte tynde film. Disse fordele omfatter den lethed, hvormed der kan gennemføres ionbytningsoverfladereaktioner, i hvilke grundsto fkomponenterne af den forbindelse, der skal bringes til at vokse, fører til overfladereaktionsområdet i form af en anden forbindelse af det pågældende grundstof. Dette er specielt interessant, når der 10 benyttes metaller, som har lave damptryk, og som således kan erstattes med mere let flygtige forbindelser såsom metalhaloider eller organiske metalforbindelser. Følgeligt tillades der en formindsket kildetemperatur ligesåvel som en formindskelse i den substrattemperatur, der kræves for en perfekt efterfordampning efter dannelsen af en 15 total overfladedækning i overensstemmelse med ALE- princippet. Vedrørende væksten af metaloxider absorberes et metalhaloid i overensstemmelse med foreliggende kundskab aktivt på en oxygenoverflade i haloidmolekyleform, indtil halogenatomerne frigøres i det næste overfladereaktionstrin ved hjælp af hydrogenatomer fra vandmolekyler, 20 der benyttes som den reaktive damp i oxideringsoverfladereaktionstrinnet.
En anden fordel ved ionbytningsreaktionen kan opnås i væksthastighedsegenskaberne. I tilfælde af f.eks. direkte grundstofoverflade-reaktioner, som i tilfælde af ZnS-vækst, har det monoatomare metal-25 overfladelag en tendens til at fordampe igen - reevaporere - før overfladereaktionen med svovldamp. Væksthastigheden er således afhængig af reevaporeringstiden mellem Zn- og S-overfladereaktions-trinnene, og den aftager yderligere ved forøgelse af temperaturen. I tilfælde af tilsvarende ionbytningsreaktioner optræder denne ulempe 30 ikke, og der observeres en konstant væksthastighed på i alt væsentligt 0,1 nm/cyklus inden for et bredt område af temperatur og reeva-poreringstider. Det har vist sig, at hver forbindelse har sin egen typiske væksthastighed svarende til en stabil monolagsudformning i overensstemmelse med de pågældende krystalstrukturegenskaber.
35 Apparatet ifølge den foreliggende opfindelse er mekanisk simplere end det hidtil kendte apparat. Der er også opnået væsentlige fordele i
DK 157943 B
4 betjenings- og vedligeholdelsesegenskaber for apparatet ifølge opfindelsen. Apparatet er velegnet til produktion af store arealer som følge af den effektive udnyttelse af den selvstabiliserende væksthastighedsegenskab for ALE-processen.
5 Den foreliggende opfindelse vedrører således et apparat til udøvelse af den ovenfor beskrevne fremgangsmåde ifølge opfindelsen, hvilket apparat er ejendommeligt ved, at det har et kammer til optagelse af et substrat, en sugepumpe, som er forbundet til kammeret, opvarmningsorganer til opvarmning af substratet i kammeret, flere dampkil-10 der, der er forbundet til kammeret for selektiv og separat tilførsel af dampe af forskellige forbindelser fra dampkilderne til kammeret, en gaskilde, som er forbundet til kammeret, for tilførsel af et gasfasemedium samt organer til styring af en strøm af gasfasemediet gennem kammeret fra gaskilden til sugepumpen, idet disse organer i 15 forhold til strømningsretningen for gasfasemediet er forbundet med •udløbssiden af reaktionskammeret, idet dampkilderne er forbundet med indløbssiden af reaktionskammeret, idet gaskilden er forbundet med et forbindelsesrør mellem dampkildeme og reaktionskammeret, og idet dampkilderne i den ende modsat det nævnte forbindelsesrør er for-20 bundet med sugepumpen, hvorved der opbygges diffusionsbarrierer i forbindelsesrøret.
Fremgangsmåden ifølge opfindelsen fastsætter forud visse krav til formen af de substrater, der benyttes, som følge af nødvendigheden af bevarelse af en vis "aerodynamik" for at kunne opnå hurtig drift.
25 Ideelle substrater er plane plader med glatte overflader, såsom glasplader etc. Driftsegenskaberne for ALE-fremgangsmåden tilvejebringer en mulighed for udformning af lagstrukturer efter ønske og dotering, og sådanne produkter kan på simpel måde frembringes ved hjælp af fremgangsmåden ifølge opfindelsen. Den reaktive damp i et enkelt 30 overfladereaktionstrin kan indeholde flere komponenter, der er i stand til at reagere med den overflade, som skal bringes til at vokse, men den må ikke indeholde sådanne komponenter, der vil reagere med hinanden resulterende i en vilkårlig faststofforbindelse ved væks tover fladens temperatur. F.eks. kan en basisk ZnS-vækst modif i-35 ceres ved tilføjelse .af en lille mængde MnCl2-damp til ZnC^-reak- tionstrinnene. MnCl2 og ZnCl2 reagerer ikke med hinanden, men hver af
5 DK 157943 B
dem reagerer med den faste svovloverflade resulterende i Mn doteret ZnS. På samme måde kan blandede forbindelseer fremstilles, dvs. tilføjelse af CdCl2~damp til ZnC^-reaktions trinnet ved en ZnS-vækst resulterer således i en Zi^Cd^ χ S-film. Tilsvarende dotering eller 5 blanding er mulig med grundforbindelser fra hovedgruppe IV eller en vilkårlig anden hovedgruppe. En betingelse for opnåelse af ALE-type vækst i overensstemmelse med fremgangsmåden ifølge opfindelsen er, at samtidig interaktion af sådanne dampe, der er i stand til at reagere med hinanden ved substratoverfladens temperatur resulterende i 10 faststofreaktionsprodukter ved denne temperatur, forhindres ved hjælp af diffusionsbarrierer, som dannes ved hjælp af et gasfasemedium eller en bæregas. Gasfasemediet skal primært være inert i henseende til den voksende flade. Visse sekundære påvirkninger kan imidlertid inkluderes såsom tilføjelse af doteringsmidler, katalytisk eller 15 inhiberende virkende midler samt kemiske transportmidler til transport af overfladereaktionsrester.
Opfindelsen vil i det følgende blive nærmere forklaret under henvisningen til tegningen, på hvilken fig. 1 viser impulser af reaktive dampe AX og BY samt diffusionsbar-20 rieren V mellem disse impulser, fig. 2 skematisk et snit gennem en udførelsesform for et apparat til udførelse af fremgangsmåden ifølge opfindelsen, fig. 3 et snit vinkelret på det i fig. 2 viste snit efter linjen III- III, 25 fig. 4 den selvstabiliserende væksthastighedseffekt, som opnås med fremgangsmåden ifølge opfindelsen, sammenlignet med den kendte teknik, fig. 5 en typisk magnetisk ventil, som benyttes til fremstilling af en reaktiv dampimpulskilde i overensstemmelse med fremgangsmåden ifølge opfindelsen, 30 fig. 6 skematisk en udførelsesform for en reaktiv dampimpulskilde i overensstemmelse med fremgangsmåden ifølge opfindelsen, fig. 7 et simplificeret strømkredsløb, som udgør en analogi til den i fig. 6 viste udførelsesform for kilden, fig. 8 skematisk et lodret snit gennem en anden udførelsesform for et 35 apparat til brug ved udførelse af fremgangsmåden ifølge opfindelsen, fig. 9a - 9c snit vinkelret på det i fig. 8 viste snit efter linjerne henholdsvis IXA-IXA, IXB-IXB og IXC-IXC,
DK 157943 B
6 fig. 10 skematisk et lodret snit gennem en udførelsesform, der principielt er kompatibel med den i fig. 1 viste, fig. 11 et snit gennem toppen af den i fig. 10 viste udførelsesform, fig. 12 et snit gennem den i fig. 6 viste udførelsesform for kilden 5 benyttet i den i fig. 10 viste udførelsesform, fig. 13 skematisk en anden udførelsesform for et apparat til brug ved udførelse af fremgangsmåden ifølge opfindelsen, idet fig. 13 er et lodret snit efter linjen XIII-XIII i fig. 14, fig. 14 skematisk et vandret snit gennem den i fig. 13 viste udførel-10 sesform efter linjen XIV-XIV, fig. 15 skematisk en elektroluminescenstyndfilmstruktur (EL-struktur), der er fremstillet ved hjælp af den foreliggende opfindelse i overensstemmelse med eksempel 4, fig. 16 et diagram, der viser den målte lysstyrke samt effektivitets-15 kurver for den i fig. 15 viste EL-struktur, og fig. 17 et diagram, som viser målte elektriske egenskaber for en AI2O3-tyndfilm, der er fremstillet i overensstemmelse med eksempel 5.
I overensstemmelse med den foreliggende opfindelse tilføres impulser af forskellige reaktive dampe efter hinanden til et substrat for at 20 frembringe vækst af en sammensat tynd film. Som det f.eks. er vist i fig. 1, ledes sådanne impulser af reaktive dampe AX og BY med partialtryk p0, hvilke dampe har en diffusionsbarriere V placeret mellem sig, gennem et reaktionskammer, idet længden af diffusionsbarrieren i gasfasemediet G, som har en hastighed v i x-aksens retning, er Xg.
25 Størrelsen tg repræsenterer tidsvarigheden af diffusionsbarrieren, som i alt væsentligt forhindrer gensidig påvirkning mellem dampene og således forebygger enhver detekterbar virkning hidhørende herfra på slutproduktet.
Den i fig. 2 og 3 viste udførelsesform omfatter som et hovedlegeme af 30 konstruktionen et glasrør 10, kildeorganer 20 med forbindelser 12 til en reaktionszone 18 i glasrøret, en vakuumpumpe 17 med en udstrømningsforbindelse 13 fra glasrøret for opretholdelse af et tryk pr samt en opvarmningsindretning 15, der omgiver reaktionszonen 18. Ét eller flere substrater 11 anbringes i reaktionszonen gennem en åbning 35 14 i enden af røret 10. Under den tynde film 100's vækst på substra terne holdes substrattemperaturen konstant ved hjælp af varmelegemer 7
DK 157943 B
16, som reguleres ved hjælp af standardreguleringsorganer. Reaktive dampimpulser fra kildeorganerne 20.styres ved hjælp af en konventionel tidsfastsættelsesenhed og ledes efter hinanden til reaktions-zonen i overensstemmelse med ALE-princippet og fremgangsmåden ifølge 5 opfindelsen.
Som beskrevet her tilvejebringer den foreliggende opfindelse en selvstabiliserende væksthastighedseffekt sammenlignet med den kendte teknik, hvilket er vist i fig. 4. Kurve a viser tykkelsesprofilen af en tynd film, der er fremstillet i overensstemmelse med den fore-10 liggende opfindelses principper og ved brug af det i fig. 2 og 3 viste apparat. I modsætning hertil fremkommer der en tykkelsesprofil b ved vækst af en tilsvarende tynd film, som frembringes ved hjælp af den kendte teknik, ved hvilken to reaktive dampe bringes til at reagere samtidigt med substratet.
15 Der er blevet benyttet to primære teknikker for frembringelse af de reaktive dampe. Den ene af disse teknikker omfatter brugen af mekaniske ventiler, hvilket udgør en ligefrem teknik for reaktive materialer, som er yderst flygtige ved stuetemperatur. Denne type løsning er vist i fig. 5, hvor henvisningsbetegnelsen 25 angiver forbindelsen 20 fra kilden til den reaktive damps reservoir, hvor henvisningsbetegnelsen 21 angiver et ventillegeme, som har en solenoideventil 22 samt en låsedel 23, og hvor en ventilåbning 26 samt en forbindelse til en bærergasforsyning 28 står i direkte forbindelse med et kildetilslutningsrør 12. En bæregasstrøm er nyttig til minimering af 25 "hale"- eller efterstrømningsdannelse til reaktive gasimpulser i kildetilslutningsrøret 12. Ventilvirkningen styres af en tidsfastsættelsesenhed 30.
En anden udførelsesform for impulskilden er skematisk vist i fig. 6.
I denne udformning er den mekaniske ventilfunktion erstattet af kon-30 trollerbare diffusionsbarrierer i forbindelsesrøret mellem kilden og reaktionskammeret. Denne type kilde foretrækkes i tilfælde, hvor reaktionsmaterialet har et lavt damptryk og derfor skal ledes til reaktionskammeret ved en forøget temperatur. Reaktionsdampen frembringes ved opvarmning af et reaktivt materiale M i fast- eller 35 væskeform i en kilderegion 41 ved hjælp af et varmelegeme 47. I en
DK 157943 B
8 låst tilstand dannes der en diffusionsbarriere i et kildeåbningsrør 43 ved hjælp af bæregassen, som tilføres fra et forbindelsesrør 49 og udtømmes gennem et forbindelsesrør 46 til en udstrømningspumpe 50. En tilsvarende diffusionsbarriere dannes i kildeforbindelsesrøret 12 for 5 at forhindre dampene i reaktionskammeret i at diffundere til kilden.
De betingelser, der kræves for sådanne diffusionsbarrierer, vil blive beskrevet detaljeret nedenfor. I låsetilstanden overføres den reaktionsdamp, som frembringes i fordampningsregionen 41, til en kondensa-tiortsregion 42, som kan afkøles ved hjælp af et køleelement 48.
10 Kildens låsetilstand ændres til en fødningstilstand ved regulering af en ventil 44 for at tillade en ekstra bæregasstrøm, som er tilstrækkelig stor til at vende strømningsretningen i kildeåbningsrøret 43. Kildefunktionen vil blive beskrevet mere detaljeret ved hjælp af strømkredsløbsanalogien, der er vist i fig. 7.
15 I fig. 10 og 11 er der vist en udførelsesform, som benyttes til anskueliggørelse af fremgangsmåden ifølge opfindelsen ved hjælp af de forskellige eksempler, der er vist her. I sit arbejdsprincip er denne udførelsesform identisk med den i fig. 2 og 3 viste udførelsesform og indeholder et rørlignende reaktionskammer 18 samt kilde- og udstrøm-20 ningsorganer. Reaktionskammerets legeme 110 er fremstillet af rust frit stål, som dækkes med indre glasplader 96. Udstrømningsorganerne indeholder et varmelegeme 116, en kondensationsregion 19 samt en glasstrømningsstyrende væg 115. Temperaturregulatorer samt en kil-deimpulstidsfastsættelseserihed er vist som blokke henholdsvis 90 og 25 91. Fig 12 viser kildeorganerne monteret i forbindelse med den i fig.
10 og 11 viste udførelsesform i overensstemmelse med de principper, der er vist i fig. 6 og 7. I denne konstruktion er rørene 12, 49 og 43 konstrueret ved hjælp af et koaksialt glasrørsarrangement, der dannes af kildeåbningen 43 samt et glasrør 84. Kildeorganernes yder-30 legeme 86 er fremstillet af rustfrit stål.
Et fælles træk ved de i fig. 2 og 3 samt i fig. 10 og 11 viste udførelsesformer-er, at substraterne er på et bestemt sted i reaktions-kammeret under tyndfilmsvæksten. Reaktionsgasimpulserne passerer gennem kammeret og drives af en bæregas, som danner diffusionsbarri-35 erer mellem reaktionsdampenes impulser.
9
DK 157943 B
En anden mulighed for udførelse af fremgangsmåden ifølge opfindelsen omfatter brugen af udførelsesformer, der har lokalt bestemte strømme af reaktive dampe, som adskilles fra hinanden ved hjælp af lokalt bestemte strømme af gasser, som danner diffusionsbarriererne. I 5 sådanne udførelsesformer frembringes de cykliske efter hinanden følgende interaktioner mellem substratoverfladen og hver af de reaktive dampstrømme ved rotation eller anden periodisk mekanisk bevægelse af substraterne. Set ud fra substratoverfladen er situationen ganske den samme i begge tilfælde, idet substratet successivt udsæt-10 tes for interaktionen af hver af de reaktive dampe i et gasfasemedium, som holder disse reaktive dampe adskilte ved opbygning af diffusionsbarrierer mellem dampene. Udførelsesformer med lokalt bestemte strømme af reaktionsdampe er vist i figurerne 8, 9a, 9b, 9c, 13 samt 14. I den i fig. 8, 9a, 9b og 9c viste udførelsesform er to reaktive 15 dampkilder 53 og 54 placeret i modstående søjler 51 og 52 i appara-tets legeme 60. Kilderne opvarmes ved hjælp af opvarmningsorganer 56.
De reaktive dampe strømmer opad ved diffusion eller ved hjælp af bæregasser og møder substraterne 11, som er anbragt i en roterende holder 51, der danner en konstruktion, som ligner et skovlhjul. Når 20 dette "skovlhjul" drejes, vil substraterne møde hver af de reaktive dampstrømme ved passage af søjlerne henholdsvis 51 og 52. Fra rør 66 og 67 strømmer der bæregas ind i søjler 55 og fjerner de reaktive dampe mellem substraterne, medens bæregasserne passerer søjlerne 55.
I kanalerne mellem substraterne er strømningsforholdene tilnærmelses-25 vis identiske med forholdene i den i fig. 10 og 11 viste udførelses-form. I fig. 8 angiver henvisningsbetegnelsen 64 organer til rotation af substraterne, medens der i reaktionszonen findes en opvarmnings-indretning 68, ligesom der findes vægge 57 mellem de lodrette strømningssøjler 51', 52', 55' og 57' i fig. 9a svarende til strømnings-30 søjlerne henholdsvis 51, 52, 55 og 57 i fig. 9c.
I den i fig. 13 og 14 viste udførelsesform tilvejebringes den specielle interaktion mellem substratoverfladen og de reaktive dampe ved en frem- og tilbagegående bevægelse af et substrat 11' over en fast monteret række af kildeåbninger 75, bæreåbninger 73 og udstrømnings-35 åbninger 74. Mellem substratoverfladen og gasstrømningslegemet 72 dannes der diffusionsbarrierer E. I overensstemmelse med beregninger, der vil blive beskrevet nedenfor, er drift med denne udførelsesform
DK 157943 B
10 mulig selv ved atmosfærisk tryk uden nogen upraktisk stor samlet strømningshastighed for bæregassen. I fig. 13 og 14 angiver henvisningsbetegnelsen 77 et bæregastilførselsrør, henvisningsbetegnelsen 79 kildetilslutninger, henvisningsbetegnelsen 78 en udstrømnings-5 åbning og henvisningsbetegnelserne 81 og 82 reaktive gaskilder.
Eftersom en proces af ALE-typen generelt består af flere tusinde enkelte overfladereaktionstrin ved en tyndfilmsvækst har den totale procestid tp en tendens til at blive stor, med mindre der lægges vægt på at minimere de medvirkende forsinkelser i reaktionscyklerne. Gene-10 relt kan et godhedstal E for.en tyndfilmproces bestemmes ud fra formlen: E = T · As/(tp + tL) (1) hvor T er filmtykkelsen, As det areal af substratet, der skal dækkes, tp procestiden og t^ den tid, der benyttes til ladning og afladning 15 af apparatet. Apparatomkostninger, effektforbrug og kildematerialeeffektivitet vurderes ikke i denne sammenligning.
I en proces af ALE-typen kan tykkelsen af den tynde film udtrykkes ved: T = N · TQ (2) 20 hvor T0 er den tykkelse, som opnås ved én reaktionscyklus, og N antallet af cykler. Procestiden tp kan udtrykkes ved: tp - N . tD (3) hvor tiden for én cyklus tQ er summen af forskellige reaktive dampes impuls tider t^, t2·.., samt de tidsintervaller t^, t^» ···» t.m 25 mellem disse, der kræves for opbygning af diffusionsbarriererne. I tilfælde af en simpel binær forbindelse AB har tQ følgende form: tD = tA + t^ + tB + t.B (4)
„ DK 157943 B
11
Det substratareal As, som behandles i en proces, bestemmes 1 alt væsentligt af udstyrets størrelse og kan variere inden for vide grænser inden for udførelsesformerne for opfindelsen. Driftsanalysen, som også inkluderer virkningen af størrelsen af apparatet, udføres prak-5 tisk ved analysering af tidsbidragene tj,, og t^, i en reaktionscyklus.
Der er blevet foretaget en detaljeret analyse for de i fig. 2, 3, 10 og 11 viste udførelsesformer, i hvilke der i overensstemmelse med fig. 1 benyttes en bærergasstrøm med en hastighed v i et rørlignende reaktionskammer med et frit tværsnitsareal A, idet det totale tryk pr 10 samt et partialtryk pG for de reaktive dampimpulser AX og BY udbreder sig med bæregasstrømmen i x-aksens retning.
De reaktive dampimpulser har en tendens til at brede sig ud under udbredelsen som følge af diffusion i bæregassen i overensstemmelse med ligningen: £p 2 _ = D Δ 2p (5)
St hvor D er diffusionskonstanten for den reaktive damp i bæregassen.
Ved laminære strømningsbetingelser i reaktionsrøret kan ligning (5), 20 idet der ses bort fra virkningerne af radiale hastighedsprofiler, erstattes med en én-dimensionel diffusionsligning i x-aksens retning: d2p !! = D _ (6) 25 it dx2
For at lette forståelsen antages det, at trykket p0. ved .impulskanterne er konstant under diffusionen, hvilket resulterer i en grænsebetingelse. Denne antagelse er også gyldig for vurdering af diffusions-30 barrieren i lokalt stationære tilfælde, såsom i de i fig. 6 og 7 viste kildeorganer og i den i fig. 13 og 14 viste udførelsesform.
Løsning af ligning (6) giver således: p(x,t) = p0 erfc (x/2 Jtit) (7)
DK 157943 B
12 hvor x udtrykker afstanden fra impulskanterne, og t er tiden fra impulsinjektionen. En isobar med trykket pi udbreder sig fra impuls-kanterne i overensstemmelse med følgende ligning: x = 2 Ci 7"ϋΓ (8) 5 hvor betyder: °1 - pl/p0 (9)
En diffusionsbarriere V, som er i stand til at formindske partial-trykkene af AX og BY til en værdi pi har i overensstemmelse med ligning (8) og fig. 1 en længde Xg, der er angivet ved 10 XB = 2 . Xpl - 4 . Ci /Ί5ϊΓ (10)
Med en bæregashastighed v kan længden af diffusionsbarrieren Xg i en afstand L fra reaktionsgasimpulsernes injektionspunkt udtrykkes ved:
Xg = 4 · (¾ J DL/v (11) hvilket svarer til intervallet tg mellem de reaktive impulser, idet 15 tg - XB/v = 4¾ J DL/v3 (12)
Det er både praktisk og nyttigt at udtrykke diffusionskonstanten D på følgende form: D = D*/p (13) hvor konstanten D er uafhængig af diffusionsmediets tryk. Herefter 20 kan tg udtrykkes på følgende formel: tg = 4 CL /D* J L/v3 p (14)
Ifølge ligning (14) er tg meget afhængig af bæregashastigheden v, som også kan udtrykkes på følgende måde: v - S/A (15) 13
DK 157943 B
hvor S er pumpningshastigheden og A reaktionsrørets frie tværsnits-areal. En minimal bæregasmængde fører for en bestemt værdi af tg til store mængder ved et lavt tryk, som imidlertid ikke kan blive mindre end p0.
5 Trykgrænserne for partialtrykkene af de reaktive dampe pQ kan udledes ud fra den totale atomare eller molekylære dosis, der kræves for en total dækning af substratoverfladerne. Ifølge den kinetiske gasteori og den foreliggende udbredelsesgeometri kan antallet af reaktive gasmolekyler i en impuls udtrykkes på følgende måde: 10 n2 “ v * **1 * Po * A/kT (16) hvor tj^ er varigheden af den reaktive impuls. Dersom antallet af atomer, der kræves for dannelse af en total overfladedækning på en overfladearealenhed, er Ns, og effektiviteten af den reaktive damp-udnyttelse er η, bestemmes det antal molekyler, der kræves i en 15 reaktiv dampimpuls, ved: nl = Ns · Α3/η (17) hvor As er substratarealet. Ved at sætte n^ = n2 fås:
Ns · As · kT
Po-- (18) 20 v · tj^ · A · η
Ligning (18) giver på den anden side impulsvarigheden tj_ for et givet tryk p0. Det kan vises, at for minimum t^ forøges værdien af p0. En øvre grænse for p0 bestemmes af bæregassens tryk, hvilket har vist sig at være fordelagtigt for en minimeret, optimal strømningsniveau-25 betingelse, pr · v, og for en minimeret, optimal t0-betingelse. Et lavt strømningsniveau er desuden nyttigt for minimale radiale profiler af det reaktive damptryk p0. For vækst af en simpel binær forbindelse AB ud fra reaktive dampe AX og BY er det minimale tidsrum af en procesperiode tp givet ved: 30 tp - 2 (tD + tj_) (19)
14 DK 157943 B
Idet tA - tB - tL og t1A - t1B - tD
I udførelsesformerne for den foreliggende opfindelse er praktiske størrelsesordener for tD og tf henholdsvis 0,1 - 1 s og 0,05 - 0,5 s og for det totale tryk pr 0,5 - 5 mb. Som en efterfølgende kontrol af 5 den én-dimens ionelle diffusionsanalyse bemærkes det, at både impuls-længderne lf (= v/tf ) og længderne af diffusionsbarrierne XD typisk er større end reaktionsrørenes diametre, hvilket kan betragtes som værende et kriterium for den éndimensionelle antagelse. I den ovenfor beskrevne analyse antoges det, at reaktionsdampimpulserne havde 10 skarpe kanter i injektionspunktet. I overensstemmelse med de i fig. 5 viste kildeorganer opnås dette let ved hjælp af standardventiler. I forbindelse med de i fig. 6 og 7 viste kildeorganer er en detaljeret analyse nødvendig for at sikre den ønskede situation. Der opnås en låsebetingelse af kilden, når der dannes diffusionsbarrierer i rørene 15 43 og 12. Betingelser for sådanne diffusionsbarrierer kan udledes for strømningsniveauerne f2 °g ¢3 i disse rør ved differentation af ligning (8), hvilket giver hastigheden vd af en isobar i bæregassen: dx.
Vd = _ = Cf Jv / Jt~- 2 Cf2 D / x = 2 CL2 D*/xp (20) 20 dt
Ved et strømningsniveau fd opbygges der en diffusionsbarriere, hvilket giver en hastighed Vf >: vd af bæregassen i en kanal med et tværsnit s areal Af:
vf = fd / Af ’ P 2G12 D* / XP (2D
25 Derefter bliver: fd > 2Af Cf2 D* / x (22) - I den i fig. 7 viste strømkredsløbsanalogi kan diffusionsbarrierebe-tingelseme i kanalerne 13 og 12 bestemmes ved: fd2 £ 2A2 Cf2 D* / L2 og (23) 30 og . fd3 2As Cl-2 D / L3 (24)
DK 157943 B
15 hvor A2 og A3 er tværsnitsarealerne af kanalerne henholdsvis 43 og 12, og hvor L2 og L3 er længderne af kanalerne henholdsvis 43 og 12.
Impulsinjektionsbetingelsen opnås ved at lade en bæregas strømme gennem ventilen . Stigningstiden for kildeinjektionen minimeres på 5 simpel måde i sammenligning med tg og t^, men der skal tages hensyn til værdierne af kildevolumenet C og konduktanseme g^, g2 og g3 for at sikre en lille forsinkelse af afbrydningspunktet. Generelt kan et gasstrømningsniveau f gennem en kanal udtrykkes på følgende måde: f = g (Pa2 - Pb2) (25) 10 hvor pa og pjj er trykkene ved enden af kanalen, og hvor g er en konstant, der afhænger af kanalens geometri og af egenskaberne for den pågældende gas. Ved hjælp af ligning 25 og kredsløbsanalogien i fig. 7 fremkommer der en løsning til kildetrykket pc som en funktion af tidspunktet for Sj^'s afbrydelsespunkt, idet 15 a · efc/^r + 1
Pc--’ Pco° . (26) t/r , a · e ' - 1 hvor pcQ er kildetrykket for t = o og a = (1 + pcc°/pco) / (1 - Pc“/PC0) (27) 20 ---
Pc® " J (S*p*2 + glPl2) / (g* + gi) (28) = C / 2g* PqC<> (29) g* “ g2 / (1 ‘+ g2/S3> - °S (30> P* " J Pr2 + fo/S3 (31> 25 Trykket Ρ2 (fig. 7) har en værdi bestemt ved: P22 - (fo + g2 Pc2 + S3 Pr2) / (82 + S3> (32)
DK 157943 B
16
Der opnås en diffusionsbarriere i 43 ved at sætte f£ = f^ > hvorefter: f2 = S2 (P22 ' Pc2) <33) - S2 <fo + S3 <Pr2 · Pc2>)/S2 + §3) *= fd2 (34) 5 Diffusionsbarrierens opbygningstid ts, som er lig kildeimpulsforsin-kelsen, kan bestemmes ud fra ligningerne 34 og 26, hvilket giver: 1 b + 1 ts fc ln - ( - ) (35) a b - 1 10 hvor b = Γ(f0 + g3 Pr2 - fd2 (82 + S3)/S2)/S3/PCOO C36)
En sikker grænse for det minimale tidsinterval mellem to reaktive impulser kan opnås ved addition af impulsforsinkelsen ts og tiden tg.
Den ovenfor foretagne analyse af betingelserne for diffusionsbarri-15 erer i et gasfasemedium gælder for de i fig. 2 og 3 samt de i fig. 10 og 11 viste udførelsesformer. Analysen kan også direkte anvendes i forbindelse med fig. 8 og 9 og kan på simpel måde modificeres til fig. 13 og 14.
Eksempler.
20 Nedenfor gives der eksempler til yderligere af den foreliggende opfindelse. Opfindelsens rammer og anvendelsesområde er imidlertid ikke begrænset til disse eksempler.
Eksempel 1.
De valgte konstruktions- og driftsparametre for en udførelsesform som 25 den i fig. 10 og 11 viste er som følger:
Reaktionszone: længde, L - 40 cm;
O
tværsnitsareal af legemet, Ar - 14 x 14 cm"1;
17 DK 157943 B
frie strømningstværsnitsareal, A = 150 cm^; udstrømningspumpehastighed, S = 60 m3/time.
Kildeorganer, fig. 12: kildevolumen: (41 + 42), C 210 cm3; 5 kildeåbning (43), 0 0,7 x 10 cm; g2 = 1400 cm3/mbs; kildeforbindelse (12), 0 1,1 x 10 cm; g$ = 8800 cm3/mbs; udstrømningsforbindelse (46), g^ = 100 cm3/mbs.
Driftsparametre (typiske): tryk i reaktionszonen: pr = 2 mb; 10 kildeudstrømningspumpetryk, pB = 0,4 mb; gasfasemedium: (argon) strøm/kilde, f0 = 5500 mbcm3/s; impulstryk i kilden, pco = 3 mb.
Ved brug af de givne parametre kan de følgende værdier beregnes:
Ligning (30): g* = 1208 cm3/mbs, 15 ligning (28): p « 2,07 mb, ligning (36): b = 1,067, ligning (22): f^ = 89 mb cm3/s svarende til ppm isobar, Pj/p0 = ^ i diffusionsbarrierens centrum, ligning (29): r = 0,030 s, 20 ligning (35): ts = 0,05 s, ligning (14): tB = 0,74 s.
Et sikkert tidsinterval mellem impulserne er således ca. t^ = 0,8 s.
I praksis er der blevet benyttet en værdi t^ = 1 s.
Eksempel 2.
25 Præparering af en sammensat tynd film af Ta205 i overensstemmelse med de i eksempel 1 definerede parametre.
Substrater: 6 stykker 0,3 x 10 x 20 cm3 float-glas.
Temperatur af reaktionszone Tr = 300°C.
DK 157943 B
18
Dampkomponenter:
Ta2Cl5 fra kilde, fig. 12, ved en temperatur på 140eG, tj^ (Ta2Cl5) -0,2 s, H2O fra kildeorganer som de i fig. 5 viste, tj^ (H2O) = 0,2 s, T(H20) 5 = 15°C.
En vækst på 2500 cykler giver en tynd film af Ta205 på 1000Å på substraterne.
Eksempel 3.
Præparering af en tynd film af ZnS doteret med mangan, Mn. Udførel-10 sesform i overensstemmelse med eksempel 1.
Substrater som i eksempel 2 eller fortrinsvis Corning glas 7059.
Temperatur i reaktionszone 450°C.
Reaktive dampkomponenter: ZnCl2 og MnCl2 fra kilder som de i fig. 12 viste ved henholdsvis 380°C og 510°C. Kildeimpulser af ZnCl2 og MnCl2 15 gives samtidigt med tj^ = 0,2 s. Sulfiddannende middel H2S gives fra kildeorganer som de i fig. 5 viste med t^ (H2S) - 0,2 s.
En vækst af 4500 cykler giver en ZnS (Mn) film på 4000A på substraterne.
Eksempel 4.
20 Tynde film af Ta205 + ZnS(Mn) + Ta205 præpareres i overensstemmelse med eksempel 2 og 3 på glassubstrater, der er dækket af en tynd film af ledende transparent indium-tinoxid, og den tynde film dækkes med en kontaktelektrode af aluminium for tilvejebringelse af en elektroluminescensstruktur som den i fig. 15 viste. I fig. 15 dækkes sub-25 stratet 11 med et transparent ledende lag af indium-tinoxid 101, som igen dækkes af en første isolerende film 102 af Ta205, en ZnS(Mn)-film 103, en anden isolerende film 104 af Ta205 og en aluminiume-

Claims (10)

1. Fremgangsmåde til udførelse af en epitaksiel vækst af atomare lag 20 ved, at en tynd film af en forbindelse af forskellige grundforbindelser bringes til at vokse på en substratoverflade ved tilvejebringelse af skiftende overfladereaktioner af forskellige forbindelser indeholdende disse grundforbindelser, kendetegnet ved, at fremgangsmåden omfatter gentagen og 25 skiftende tilførsel af dampe af de nævnte forbindelser til et kammer, som indeholder substratet, tilførsel af et gasfasemedium til kammeret i det mindste tinder perioder mellem den skiftende tilførsel af forbindelserne, hvorved dampene reagerer med substratets overflade, og der vokser en film på denne således, at der opnås et produkt i fast 30 form ved substratoverfladetemperaturen, og hvorved tilførselen af gasfasemediet i alt væsentligt forhindrer en samtidig reaktion mellem DK 157943 B de skiftevis tilførte dampe under væksten af den sammensatte tynde film af den nævnte forbindelse på substratet.
2. Fremgangsmåde ifølge krav 1, kendetegnet ved, at de skiftende reaktioner tilvejebringes 5 ved tilførsel af en første og en anden damp, som begge reagerer med overfladen.
3. Fremgangsmåde ifølge krav 1, kendetegnet ved, at det nævnte gasfasemedium tilføres kontinuerligt.
4. Fremgangsmåde ifølge krav 1, kendetegnet ved, at tilførselen af gasfasemediet udføres for at føre restdampprodukter fra kammeret til en udstrømningskondensationszone og for at tilvejebringe en diffusionsbarriere mellem kammeret og udstrømningskondensationszonen.
5. Fremgangsmåde ifølge krav 1, kendetegnet ved, at dampene tilføres fra dampkildeudgange, som er forbundet til kammeret, og at tilførselen af gasfasemediet udføres for selektiv og skiftevis tilvejebringelse af diffusionsbarrierer ved dampkildeudgangene.
6. Apparat til udøvelse af fremgangsmåden ifølge krav 1-5, kendetegnet ved, at apparatet har et kammer (18) til optagelse af et substrat (11), sugepumpe (17), som er forbundet til kammeret (18), opvarmningsorganer (15) til opvarmning af substratet (11) i kammeret (18), flere dampkilder (20, 41), der er forbundet til 25 kammeret (18) for selektiv og separat tilførsel af dampe af forskellige forbindelser fra dampkildeme til kammeret (18), en gaskilde (49), som er forbundet til kammeret (18), for tilførsel af et gasfasemedium samt organer (44 (S^)) til styring af en strøm af gasfasemediet gennem kammeret (18) fra gaskilden (49) til sugepumpen 30 (17), idet sugepumpen (17) i forhold til strømningsretningen (V) for gasfasemediet er forbundet med udløbsenden af reaktionskammeret (18), idet dampkilderne (20, 41) er forbundet med indløbssiden af reaktionskammeret (18), idet gaskilden (49) er forbundet med et forbin- DK 157943 B delsesrør (12, 43) mellem dampkildeme (20, 41) og reaktionskammeret (18), og idet dampkilderne (20, 41) i den ende modsat det nævnte forbindelsesrør (12, 43) er forbundet med en sugepumpe (50), hvorved der opbygges diffusionsbarrierer i forbindelsesrøret (12, 43).
7. Apparat ifølge krav 6, kendetegnet ved, at gaskilden (49) er forbundet med de nævnte dampkilder (20, 41) ved hjælp af en impulsventil (44, SI) i den ende modsat det nævnte forbindelsesrør (12, 43), som fører til reaktionskammeret (18).
8. Apparat til udøvelse af fremgangsmåden ifølge krav 1-5, kendetegnet ved, at apparatet har et kammer (18) til optagelse af et substrat (11), en sugepumpe (17), som er forbundet til kammeret (18) opvarmningsorganer (15) til opvarmning af substratet (11) i kammeret (18), flere dampkilder (20), der er forbundet til 15 kammeret (18) for selektiv og separat tilførsel af dampe af forskellige forbindelser fra dampkilderne til kammeret (18), en gaskilde (28), som er forbundet til kammeret (18), for tilførsel af gasfasemedium, organer til styring af en strøm af gasfasemediet gennem kammeret (18) fra gaskilden (28) til sugepumpen (17) , idet sugepumpen 20 (17) i forhold til gasmediets strømningsretning (V) er forbundet til reaktionskammerets (18) udløbsende, medens dampkilderne (20, 41) er forbundet med reaktionskammerets (18) indløbsende, og idet gaskilden (28) er forbundet med et forbindelsesrør (12, 25) mellem de nævnte dampkilder (20, 41) og reaktionskammeret (18), samt mekaniske ven-25 tilindretninger (21, 22, 23, 26), der i-forhold til dampenes strømningsretning er placeret opstrøms i forhold til forbindelsen mellem forbindelsesrøret (12, 25) og gaskilden (28).
9. Apparat til udøvelse af fremgangsmåden ifølge krav 1-5, kendetegnet ved, at apparatet har et kammer (18) til 30 optagelse af et substrat (11), en sugepumpe, der er forbundet til kammeret (18), opvarmningsorganer (56) til opvarmning af substratet (11) i kammeret (18), flere dampkilder (53, 54), der er forbundet til kammeret (18) for selektiv og separat tilførsel af dampe af forskellige forbindelser fra dampkilderne til kammeret (18), en gaskilde 35 (66, 67), som er forbundet til kammeret, for tilførsel af gasfaserne- DK 157943 B dium, organer til styring af en strøm af gasfasemediet gennem kammeret fra gaskilden til sugepumpen, idet sugepumpen i forhold til gasfasemediets strømningsretning er forbundet med reaktionskammerets udløbsende, medens de nævnte dampkilder (53, 54) og gaskilden (66, 5 67) er forbundet til reaktionskammerets indløbsende, en roterbart bevægelig substratholder (61), der er anbragt i kammeret på en sådan måde, at et givet punkt af substratet skiftevis passerer gennem et gasfaseområde og gennem områder indeholdende de nævnte dampe af de nævnte forskellige forbindelser, idet den bevægelige substratholder 10 (61) har en del, der ligner et skovlhjul, og som er drejelig omkring en akse og placeret mellem afsnit af apparatets legeme (60), idet afsnittene hver består af strømningspassager (55) for gasfasemediet ved tilslutning af én ende til sugepumpen og ved tilslutning af en anden ende til gaskilden (66, 67) samt strømningspassager (51, 52) 15 for de nævnte forskellige dampe ved tilslutning af én ende til sugepumpen og ved tilslutning af den anden ende til dampkilderne (53, 54).
10, Apparat til udøvelse af fremgangsmåden ifølge krav 1-5, kendetegnet ved, at apparatet har et kammer (18) til 20 optagelse af et substrat (11'), en sugepumpe, der er koblet til kammeret (18), opvarmningsorganer til opvarmning af substratet (11') i kammeret (18), flere dampkilder (81, 81), der er forbundet til kammeret (18) for selektiv og separat tilførsel af dampe af forskellige forbindelser fra dampkilderne til kammeret, en gaskilde (77), som er 25 forbundet til kammeret (18), for tilførsel af et gasfasemedium, organer til styring af en strøm af gasfasemediet gennem kammeret (18) fra gaskilden til sugepumpen, en retlinet, bevægelig substratholder til substratet og en fordeler (72) for gasfasemediet og for dampene af forbindelserne, hvilken fordeler (72) har alternerende gas- og 30 damptilførselsåbninger (73, 75), der er forbundet henholdsvis til gaskilden og dampkilderne (74) forbundet til sugepumpen, idet substratet (11') er placeret tæt ved åbningerne på en sådan måde, at ved at blive bragt til at bevæge sig vil et givet punkt af substratet passere skiftevis gennem en diffusionsvæg, der frembringes af gas-35 fasemediet, og gennem dampene.
DK084680A 1979-02-28 1980-02-27 Fremgangsmaade og apparat til udfoerelse af en epitaksiel vaekst af atomare lag DK157943C (da)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FI790680 1979-02-28
FI790680A FI57975C (fi) 1979-02-28 1979-02-28 Foerfarande och anordning vid uppbyggande av tunna foereningshinnor

Publications (3)

Publication Number Publication Date
DK84680A DK84680A (da) 1980-08-29
DK157943B true DK157943B (da) 1990-03-05
DK157943C DK157943C (da) 1990-08-27

Family

ID=8512439

Family Applications (1)

Application Number Title Priority Date Filing Date
DK084680A DK157943C (da) 1979-02-28 1980-02-27 Fremgangsmaade og apparat til udfoerelse af en epitaksiel vaekst af atomare lag

Country Status (18)

Country Link
US (1) US4413022A (da)
EP (1) EP0015390B1 (da)
JP (1) JPS6021955B2 (da)
AT (1) ATE15820T1 (da)
AU (1) AU535151B2 (da)
BR (1) BR8001087A (da)
CA (1) CA1166937A (da)
DE (1) DE3071110D1 (da)
DK (1) DK157943C (da)
FI (1) FI57975C (da)
HU (1) HU181779B (da)
IL (1) IL59393A (da)
IN (1) IN152596B (da)
MX (1) MX151518A (da)
NO (1) NO155106C (da)
PL (1) PL138247B1 (da)
SU (1) SU1085510A3 (da)
ZA (1) ZA80852B (da)

Families Citing this family (547)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5889821A (ja) * 1981-11-24 1983-05-28 Canon Inc 堆積膜の製造装置
JPS5898917A (ja) * 1981-12-09 1983-06-13 Seiko Epson Corp 原子層エビタキシヤル装置
FI64878C (fi) * 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4664960A (en) * 1982-09-23 1987-05-12 Energy Conversion Devices, Inc. Compositionally varied materials and method for synthesizing the materials
US4520039A (en) * 1982-09-23 1985-05-28 Sovonics Solar Systems Compositionally varied materials and method for synthesizing the materials
EP0145201A1 (en) * 1983-11-10 1985-06-19 Optical Coating Laboratory, Inc. Antireflection optical coating with antistatic properties
JPS60189928A (ja) * 1984-03-12 1985-09-27 Fujitsu Ltd 減圧気相成長装置
JPH0766910B2 (ja) * 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
GB2162862B (en) * 1984-07-26 1988-10-19 Japan Res Dev Corp A method of growing a thin film single crystalline semiconductor
JPH0782990B2 (ja) * 1984-07-26 1995-09-06 新技術事業団 半導体装置の製造方法
JPH0787179B2 (ja) * 1984-07-26 1995-09-20 新技術事業団 超格子半導体装置の製造方法
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JP2577542B2 (ja) * 1984-07-26 1997-02-05 新技術事業団 半導体結晶成長装置
JPH0766907B2 (ja) * 1984-07-26 1995-07-19 新技術事業団 半導体結晶成長方法
JPH0766906B2 (ja) * 1984-07-26 1995-07-19 新技術事業団 GaAsエピタキシャル成長方法
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPH0766908B2 (ja) * 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長方法
JPH0782991B2 (ja) * 1984-07-26 1995-09-06 新技術事業団 化合物半導体単結晶薄膜の成長法
JPH0715884B2 (ja) * 1984-07-26 1995-02-22 新技術事業団 選択型結晶の成長方法
JP2577544B2 (ja) * 1984-08-08 1997-02-05 新技術事業団 半導体装置の製造方法
JP2577543B2 (ja) * 1984-08-08 1997-02-05 新技術事業団 単結晶薄膜成長装置
JPH07120625B2 (ja) * 1984-08-08 1995-12-20 新技術事業団 化合物半導体単結晶薄膜の形成方法
JPS62226892A (ja) * 1986-03-29 1987-10-05 Univ Tohoku 単結晶サフアイア薄膜の製造法
JPS6328031A (ja) * 1986-07-21 1988-02-05 Matsushita Electric Ind Co Ltd 気相成長装置
JP2587623B2 (ja) * 1986-11-22 1997-03-05 新技術事業団 化合物半導体のエピタキシヤル結晶成長方法
JPH0727861B2 (ja) * 1987-03-27 1995-03-29 富士通株式会社 ▲iii▼−▲v▼族化合物半導体結晶の成長方法
US5296087A (en) * 1987-08-24 1994-03-22 Canon Kabushiki Kaisha Crystal formation method
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US4931132A (en) * 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
DE3889735T2 (de) * 1988-12-21 1994-09-08 Monkowski Rhine Inc Chemischer dampfniederschlagsreaktor und dessen verwendung.
DE3843157C1 (da) * 1988-12-22 1990-05-10 Du Pont De Nemours (Deutschland) Gmbh, 6380 Bad Homburg, De
JPH0824191B2 (ja) * 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3351477B2 (ja) * 1993-02-04 2002-11-25 理化学研究所 固体レーザー結晶薄膜作成方法および固体レーザー結晶薄膜作成装置
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
JP2654608B2 (ja) * 1994-09-09 1997-09-17 科学技術振興事業団 GaAs半導体ダイオードの製造方法
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3206375B2 (ja) * 1995-06-20 2001-09-10 信越半導体株式会社 単結晶薄膜の製造方法
US5759623A (en) * 1995-09-14 1998-06-02 Universite De Montreal Method for producing a high adhesion thin film of diamond on a Fe-based substrate
FI954922A (fi) * 1995-10-16 1997-04-17 Picopak Oy Valmistusmenetelmä sekä kontaktinystyrakenne puolijohdepalojen tiheitä pintaliitoksia varten
US6013583A (en) * 1996-06-25 2000-01-11 International Business Machines Corporation Low temperature BPSG deposition process
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
FI972874A0 (fi) * 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
KR100750420B1 (ko) 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP4556282B2 (ja) * 2000-03-31 2010-10-06 株式会社デンソー 有機el素子およびその製造方法
FI117978B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
WO2001094662A1 (fr) * 2000-06-07 2001-12-13 Commissariat A L'energie Atomique Procede de preparation d'un revetement sur un substrat par le procede ald utilisant un reactant deutere
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
FI20001694A0 (fi) * 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US9255329B2 (en) 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
WO2002070142A1 (en) * 2000-12-06 2002-09-12 Angstron Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
WO2002090614A1 (en) * 2001-03-20 2002-11-14 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6627268B1 (en) 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US7056278B2 (en) * 2001-06-01 2006-06-06 Adamed Sp. Z.O.O. Method of treating overactive bladder in women
JP2002367990A (ja) * 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6835414B2 (en) 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
EP1466034A1 (en) * 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
WO2003076678A2 (en) * 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
DE10392519T5 (de) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
JP4292777B2 (ja) * 2002-06-17 2009-07-08 ソニー株式会社 薄膜形成装置
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US7154140B2 (en) 2002-06-21 2006-12-26 Micron Technology, Inc. Write once read only memory with large work function floating gates
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
JP4528489B2 (ja) 2003-01-27 2010-08-18 独立行政法人理化学研究所 p型半導体を用いた紫外発光素子
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7294360B2 (en) * 2003-03-31 2007-11-13 Planar Systems, Inc. Conformal coatings for micro-optical elements, and method for making the same
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
ATE468421T1 (de) * 2003-06-27 2010-06-15 Sundew Technologies Llc Vorrichtung und verfahren zur steuerung des dampfdrucks einer chemikalienquelle
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
DE102005003336B3 (de) * 2005-01-25 2006-07-13 Bte Bedampfungstechnik Gmbh Verfahren zur Bildung einer dünnen Schicht auf einer Substratoberfläche
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
FI121341B (fi) * 2006-02-02 2010-10-15 Beneq Oy Hopean suojapinnoitus
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7235736B1 (en) 2006-03-18 2007-06-26 Solyndra, Inc. Monolithic integration of cylindrical solar cells
US7413982B2 (en) * 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US7456429B2 (en) 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7801623B2 (en) * 2006-06-29 2010-09-21 Medtronic, Inc. Implantable medical device having a conformal coating
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8207063B2 (en) * 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
WO2008100963A1 (en) 2007-02-12 2008-08-21 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US7629256B2 (en) 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090081360A1 (en) 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US20090081356A1 (en) 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US20090079328A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Thin film encapsulation containing zinc oxide
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US8017183B2 (en) * 2007-09-26 2011-09-13 Eastman Kodak Company Organosiloxane materials for selective area deposition of inorganic materials
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
WO2009070574A2 (en) * 2007-11-27 2009-06-04 North Carolina State University Methods for modification of polymers, fibers and textile media
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20110097487A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097490A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
US20110097489A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US20110097491A1 (en) 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097493A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
FI20096154A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä kalvon muodostamiseksi, kalvo ja sen käyttöjä
FI20096153A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä koristepäällysteen muodostamiseksi, koristepäällyste ja sen käyttötapoja
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
FI20096262A0 (fi) 2009-11-30 2009-11-30 Beneq Oy Menetelmä koristepinnoitteen muodostamiseksi jalokiveen, jalokiven koristepinnoite, ja sen käytöt
FI122616B (fi) 2010-02-02 2012-04-30 Beneq Oy Vahvistettu rakennemoduuli ja sen valmistusmenetelmä
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
KR101881181B1 (ko) 2010-11-04 2018-08-16 노벨러스 시스템즈, 인코포레이티드 탄탈륨의 이온 유도 원자층 증착
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
CN104284998A (zh) * 2012-05-14 2015-01-14 皮考逊公司 使用原子层沉积盒的粉末颗粒涂布
EP2861781A4 (en) * 2012-06-15 2016-02-24 Picosun Oy COATING APPLICATION ON A SUBSTRATE CONTINUOUS SHEET BY ATOMIC LAYER DEPOSITION
WO2013186427A1 (en) * 2012-06-15 2013-12-19 Picosun Oy Coating a substrate web by atomic layer deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014131043A1 (en) 2013-02-25 2014-08-28 Solan, LLC Methods for fabricating graphite-based structures and devices made therefrom
JP6134191B2 (ja) 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6398761B2 (ja) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102420015B1 (ko) 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI571526B (zh) * 2015-12-18 2017-02-21 國家中山科學研究院 一種原子層磊晶系統之進氣管路陣列
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102586409B1 (ko) * 2016-04-12 2023-10-11 피코순 오와이 금속 휘스커를 억제하기 위한 ald에 의한 코팅
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI620830B (zh) * 2016-12-30 2018-04-11 Nat Chung Shan Inst Science & Tech Batch coating process system
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US20220064792A1 (en) 2020-08-25 2022-03-03 Innovalens B.V. Partial coating of intraocular lenses using spatial atomic layer deposition
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1057845B (de) * 1954-03-10 1959-05-21 Licentia Gmbh Verfahren zur Herstellung von einkristallinen halbleitenden Verbindungen
BE618264A (da) * 1959-06-18
US3218203A (en) * 1961-10-09 1965-11-16 Monsanto Co Altering proportions in vapor deposition process to form a mixed crystal graded energy gap
NL6709379A (da) * 1967-07-06 1969-01-08
US3602192A (en) * 1969-05-19 1971-08-31 Ibm Semiconductor wafer processing
US3721583A (en) * 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
US4015558A (en) * 1972-12-04 1977-04-05 Optical Coating Laboratory, Inc. Vapor deposition apparatus
US3964937A (en) * 1973-08-13 1976-06-22 Materials Technology Corporation Method of making a composite coating
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4048955A (en) * 1975-09-02 1977-09-20 Texas Instruments Incorporated Continuous chemical vapor deposition reactor

Also Published As

Publication number Publication date
SU1085510A3 (ru) 1984-04-07
PL222293A1 (da) 1980-11-03
HU181779B (en) 1983-11-28
AU535151B2 (en) 1984-03-08
JPS6021955B2 (ja) 1985-05-30
IN152596B (da) 1984-02-18
CA1166937A (en) 1984-05-08
EP0015390B1 (en) 1985-09-25
BR8001087A (pt) 1980-10-29
JPS55130896A (en) 1980-10-11
FI57975C (fi) 1980-11-10
EP0015390A1 (en) 1980-09-17
ATE15820T1 (de) 1985-10-15
AU5578680A (en) 1980-09-04
IL59393A (en) 1983-06-15
DK84680A (da) 1980-08-29
IL59393A0 (en) 1980-05-30
PL138247B1 (en) 1986-08-30
FI57975B (fi) 1980-07-31
NO155106C (no) 1987-02-11
DK157943C (da) 1990-08-27
MX151518A (es) 1984-12-10
US4413022A (en) 1983-11-01
ZA80852B (en) 1981-02-25
DE3071110D1 (en) 1985-10-31
NO155106B (no) 1986-11-03
NO800555L (no) 1980-08-29

Similar Documents

Publication Publication Date Title
DK157943B (da) Fremgangsmaade og apparat til udfoerelse af en epitaksiel vaekst af atomare lag
CN106591801B (zh) 利用peald在凹槽中沉积介电膜的方法
JP5462787B2 (ja) 金属酸化物材料を堆積する方法
US5005519A (en) Reaction chamber having non-clouded window
TWI827448B (zh) 原子層沉積裝置
US20020108570A1 (en) Method and apparatus of growing a thin film onto a substrate
TWI754021B (zh) 具有閥的裝置及運作方法
JP2015061075A (ja) プラズマアシストプロセスにより酸化膜を生成する方法
JPH03257182A (ja) 表面加工装置
WO2011137059A3 (en) Amorphous carbon deposition method for improved stack defectivity
TW201833128A (zh) 用於ald及cvd薄膜沉積之釕前驅物及其用法
IE811017L (en) Chemical vapor deposition of films on silicon wafers
TW201901795A (zh) 包括多種金屬氧化物的金屬膜之選擇性乾式蝕刻
TW201400639A (zh) 分別泵抽方法、設備及系統
TWI625417B (zh) Film formation method
KR850001974B1 (ko) 광화학적 증착방법 및 장치
CN104451600A (zh) 一种氧化铋薄膜材料的制备方法
JP6995049B2 (ja) 基板上にCdTe膜を堆積させる方法
JPS61229319A (ja) 薄膜形成方法
Seki et al. Equilibrium Computation for the Vapor Growth of InxGa1-xP Crystals
US6077718A (en) Method for forming deposited film
JP2008506617A5 (da)
JP2017057435A (ja) 原子層堆積装置
JPS62139875A (ja) 堆積膜形成法
TWI850266B (zh) 藉由循環cvd形成保形碳化矽膜之方法

Legal Events

Date Code Title Description
PBP Patent lapsed