TW201400639A - 分別泵抽方法、設備及系統 - Google Patents

分別泵抽方法、設備及系統 Download PDF

Info

Publication number
TW201400639A
TW201400639A TW102108286A TW102108286A TW201400639A TW 201400639 A TW201400639 A TW 201400639A TW 102108286 A TW102108286 A TW 102108286A TW 102108286 A TW102108286 A TW 102108286A TW 201400639 A TW201400639 A TW 201400639A
Authority
TW
Taiwan
Prior art keywords
front line
reaction chamber
gas
line
valve member
Prior art date
Application number
TW102108286A
Other languages
English (en)
Inventor
Seshasayee Varadarajan
Antonio Xavier
Ramesh Chandrasekharan
Dirk Rudolph
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201400639A publication Critical patent/TW201400639A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一種半導體製造處理室所用的分別泵抽系統以及方法。分別泵抽方法可提供兩個分離的排放路徑,每一路徑係用以排放不同的處理氣體。排放路徑可被設計成不會排放非此排放路徑專屬排放之處理氣體的其他處理氣體。

Description

分別泵抽方法、設備及系統
在許多的半導體製造處理中,將半導體晶圓放置到反應室或反應器中並暴露至一或多種處理化學品。此些化學品可與半導體晶圓反應並使半導體晶圓經歷沈積、蝕刻、固化或其他處理。
最近在業界中廣泛使用的一種半導體製造處理為原子層沈積(ALD)。在典型的ALD製程中,以重覆、交替的方式將晶圓暴露至兩或更多種不同的處理氣體。遍及晶圓的處理氣體流通常在時間上會區分開來,以避免處理氣體在晶圓反應區域中混合。處理氣體流亦可極短例如在2到3秒或更短的等級。在ALD製程中,每一個交替氣體流動循環可導致厚度介於約0.1至3Å之高度保形膜層的沈積。由於此些膜層的低厚度,ALD製程可能會涉及數百個交替的ALD循環以達到期望的厚度。
在典型的ALD製程循環中,第一處理氣體可流過晶圓並經歷與晶圓表面的自我限制反應以形成保形膜層。一旦第一處理氣體停止與晶圓反應後,在缺乏其他干涉的情況下,即便再施加第一處理氣體也不會導致更多膜層形成一這種行為導致極均勻厚度或高度保形性的膜層。為了能夠增加額外的膜層(因此增加更進一步沈積材料的厚度),在利用吹淨氣體吹淨晶圓附近的體積以後,接著可將第二處理氣體施加至晶圓以「重置」膜層的裸露表面,讓晶圓接下來能重新暴露至第一處理氣體,以形成額外的膜層。一旦完成重置並停止第二處理氣體後,再次利用吹淨氣體吹淨晶圓附近的體積,然後可重新開始第一處理氣體並沈積另一膜層。然而,若第一處理氣體與第二處理氣體混合,則ALD製程可能會表現出傳 統化學氣相沈積製程(CVD)的特性,即製程的時間較短但所提供的沈積膜層不若ALD膜層具有高度保形性。是以,為了避免ALD製程變成事實上的CVD製程,在時間上將遍及晶圓之第一處理氣體流與第二處理氣體流區分開來,俾以在晶圓附近儘量不要發生第一處理氣體與第二處理氣體的混合。
在傳統ALD設備以及其他半導體製程設備中,以時間區分開來之遍及晶圓之不同處理氣體,可藉由共同的排氣管線自設備的反應室排放所用的處理氣體(包含第一處理氣體、第二處理氣體、任何所用的載氣及此類製程中所涉及的任何其他氣體。)
在隨附的圖示與下列敘述中詳細地說明了本說明書中所述之標的的一或多種實施例。從敘述、圖示與申請專利範圍來看,其他特徵、態樣與優點皆變得顯而易見。應注意,除非另外指出圖示為等比例之圖示,否則下列圖示的相對尺寸皆未依照比例。
在某些實施例中,可提供一種半導體製程操作用的設備。該設備可包含反應室、與該反應室流體連接的第一前管線及與該反應室流體連接的第二前管線。該第一前管線係用以自該反應室排出第一處理氣體,該第二前管線係用以自該反應室排出第二處理氣體。
在某些此類實施例中,該第一前管線與該第二前管線皆可在進入該反應室之任何處理氣體進入接口的下游處與該反應室流體連接。在某些設備實施例中,該第一前管線與該第二前管線可藉由分開的接口而與該反應室流體連接。
在某些設備實施例中,該設備亦可包含將該第一前管線與該第二前管線流體連接至該反應室的共用前管線。在此類實施例中,該共用前管線可位於該第一前管線與該第二前管線的上游處。在某些此類實施例中,該設備可包含用以調節該共用前管線之流體流的共用閥件。該共用閥件可位於該反應室與該第一前管線之間以及該反應室與該第二前管線之間。在該設備之某些更進一步的此類實施例中,該共用閥件可包含調整元件以及關斷元件。
在某些其他實施例中,該設備可包含位於該第一前管線上並用以調整流經該第一前管線之流體流的第一閥件以及位於該第二前管線上並用以調整流經該第二前管線之流體流的第二閥件。在某些此類實施例中,該第一閥件與該第二閥件皆可以是非密封、高速之調節閥。在某些此類實施例中,該非密封、高速之調節閥從1大氣壓至真空可具有少於1秒之致動速度以及少於1000sccm之滲漏率。在某些其他實施例中,該第一閥件與該第二閥件皆可為機械密封、高速的閥件。
在某些實施例中,可提供第一真空泵浦與第二真空泵浦,前者具有流體連接至該第一前管線的第一抽吸入口而後者具有流體連接至該第二前管線的第二抽吸入口。在某些此類實施例中,該第一真空泵浦與該第二真空泵浦可具有實質上類似的效能特性且該第一前管線與該第二前管線可具有實質上相同的長度與直徑。
在某些實施例中,該設備亦可包含第一排放管線與第二排放管線,前者與該第一真空泵浦之第一排放出口及減排系統流體連接而後者與該第二真空泵浦之第二排放出口及減排系統流體連接。在某些此類實施例中,該設備可包含該減排系統。
在某些實施例中,該設備可包含連接至該反應室之第三前管線。該第三前管線可用以自該反應室排放第三處理氣體。該第三處理氣體可與該第一處理氣體及該第二處理氣體不同。在某些此類實施例中,該第三前管線可在進入該反應室之任何處理氣體進入接口的下游處與該反應室流體連接。
在某些實施例中,該設備更可包含控制器,控制器包含一或多個處理器以及一或多個記憶體。該一或多個處理器可與該第一閥件與該第二閥件通訊連接,該一或多個記憶體可儲存用以控制該一或多個處理器去執行下列動作的電腦可執行指令:接收指示該第一處理氣體正在流入該反應室中的第一數據;控制該第一閥件為開放狀態並控制該第二閥件為實質關閉狀態以回應所接收的該第一數據;接收指示該第二處理氣體正在流入該反應室中的第二數據;及控制該第二閥件為開放狀態並控制該第一閥件為實質關閉狀態以回應所接收的該第二數據。
在某些此類實施例中,該一或多個記憶體更可儲存用以控 制該一或多個處理器去執行下列動作的電腦可執行指令:接收指示吹淨氣體正流入反應室以自該反應室吹淨該第一處理氣體的第三數據;控制該第一閥件為開放狀態並控制該第二閥件為實質關閉狀態以回應所接收的該第三數據;接收指示吹淨氣體正流入反應室以自該反應室吹淨該第二處理氣體的第四數據;及控制該第二閥件為開放狀態並控制該第一閥件為實質關閉狀態以回應所接收的該第四數據。
在某些實施例中,可提供半導體製造處理方法,此方法包含:(a)將第一處理氣體供給至反應室中的晶圓反應區域;(b)藉著進行第一吹淨操作來吹淨晶圓反應區域中的第一處理氣體;(c)在步驟(b)期間在第一前管線上抽取真空,此第一前管線與反應室中之任何處理氣體進入接口流體連接且位於下游;(d)將第二處理氣體供給至晶圓反應區域;(e)藉著進行第二吹淨操作來吹淨晶圓反應區域中的第二處理氣體;及(f)在步驟(e)期間在第二前管線上抽取真空,此第二前管線與反應室中之任何處理氣體進入接口流體連接且位於下游,第二前管線與第一前管線分離俾使氣體位於第一前管線與第二前管線之中時,第一前管線中的氣體不與第二前管線中的氣體混合。在某些其他實施例中,該方法亦包含重覆(a)至(f)一或多次。在某些其他實施例中,該方法亦包含(g)在步驟(a)期間在第一前管線上抽取真空以及(h)在步驟(d)期間在第二前管線上抽取真空。在某些此類實施例中,該方法更可包含重覆(a)至(h)一或多次。
下面將參考附圖與細節闡述更詳細地說明此些與其他態樣。
100‧‧‧處理模組
102‧‧‧反應室
104‧‧‧第一處理氣體供給源
106‧‧‧第二處理氣體供給源
108‧‧‧共用前管線
110‧‧‧共用閥件
112‧‧‧第一前管線
114‧‧‧第二前管線
116‧‧‧第一前管線閥件
118‧‧‧第二前管線閥件
120‧‧‧第一泵浦
122‧‧‧第二泵浦
128‧‧‧第一排放管線
130‧‧‧第二排放管線
132‧‧‧減排系統
134‧‧‧排放管
136‧‧‧排放洗滌裝置
200‧‧‧製程
210A‧‧‧循環
210B‧‧‧循環
220A‧‧‧步驟
240A‧‧‧作業
260A‧‧‧步驟
280A‧‧‧作業
310A‧‧‧製程循環
310B‧‧‧製程循環
320A‧‧‧步驟
320B‧‧‧步驟
340A‧‧‧作業
340B‧‧‧作業
360A‧‧‧步驟
360B‧‧‧步驟
380A‧‧‧步驟
380B‧‧‧步驟
402‧‧‧方塊
404‧‧‧方塊
406‧‧‧方塊
408‧‧‧方塊
410‧‧‧方塊
412‧‧‧方塊
414‧‧‧方塊
416‧‧‧方塊
418‧‧‧方塊
500‧‧‧製程站
501‧‧‧反應物輸送系統
502‧‧‧處理室體
506‧‧‧分配噴淋頭
507‧‧‧微體積
508‧‧‧共用前管線
509‧‧‧基座
510‧‧‧共用前管線閥件
511‧‧‧加熱器
512‧‧‧第一前管線
513‧‧‧基板
514‧‧‧第二前管線
515‧‧‧RF電源
516‧‧‧匹配網路
600‧‧‧多站處理工具
602‧‧‧載入加載室
604‧‧‧載出加載室
606‧‧‧機械手臂
608‧‧‧晶圓盒
610‧‧‧大氣接口
612‧‧‧基座
614‧‧‧處理室
616‧‧‧腔室傳送接口
618‧‧‧經加熱的基座
650‧‧‧系統控制器
652‧‧‧處理器
654‧‧‧大量儲存裝置
656‧‧‧記憶體裝置
658‧‧‧系統控制軟體
690‧‧‧晶圓處理系統
圖1顯示分別泵抽排放系統之實施例的一實例的概圖。
圖2的處理時序顯示假想沈積製程之兩個循環的各種態樣。
圖3顯示圖2之修改後製程,其包含分別泵抽動作。
圖4顯示分別泵抽技術的流程圖。
圖5概略地顯示適合與分別泵抽系統共同使用之CFD製程站500。
圖6顯示多站處理工具的概圖。
本說明書中提供了藉由分離排放管線自反應室泵抽半導體處理反應物之方法、設備及系統。本文中所述之概念可應用至各種文義但主要是針對半導體製程來加以闡述。
本發明人已體認到,藉由共用的排放管線與泵浦系統自反應室排放某些反應物,會導致非揮發性反應產物沈積及/或以其他方式累積在反應室之共用排放管線及/或泵浦系統中,且可能會限制或阻擋氣流流過共用排放管線或阻礙設備的操作,如此使得自反應室排放反應物變得不再有效率或有效。本發明人已判斷出,當兩種處理氣體具有足以產生過度壓力之非零反應速率、並因此加速自混合氣體產生固體反應產物時,此類反應產物可能會成更嚴重的問題。由於位於排放系統中之真空泵浦下游處的排放管線部分相較於排放系統的上游部分已具有較高的壓力,所以此類壓力上升在此類區域可能會是更嚴重的問題。本發明人已體認到,當某些組合的處理氣體(或此類處理氣體之反應所產生的副產物)暴露至反應室清理循環時所用之氣體如活化的氟時,可能會產生極強烈的放熱反應。此些放熱反應可產生許多熱,使得來自用以排放反應室之泵浦的排放管線發熱變紅,而造成安全問題。本發明人已體認到可有用地應用一些方法、設備及系統自反應室分別移除化學品,該等化學品若不移除,則會在來自反應室之共用排放管線中形成非揮發性反應產物的化學品。
在許多工業製程中會將化學反應物提供至反應室,化學反應物在反應室中會彼此反應、或與反應室中如基板的物件反應,以形成非揮發性反應產物,如基板上的沈積層。在期望的化學反應已發生後,可自反應室移除未使用到的反應物,如氣相反應物。如上所討論,本發明人已體認到,當反應室排出物藉由單一管線(如單一泵浦前管線(泵浦之前的管線))離開反應室時,混合在一起的反應物可能會在前管線、泵浦及排放管線中反應而形成非揮發性的鹽類/固體。此些非揮發性的固體可能會例如以沈積或其他方式累積在前管線或泵浦中、或困在泵浦與減排裝置間的排放管線中。根據本文中所討論的實施例,可提供分離的前管線,以自 共同反應室排放不同反應物,藉此實質地減少或避免在排放系統中產生非揮發性的反應產物。
降低此類副產物累積的一個技術是增長每一第一處理氣體/第二處理氣體輸送步驟之間所進行的吹淨作業。在許多的ALD製程中,晶圓會被容納在「微體積」中,此「微體積」通常是反應室中處理氣體一開始送達且集中的子體積。使用微體積得以使用較少量的處理氣體,導致較低的成本。微體積亦節省氣體填充的時間,降低了處理氣體的輸送時間以及吹淨循環時間。吹淨循環期間通常長得足以吹淨微體積內的處理氣體但不足以吹淨微體積外反應室或排放系統內的處理氣體。是以,處理氣體的混合通常會發生在微體積以外的反應室部分以及排放系統內。藉著延長吹淨期間,可在導入接續之處理氣體之前、自反應室與排放系統實質上排空處理氣體,藉此避免或實質上緩和處理氣體混合的風險。然而,考慮到所涉及的體積,此類經延長的吹淨作業可實質上增加每個ALD循環所需的時間量,使得此類經延長的吹淨作業在許多ALD應用中顯得經濟效益不彰。
降低此類反應副產物累積的另一技術是在排放系統中包含「冷阱」。冷阱為能提供穿過一或複數個冷(如寒冷或冷凍)表面之氣體流動路徑的裝置。流過冷阱之可冷凝的氣體可能會在一或複數個冷表面上凝結與結凍,而可避免已凍結的凝結物與其他氣體混合。冷阱最終會被已凍結的凝結物填滿,然後必須要定期清空。在具有長循環時間的半導體製程(如爐管作業)中,在冷阱未被使用的部分循環期間,例如反應室排空期間內,可進行此類維護程序,藉此最小化對於總製程循環時間的衝擊。在短製程循環時間的其他製程如ALD中,可能不會那麼幸運可以進行此類維護而不大幅地影響總製程循環時間。
本發明人提供本文中所描述的分別泵抽設備及技術,尤其是如上所討論之延長吹淨與冷阱技術的替代方案。圖1顯示了具有雙前管線/泵浦排放系統之分別泵抽系統之實施例之一實例的概圖。處理模組100可具有連接至第一處理氣體供給源104與第二處理氣體供給源106的反應室102。閥件(未顯示)可控制進入反應室102的第一處理氣體與第二處理氣體之流量。
共用前管線108可離開反應室102且可包含用以控制流過共用前管線108之流體流的共用閥件110。共用閥件110可以是具有調節元件如鐘擺閥的閘閥,或是利用分離的閥件(如組合閥)來加以構成,以提供同時調節以及密封的功能。共用閥件110可具有調節元件,如調節閥,而可調節元件之上游(即反應室102之上游)的壓力控制。例如,可使用閘閥以及調節閥的組合以提供共用閥件110。
共用前管線108可將處理模組100中的反應室102連接至兩個分離的前管線,即第一前管線112與第二前管線114。第一前管線閥件116與第二前管線閥件118分別可調節流過第一前管線112與第二前管線114的流體流。第一前管線閥件116與第二前管線閥件118可位於第一前管線112與第二前管線114和共用前管線108的流體連接點附近。第一泵浦120與第二泵浦122可分別與第一前管線112與第二前管線114的末端流體連接,而相對於第一前管線閥件116與第二前管線閥件116位在之第一前管線112與第二前管線的另一末端。第一排放管線128與第二排放管線130可分別將第一泵浦120與第二泵浦122流體連接至減排系統132。排放管134可流體連接至減排系統132且可連接至排放洗滌裝置136。
系統可藉著以各種順序開放與關閉第一前管線閥件116與第二前管線閥件118而用以作業,俾使實質上所有第一處理氣體係藉由第一前管線112、第一泵浦120與第一排放管線128自反應室102排出且實質上所有第二處理氣體係藉由第二前管線114、第二泵浦122與第二排放管線130自反應室102排出。以此方式,可避免或至少實質上避免第一處理氣體與第二處理氣體在第一前管線112、第二前管線114、第一泵浦120、第二泵浦122、第一排放管線128及第二排放管線130內混合。這可以實質上抑制或避免非揮發性反應產物在第一前管線112、第二前管線114、第一泵浦120、第二泵浦122、第一排放管線128及第二排放管線130內累積。
在某些實施例中,共用前管線108可能不存在,且第一前管線112與第二前管線114可完全分別地與反應室102流體交流。然而,由於在兩管線上可能會需要精細的壓力控制,這可能需要額外的閥件硬體以及控制器,例如在每一前管線上,為了壓力控制的目的可能需要節流 閥、而不只是在共用閥件110中的單一調節元件。在所示的實施例中,無論第一前管線112或第二前管線114是否是處於動作狀態,閥件110皆可提供反應室壓力控制。
應瞭解,雖然在圖1所示的系統被設計成兩種處理氣體的分別排放流,但可將類似的技術與設備用於兩種以上之處理氣體的分別排放。例如,可針對需與其他處理氣體隔離之每一處理氣體提供分離的前管線/泵浦/排放管線,以避免在排放系統內產生反應產物。在判斷可能需要之分離的前管線/泵浦/排放管線的數目時,可將所關注之處理氣體分組成非反應性組,然後可針對每一組提供分離的前管線/泵浦/排放管線。例如,若使用處理氣體A、B、C與D且A與B可和C與D兩者反應且亦可彼此反應、但C與D不會彼此反應。在此類系統中,可使用三組分離的前管線/泵浦/排放管線-一組只排放處理氣體A、一組只排放處理氣體B而一組同時排放處理氣體C與處理氣體D。在其他的實施例中,所使用的每一處理氣體可具有專屬且分離的前管線/泵浦/排放管線。
更應該瞭解,雖然在圖1中所示之分離的前管線/泵浦/排放管線係連接至共用減排系統132、排放管134與排放洗滌裝置136,但其他實施例可使用分離或部分分離的減排系統132、排放管134與排放洗滌裝置136。由於減排系統通常會使反應性的化學品無活性或較無活性,因此在減排系統內可能毋需考量處理氣體間的非期望反應,是以通常可使用共用減排系統。
如上所討論,許多半導體處理沈積技術(包含ALD)皆涉及依序地將反應物提供至反應用的反應室以在基板上形成沈積層。ALD製程使用表面媒介沈積反應以逐層方式沈積薄膜。在一ALD製程的實例中,將包含了複數表面活性部位的基板表面暴露至反應室內第一薄膜前驅物(P1)或第一處理氣體的氣相分佈。P1的某些分子可能會在基板表面上形成凝結相(包含P1的化學吸附物質與物理吸附分子)。接著可排空反應室以移除氣體相與物理吸附的P1,故只剩下化學吸附的物質留下來。接下來將第二薄膜前驅物(P2)或第二處理氣體導入反應室,使得某些P2的分子吸附至基板表面。接著再排空反應室,這次移除未約束的P2。接續,可將熱能提供至基板以活化經吸附之P1與P2分子間的表面反應而形成薄膜層。 最後,可排空反應室以移除反應副產物及可能未經反應的P1與P2並結束ALD循環。可進行複數連續的ALD循環以建立膜厚。在其他ALD製程中,在ALD循環的某些階段期間可使用電漿或來自電漿的自由基以協助膜層沈積。
被稱為脈衝沈積層(PDL)或快速氣相沈積(RVD)製程的一相關技術可以是受惠於本文中所討論之分別泵抽排放系統的另一半導體製程技術。PDL類似ALD之處在於,反應物氣體皆被交替地導入基板表面上方,但在PDL中薄膜可以生長得更快速。是以,PDL方法類似於CVD方法可快速地成長薄膜但又具有ALD方法的薄膜保形性。在下列文獻中可找到此類製程的相關敘述:由Hausmann等人所發表之名為「Rapid Vapor Deposition of Highly Conformal Silica Nanolaminates」的期刊文章(2002,Science,298,403-406頁)以及美國專利7,790,633,將兩者之全部內容包含於此作為尤其是PDL/TVD(快速氣相沈積)技術、反應化學及施行設備的參考。
用以施加高度保形薄膜的另一技術為電漿活化保形薄膜沈積(CFD),如同處於審查階段之皆申請於2011年4月11日之美國專利申請案13/084,305與13/084,399中所述,此處將其全部內容包含於此作為尤其是CFD技術、反應化學及施行設備的參考。雖然某些CFD技術涉及所用之多種反應物中之一者的連續流,使其難以分別排放此反應物而有別於其他使用之反應物,但其他CFD技術可能涉及類似於ALD製程中所用的依序、交替反應物流。因此,某些CFD設備亦可受惠於本文中所述的分別泵抽技術。
在某些實施例中,可使用分別泵抽系統以例如支援藉由含矽反應物與一或多種含氮反應物及/或含氮反應物混合物之反應來沈積氮化矽薄膜的CFD製程。含矽反應物的實例包含但未限制為:二(第三丁基氨)矽烷((SiH2(NHC(CH3)3)2或BTBAS)、二氯矽烷(SiH2Cl2)及氯矽烷(SiH3Cl)。含氮反應物的實例包含但不限制為:氨氣、氮氣及第三丁基胺((CH3)3CNH2或t-butyl amine)。含氮反應物混合物的實例包含但不限制為:氮氣與氫氣的混合物。CFD及其他製程(如上面所討論者)所用的其他反應物可潛在地利用分別泵抽系統來加以處理。
上述分別泵抽設備/系統之實施例可與例如ALD、PDL、 RVD、CFD及類似製程一起使用。由於本文中所述之分別泵抽系統意在避免與反應室相關之前管線、泵浦及排放管線內各種處理氣體的混合,因此所用的前管線閥件理想地是在關閉時能機械密封的閥件,如閘閥。機械密封可避免處理氣體滲漏通過閥件。然而,本發明人已體認到,在具有短時間、高頻率循環之製程的文義下,目前市售之機械密封型閥件(如閘閥)可能會導致無法接受的效能衰退。例如,在ALD製程中,每一個製程循環可能需要大約幾秒,且ALD設備每個月通常不會進行幾十萬次的製程循環。由於前管線閥件在每一製程循環期間會開啟與關閉,因此前管線閥件每年可能很容易便會經歷數百萬次的動作,這會對具有機密封的閥件造成可觀的磨損與撕扯。此類磨損與撕扯可能會因此而導致頻繁(例如每週)的停機時間來置換如彈性體密封件的機械密封。本發明人體認到與機械密封相關的另一效能問題是,機械密封閥件通常需要數秒來開啟或關閉。例如,若ALD循環的實例持續5秒、施行此ALD循環之設備具有利用了機械密封閥件的分別泵抽系統且此機械密封閥件在每一方向上的開啟/關閉時間為1秒,則在某些情況下這會在總ALD循環時加上總共額外的4秒。這可能會降低特定時間範圍內可進行之ALD循環數約45%,導致大幅的產量降低。應瞭解,此實例為假設性的,只是意在說明使用機械密封閥件可能會遇到的某些潛在問題一真實的效能可能會因為所用的設備及所採用的操作參數而有所不同。
本發明人已體認到,在某些實施例中,可利用高速非密封節流閥或表現出類似非密封與響應時間特性的其他閥件來提供前管線閥件。非密封節流閥為「非接觸式」閥件,閥件的動作部分被設計成不會接觸閥件的靜止部分以在關閉時形成密封。非密封節流閥的目的不在於機械密封,其主要目的在於調節非零氣流情況下的壓力。例如,蝶形閥(節流閥的常見類型)的特徵在於閥件體中的柱形孔及可轉動的「插板」。插板可以是圓碟,其外直徑稍微小於柱形孔的內直徑。可轉動的桿軸可沿著柱形孔的直徑貫穿柱形孔,插板可安裝在桿軸上並位於柱形孔的中央處。當桿軸轉動時,插板可在柱形孔中轉動。在低流位置中,插板可實質上垂直於柱形孔的中心線。雖然插板可藉此阻擋流過柱形孔的大部分氣體流,但插板之外緣與柱形孔之內直徑之間的小間隙可允許小量的氣體滲漏發生。例 如,某些現成市售的非密封蝶形閥當閥件關閉時,整個閥件自大氣至真空具有小於1000sccm的滲漏且自10Torr至真空具有小於10sccm的滲漏。在高流位置中,插板可自關閉位置轉動約90度,使在插板位置處柱形孔的大部分橫剖面不受阻礙。這允許氣體相對自由地流過柱形孔。相對於機械密封閥,非密封節流閥可提供極快速的致動時間如0.2秒,在上述之5秒的ALD循環實例中,這可導致至多0.8秒被增加至總ALD循環時間。相對於在前述實例中特定時間範圍內可進行的ALD循環數目降低約45%,使用非密封節流閥來取代機械密封閥使得可進行之ALD循環數只降低約13%。應瞭解,此實例為假想的,其目的只是要說明使用機械密封閥可能會遇到的某些潛在問題一真實的效能可能會因為所用的設備及所採用的操作參數而有所不同。
非密封節流閥通常不會被用來只作為開關的閥件,因為:a)其通常比機械密封閥件貴上許多,且b)其無法實際密封。是以,在分別泵抽系統中針對第一與第二管線使用非密封調節閥或能有效作為關斷閥的類似非密封閥件乃有違廣為接受的實務。使用非密封節流閥作為關斷閥仍與分別泵抽系統相匹配,因為閥件的有限滲漏率只會允許前管線內處理氣體之間的極有限反應,即便發生了氣體滲漏、但只會在前管線中產生微小但通常仍可接受的固體形成。
可類似地調整分別泵抽系統之每一分支所用之前管線、泵浦與排放管線的尺寸,以使得每一分支能使用類似的泵抽速度。然而在某些實施例中,每一分支可具有獨立於其他分支中之其他元件之尺寸的元件,例如某些或全部的分支可具有不同尺寸的元件。
分別泵抽系統所用的設備可全部或部分地(除了例如分別泵抽系統可連接至反應室之部分或共用前管線外)位於半導體製造廠房的子層中或可位於該樓層上。
圖2之處理時序顯示了假想沈積製程之兩個循環的各種態樣。圖2從較一般、上位的觀點來說明不同時間分離之雙反應物製程(如ALD、PDL與CFD)的變化。應瞭解,所示的強度與期間不應被認為是任何特定的規模,各種操作相關的強度與期間即便被顯示為實質相等,但在真實的情況下仍可能有所不同。例如,在某些實施例中,在一或多種處理 氣體流動期間可能會關閉流入反應室的載氣流或使其改道。在某些實施例中,載氣流只會在吹淨氣流期間才會開啟。
參考圖2,在製程200的所有階段期間(包含循環210A與循環210B的所有期間)流動惰性載氣/吹淨氣體。在反應物A暴露步驟220A處,以經控制的流量將反應物A供給至反應室以飽和基板的裸露表面。反應物A可以是任何適合的沈積反應物,如含氮反應物。雖然在圖2所示的實施例中將反應物A暴露步驟220A顯示成固定流量,但應瞭解,在本發明的範疇內可使用任何適合的反應物A流量(包含變動流量)。在某些實施例中,反應物A暴露步驟220A的持續期間可超過反應物A在基板表面的飽和時間。在所示的實施例中,反應物A暴露步驟220A亦包含了載氣流,但在某些實施例中,在反應物A暴露步驟220A期間可變化載氣流或停止載氣流。惰性載氣的實例包含但不限制為氮氣、氬氣及氦氣。可提供惰性氣體以協助製程站的壓力及/或溫度控制、液態反應物的蒸發、反應物的更快速輸送及/或作為掃除氣體而自製程站及/或製程站的管道移除處理氣體。
在反應物A掃除作業240A處,可停止反應物A流,且經由載氣的連續流來吹淨反應室內之晶圓反應區域中的剩餘反應物A。在載氣並非是連續流的實施例中,可在反應物A掃除作業240A的期間開啟載氣使其流動。在反應物A掃除作業240A的終止處,反應區域可實質上無未經反應的反應物A。
反應物A掃除作業240A之後,可進行反應物B暴露步驟260A。在反應物B暴露步驟260A處,可以經控制的流量將反應物B供給至反應室以飽和裸露的基板表面。雖然在圖2所示的實施例中將反應物B暴露步驟260A顯示成固定流量,但應瞭解,在本發明的範疇內可使用任何適合的反應物B流量(包含變動流量)。又應瞭解,反應物B暴露步驟260A可具有任何適當的持續期間。在某些實施例中,反應物B暴露步驟260A的持續期間可超過反應物B在基板表面的飽和時間。雖然在反應物B暴露步驟260A期間內供給反應物B流,但可利用反應物B來活化電漿以促進反應物B與晶圓反應區域中的晶圓反應。在某些實施例中,在製程作業期間毋需使用或提供電漿。
在某些實施例中,在反應物B暴露步驟260A中所點燃的電漿可形成在基板表面的正上方。這可提供較高的電漿密度並增加反應物B與晶圓之間的表面反應速率。例如,CFD製程所用的電漿可藉由下列方式產生:利用兩電容耦合板施加射頻(RF)場至反應物B的低壓體積。平板間藉由RF場所產生之反應物B的離子化會點燃電漿,在電漿放電區域中產生自由電子。此些自由電子會被RF場加速然後與氣相反應物B的分子碰撞。此些電子與反應物B分子的碰撞可能會形成參與沈積製程的自由基物質。應瞭解,可藉由任何適合的電極來耦合RF場。電極的非限制性實例包含分散處理氣體之噴淋頭及基板支撐基座。應注意,CFD製程用之電漿可藉由不同於以電容耦合RF場至氣體的一或多種其他適當方法來形成。
在某些實施例中,反應物B暴露步驟260A的持續期間可超過電漿活化自由基與所有裸露基板表面及吸附物相互作用所需的時間,而在基板表面上形成連續的薄膜。
在某些實施例中,可使用非電漿處理的其他處理來修改剛沈積完畢之薄膜的特性。此類處理可包含電磁輻射處理、熱處理(例如退火或高溫脈衝)等。此些處理的任何一者可單獨進行或與其他處理(包含電漿處理)一起進行。在某些實施例中,可進行此類替代處理來代替上述的任何電漿處理。在特定的實施例中,處理可涉及將薄膜暴露至紫外光。
在反應物B暴露步驟260A之後,可進行反應物B掃除作業280A。在反應物B掃除作業280A處,可停止反應物B流,且經由載氣的連續流來吹淨反應室內之晶圓反應區域中的剩餘反應物B及反應物B電漿所產生的自由基。在載氣並非是連續流的實施例中,可在反應物B掃除作業280A的期間開啟載氣使其流動。在反應物B掃除作業280A的終止處,反應區域可實質上無未經反應的反應物B。
在完成反應物B掃除作業280A後,可利用類似或不同的參數來進行第二循環。第二循環210B可包含反應物A暴露步驟220B、反應物A掃除作業240B、反應物B暴露步驟260B及反應物B掃除作業280B。可以連續方式進行複數此類循環以建立期望厚度的沈積膜層。
圖3顯示圖2的製程但加以改良而包含了分別泵抽動作。 如所見,顯示兩個製程循環310A與310B。製程循環310A與310B的每一者皆包含反應物A暴露步驟320A/B、反應物A掃除作業340A/B、反應物B暴露步驟360A/B及反應物B掃除作業380A/B。在圖3中亦可見第一前管線與第二前管線的行為圖。如所示,在反應物A暴露步驟320A/B及反應物A掃除作業340A/B期間,第一前管線可以處於動作狀態,例如抽取真空,而第二前管線可以處於休眠狀態,例如實質上不抽取真空。是以,在反應物A暴露步驟320A/B及反應物A掃除作業340A/B期間,可藉由第一前管線自反應室排放反應物A。
相對地,在反應物B暴露步驟360A/B與反應物B掃除作業380A/B期間,第二前管線可以處於動作狀態,例如抽取真空,而第一前管線可以處於休眠狀態,例如實質上不抽取真空。是以,在反應物B暴露步驟360A/B及反應物B掃除作業380A/B期間,可藉由第二前管線自反應室排放反應物B。每一前管線的動作確切時機可不同於圖中所示一例如,前管線氣體流可能不會和A與B反應物流同時開始,但在某種程度上時間錯開,以在氣體流被導入晶圓反應區域的時機與氣體到達反應室對應出口的時機之間產生時間差。在判斷何時可停止前管線氣體流時可作類似的時機調整。
圖4顯示了分別泵抽技術的流程圖。技術開始於方塊402。在方塊404中,可使反應物A流入反應室越過晶圓。在晶圓暴露至反應物A到達飽和程度時,在方塊408中可自反應室吹淨反應物A。在方塊404與408之一者或兩者期間,在方塊406期間可藉由第一前管線利用泵抽而自反應室排空反應物A。
接續,在方塊410中,可使反應物B流入反應室中越過晶圓。在晶圓暴露至反應物B到達飽和程度時,在方塊414中可自反應室吹淨反應物B。在方塊410與414之一者或兩者期間,在方塊412期間可藉由第二前管線利用泵抽而自反應室排空反應物B。在方塊416中,針對是否需要更多的製程循環作決定。若決定是,則技術回到方塊404與406。若決定否,技術可在方塊418中終止。
圖5概略地顯示適合與分別泵抽系統一起使用之CFD製程站500。為了簡化之,將CFD製程站500顯示成具有用以維持低壓環境之 處理室體502的獨立製程站。然而應明白,在共用低壓處理設備環境中可包含複數個CFD製程站500。雖然在圖所示的實施例顯示一個製程站,但應瞭解,在某些實施例中,一個製程設備中可包含複數個製程站。例如,圖6顯示了多站處理設備600的實施例。又,應明白,在某些實施例中,可藉由一或多個電腦控制器以程式方式來調整CFD製程站500的一或多個硬體參數(包含下面詳細討論者)。
CFD製程站500可與反應物輸送系統501流體交流,反應物輸送系統501用以將處理氣體以及惰性載氣輸送至分配噴淋頭506。噴淋頭506可朝向基板513分配處理氣體。在圖5所示的實施例中,基板513係位於噴淋頭506的下方並被載於基座509上。應瞭解,噴淋頭506可具有任何適合的形狀且可具有任何適合數目與配置的接口,而用以分配處理氣體於整個基板513。
在某些實施例中,微體積507可位於噴淋頭506下方。在微體積內而非整個處理站的體積內進行CFD製程可降低反應物的暴露以及掃除時間、可降低轉換CFD製程條件(例如壓力、溫度等)的時間、可限制製程站機械手臂暴露至製程氣體等。微體積的例示尺寸包含但不限制為介於0.1升至2升之間。
在某些實施例中,基座509可舉升或下降,以將基板513暴露至微體積507及/或改變微體積507的體積。例如,在基板傳送階段中,基座509可被降低以使基板513得以被載送至基座509上。在製程階段中,可舉升基座509以使基板513得以位於微體積507內。在某些實施例中,在CFD製程期間,微體積507可完全包覆基板513以及基座509的一部分以產生高流動阻抗的區域。
在CFD製程的部分期間,基座509可選擇性地被降低及/或舉升以調節微體積507內的製程壓力、反應物濃度等。在CFD製程期間處理室體502維持在基礎壓力的一實施例中,降低基座509可讓微體積507得以被排空。微體積對處理室的體積比實例可包含但不限制為介於1:500至1:10。應瞭解,在某些實施例中,可藉由適當的電腦控制器以程式方式來調整基座高度。
在某些實施例中,調整基座509的高度可在電漿活化期間 變化電漿密度及/或可變化在CFD製程期間包含的處理循環。在CFD製程結束時,可在另一基板傳送階段期間降低基座509以自基座509移走基板513。
雖然參考高度可調整之基座說明了例示性的微體積變化,應瞭解,在某些實施例中,可調整噴淋頭506相對於基座509的位置以變化微體積507。又,應明白,可藉由任何機構來變化基座509及/或噴淋頭506的垂直位置。熟知此項技藝者應知此類機構可以例如是藉由水力、氣動、彈簧機構、電磁等來加以提供。在某些實施例中,基座509可包含例如沿著垂直基板表面之軸的轉動機構,以在製程期間提供基板513的轉動。應注意,在某些實施例中,可藉由一或多個適當的電腦控制器以程式方式來進行此些例示性調整的一或多者。
回到圖5所示的實施例,噴淋頭506與基座509可與用以供給能量至微體積507內之電漿的RF電源515與匹配網路516作電交流。在某些實施例中,可藉著控制製程站壓力、氣體濃度、RF電源、RF源頻率及電漿能量脈衝時機中的一或多者來控制電漿能量。例如,可在任何適當的功率位準下操作RF電源515及匹配網路516以形成具有期望組成之自由基物質的電漿。適當功率位準的實例包含但不限制為介於100W至5000W。類似地,RF電源515可提供任何適當頻率之RF。在某些實施例中,RF電源515可用以控制彼此獨立之高頻與低頻RF電源。低頻RF頻率的實例可包含但不限制為介於50kHz至500kHz。高頻RF頻率的實例可包含但不限制為介於1.8MHz至2.45GHz。應明白,可離散或連續地調變任何適當的參數以提供表面反應用的電漿能量。在一非限制性實例中,相較於連續功率電漿,可提供間歇性的電漿功率脈衝以降低對於基板表面的轟擊。
在某些實施例中,可藉由一或多個電漿監測器來監控電漿。在一實施例中,藉由一或多個電壓/電流感測器(例如VI探針)來監控電漿能量。在另一實施例中,可藉由一或多個光學發射光譜感測器(OES)來量測電漿密度及/或處理氣體濃度。在某些實施例中,基於自此類原位電漿監測器的量測數據以程式方式調整一或多個電漿參數。例如,可將OES感測器用在用以提供電漿能量之程式控制的返饋迴路中。應瞭解,在 某些實施例中,可使用其他監測器來監測電漿及其他製程特性。此類監測器包含但不限制為紅外線(IR)監測器、聲學監測器及壓力傳感器。
在某些實施例中,電漿係藉由輸入/輸出控制(IOC)時序指令來加以控制。例如,用以設定電漿製程步驟之電漿條件的指令可被包含在CFD製程配方的對應電漿活化配方步驟中。在某些實施例中,可依序配置製程配方步驟,俾使CFD製程步驟用的所有指令皆與該製程步驟同步執行。應瞭解,電漿生成的某些態樣可能會具有能延長電漿製程階段的特徵暫態及/或穩定時間。換言之,此類時間延遲可以是可預測的。此類時間延遲可包含在特定功率設定下轟擊電漿的時間以及穩定電漿的時間。
在某些實施例中,基座509的溫度可藉由加熱器511或其他適合的設備加以控制。又,在某些實施例中,CFD製程站500的壓力控制可藉由共用前管線閥件510的節流元件來加以提供,如位於共用前管線508上的蝶形閥。亦可在共用前管線閥件510中提供閉鎖元件,如閘閥或其他機械密封閥。如圖5中所示,共用前管線閥件510中的節流元件乃調節下游真空泵浦(未顯示)所提供的真空,類似於圖1中所示,下游真空泵浦分別連接至分別泵抽系統的第一前管線512與第二前管線514。然而在某些實施例中,亦可藉著改變被導入CFD製程站500之一或多種氣體的進入流量來調整製程站500的壓力控制。
再參考圖5,在用以沈積SiN之CFD文義的一實例中,晶圓可被暴露至一反應物(前驅物)A(例如第三丁基胺),然後藉由第一前管線512來吹淨反應室中的反應物A。接著晶圓可被暴露至反應物(前驅物)B(例如SiCl2H2),然後藉由第二前管線514來吹淨反應室中的反應物B。即便沈積100Å的SiN也會因為反應物A與B的混合而造成共用前管線中的鹽類實質累積。藉著提供複數(n>1)的真空前管線(例如第一前管線512與第二前管線514以及相關的分離真空泵浦(未顯示,但可見圖1的結構)),可實質上減少或消除在排放系統中形成反應產物的問題並避免藉此所增加的操作成本。在許多較佳的實施例中,針對兩種反應物沈積化學,n=2。
本發明人利用高速、非接觸式節流閥件作為前管線閥件,以在代表性的ALD製程設備上施行原型分別泵抽系統。雖然在安裝了分別泵抽系統之前,代表性的ALD製程設備每幾天就必須要清除排放管線 中的累積反應產物,但安裝了分別泵抽系統後的代表性ALD製程設備已經操作了約9個月而毋需停機清理排放管線。
如上所述,一或多個製程站可被包含於一個多站處理工具中。圖6的概圖顯示了多站處理工具600,其具有載入加載室602與載出加載室604,此兩加載室中的任一者或兩者可包含遠端電漿源。機械手臂606係用以在大氣壓力下將晶圓自經由晶圓盒608所載帶晶舟而藉由大氣接口610移動至載入加載室602中。機械手臂606將晶圓放置到載入加載室602中的基座612上,大氣接口610關閉,然後排空加載室。當載入加載室602包含遠端電漿源時,在將晶圓導入處理室614之前,晶圓可能會被暴露至加載室的遠端電漿處理。又,亦可在載入加載室602中加熱晶圓,以例如移除水氣與被吸附的氣體。接著,開啟通往處理室614的腔室傳送接口616,另一機械手臂(未顯示)將晶圓放到反應器中而位於反應器中所示之第一站的基座上以進行處理。雖然圖6中所示的結構包含加載室,但應瞭解,在某些實施例中,可直接將晶圓置入製程站中。
所示的處理室614包含四個製程站,在圖6的實施例中被編號為1至4。每一站具有經加熱的基座(在站1中顯示為618)及氣體管線入口。應瞭解在某些實施例中,每一製程站可具有不同或複數個用途。例如,在某些實施例中,一製程站可在CFD與PECVD處理模式之間切換。額外或或者,在某些實施例中,處理室614可包含一或多個匹配成對的CFD與PECVD製程站。雖然所示的處理室614包含四站,但應瞭解,根據本發明的處理室可具有任何適當數目的站點。例如,在某些實施例中,一處理室可具有五個或更多的站點,但在其他實施例中,一處理室可具有三個或更少的站點。
圖6亦顯示了用以在處理室614內傳送晶圓用之晶圓處理系統690。在某些實施例中,晶圓處理系統690可用以在各個製程站之間傳送晶圓及/或在製程站與加載室之間傳送晶圓。應明白,可使用任何適當的晶圓處理系統。非限制性的實例包含晶圓圓盤傳送帶及晶圓傳送手臂。圖6亦顯示,用以控制處理工具600之處理條件與硬體狀態的系統控制器650。系統控制器650可包含一或多個記憶體裝置656、一或多個大量儲存裝置654及一或多個處理器652。處理器652可包含CPU或電腦、 類比及/或數位的輸入/輸出連接、步進馬達控制器板等。
在某些實施例中,系統控制器650控制了處理工具600的所有活動。系統控制器650執行系統控制軟體658,軟體658係儲存在大量儲存裝置654中、被載入記憶體元件656中並在處理器652上執行。系統控制軟體658可包含用以控制時序、氣體混合物、腔室及/或站之壓力、腔室及/或站之溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾頭及/或承熱器位置及處理工具600所進行之特定製程的其他參數。系統控制軟體658可以任何適當的方式來加以配置。例如,可寫各種處理工具元件的副程式或控制物件以控制進行各種處理工具製程所需之處理工具元件的操作。可以任何適當的電腦可讀程式語言來編碼系統控制軟體658。
在某些實施例中,系統控制軟體658可包含用以控制各種上述參數的輸入/輸出控制(IOC)時序指令。例如,CFD處理的每一步驟可包含一或多個由系統控制器650所執行的指令。在對應的CFD配方步驟中可包含用以設定CFD處理步驟之處理條件的指令。在某些實施例中,可依序配置CFD配方步驟,俾以在該處理步驟進行時同時執行CFD處理步驟所用的所有指令。
在某些實施例中可使用儲存在大量儲存裝置654及/或與系統控制器650相關之記憶體裝置656中的其他電腦軟體及/或程式。此目的所用之程式或部分程式的實例包含了基板放置程式、處理氣體控制程式、壓力控制程式、加熱器控制程式及電漿控制程式。
基板放置程式可包含處理工具元件用的程式碼,以將基板載入基座618上並控制基板及處理工具600之其他部件之間的間距。
處理氣體控制程式可包含用以控制氣體組成與流量的程式碼,此程式選擇性地用以控制在沈積前流入一或多個製程站之氣體以穩定製程站中的壓力。壓力控制程式可包含藉著調整例如製程站之排放系統中之調節閥、流入製程站之氣流及尤其針對本發明如上述經由分離排放管線流出製程站之氣體流等而控制製程站中的壓力的程式碼。
加熱器控制程式可包含用以控制用以加熱基板之加熱單元之電流的程式碼。或者,該加熱器控制程式可控制輸送至基板的熱傳導氣體(如氦氣)。
電漿控制程式可包含用以設定被供應至一或多個製程站之處理電極之RF功率位準的程式碼。
在某些實施例中,可有與系統控制器650相關的使用者介面。使用者介面可包含顯示螢幕、設備及/或處理條件的圖形化軟體顯示、及使用者輸入裝置如點選裝置、鍵盤、觸控螢幕、麥克風等。
在某些實施例中,藉由系統控制器650所調整的參數可關於處理條件。非限制性的實例包含處理氣體的組成與流量、溫度、壓力、電漿條件(如RF偏壓功率位準)、壓力、溫度等。可將此些參數以配方的形式提供予使用者,配方可利用使用者介面來加以輸入。
可藉由系統控制器650之類比及/或數位輸入連接,自各種處理工具感測器提供用以監測處理的訊號。可在處理工具600的類比與數位輸出連接上輸出用以控制處理的訊號。可監控之處理工具感測器的非限制性實例包含了流量控制器、壓力感測器(如壓力計)、熱耦等。經適當程式化的回饋與控制演算法可與來自此些感測器的數據一起使用以維持處理條件。
系統控制器650可提供用以施行上述沈積處理的程式指令。該程式指令可控制各種處理參數如DC功率位準、RF偏壓功率位準、壓力、溫度等。該指令可控制參數以根據本文中所述的各種實施例來操作薄膜堆疊的原位沈積。
系統控制器通常包含一或多個記憶體裝置以及用以執行指令使設備施行根據本發明之方法的一或多個處理器。機器可讀媒體可耦合至系統控制器,機器可讀媒體包含用以控制根據本發明之製程操作的指令。
文中的所述的設備/方法可與例如用以製造或生產半導體元件、顯示器、LED、光伏面板等的微影圖案化設備如步進機或處理一起使用。一般而言,雖然沒有必要,但此類設備/處理將會在共同的製造廠務系統中一起使用或進行。薄膜的微影圖案化通常包含下列步驟的某些或全部,每一步驟可由複數可能的設備所達成:(1)利用旋塗或噴塗設備,在工作件、即基板上施加光阻;(2)利用熱板或爐管或UV固化設備來固化光阻;(3)利用設備如晶圓步進設備,將光阻暴露至可見光或UV光或X射線; (4)對光阻顯影俾以選擇性地移除光阻,藉此利用濕式槽來圖案化光阻;(5)利用乾式或電漿輔助蝕刻設備,將光阻圖案轉移至下層的薄膜或工作件;及(6)利用設備如RF或微波電漿光阻剝除設備來移除光阻。在一實施例中,利用本文中所述之方法來形成SiN薄膜。此SiN薄膜係用於本文中所述之目的的一者。又,該方法可包含上述步驟(1)-(6)中的一或多者。
雖然在本文中所討論的許多實例包含兩種反應物(A與B),但應瞭解,在本發明的範疇內可使用任何適當數目的反應物。在某些實施例中,可使用單一反應物以及針對表面反應提供電漿能量的惰性氣體。或者,某些實施例可使用複數反應物來沈積薄膜。例如,在某些實施例中,氮化矽薄膜可藉由下列者的反應所形成:含矽反應物及一或多種含氮反應物,或是一或多種含矽反應物及單一含氮反應物,或是一種以上的含矽反應物與一種以上的含氮反應物。
雖然為了清楚瞭解的目的在前面已詳細地說明了本發明,但應瞭解,在本發明的範疇內可進行特定的變化與修改。應注意,有許多替代方式來進行本發明之方法、製程、系統及設備。因此,文中的實施例應被視為是說明性而非限制性的,本發明並不限於文中所述的細節。
100‧‧‧處理模組
102‧‧‧反應室
104‧‧‧第一處理氣體供給源
106‧‧‧第二處理氣體供給源
108‧‧‧共用前管線
110‧‧‧共用閥件
112‧‧‧第一前管線
114‧‧‧第二前管線
116‧‧‧第一前管線閥件
118‧‧‧第二前管線閥件
120‧‧‧第一泵浦
122‧‧‧第二泵浦
128‧‧‧第一排放管線
130‧‧‧第二排放管線
132‧‧‧減排系統
134‧‧‧排放管
136‧‧‧排放洗滌裝置

Claims (23)

  1. 一種半導體製程操作用的設備,包含:反應室;第一前管線,與該反應室流體連接;及第二前管線,與該反應室流體連接,其中該第一前管線係用以自該反應室排放第一處理氣體,該第二前管線係用以自該反應室排放第二處理氣體。
  2. 如申請專利範圍第1項之半導體製程操作用的設備,其中該第一前管線與該第二前管線皆在進入該反應室之任何處理氣體進入接口的下游處與該反應室流體連接。
  3. 如申請專利範圍第1項之半導體製程操作用的設備,其中該第一前管線與該第二前管線藉由分離的接口與該反應室流體連接。
  4. 如申請專利範圍第1項之半導體製程操作用的設備,更包含:共用前管線,將該第一前管線與該第二前管線流體連接至該反應室,該共用前管線係位於該第一前管線與該第二前管線的上游處。
  5. 如申請專利範圍第4項之半導體製程操作用的設備,更包含:共用閥件,用以調節該共用前管線的流體流,該共用閥件係位於該反應室與該第一前管線之間以及該反應室與該第二前管線之間。
  6. 如申請專利範圍第6項之半導體製程操作用的設備,其中該共用閥件包含節流元件及關斷元件。
  7. 如申請專利範圍第1項之半導體製程操作用的設備,更包含:第一閥,位於該第一前管線上並用以調節流過該第一前管線的流體流;及第二閥,位於該第二前管線上並用以調節流過該第二前管線的流體流。
  8. 如申請專利範圍第7項之半導體製程操作用的設備,其中該第一閥及該第二閥皆為非密封高速節流閥。
  9. 如申請專利範圍第8項之半導體製程操作用的設備,其中該非密封高速節流閥自1大氣壓至真空具有小於1秒的致動速度及小於1000sccm的滲漏率。
  10. 如申請專利範圍第7項之半導體製程操作用的設備,其中該第一閥與該第二閥皆為機械密封高速閥。
  11. 如申請專利範圍第7項之半導體製程操作用的設備,更包含:第一真空泵浦,具有流體連接至該第一前管線的第一抽吸入口;及第二真空泵浦,具有流體連接至該第二前管線的第二抽吸入口。
  12. 如申請專利範圍第11項之半導體製程操作用的設備,其中:該第一真空泵浦與該第二真空泵浦具有實質上類似的效能特性,且該第一前管線與該第二前管線具有實質上相同的長度與直徑。
  13. 如申請專利範圍第11項之半導體製程操作用的設備,更包含:第一排放管線,與該第一真空泵浦的第一排放出口以及一減排系統流體連接;及第二排放管線,與該第二真空泵浦的第二排放出口以及該減排系統流體連接。
  14. 如申請專利範圍第13項之半導體製程操作用的設備,更包含該減排系統。
  15. 如申請專利範圍第1項之半導體製程操作用的設備,更包含:第三前管線,與該反應室流體連接,其中該第三前管線係用以自該反 應室排放第三處理氣體,該第三處理氣體係不同於該第一處理氣體與該第二處理氣體。
  16. 如申請專利範圍第15項之半導體製程操作用的設備,其中該第三前管線在進入該反應室之任何處理氣體進入接口的下游處與該反應室流體連接。
  17. 如申請專利範圍第7項之半導體製程操作用的設備,更包含:控制器,其包含一或多個處理器及一或多個記憶體,其中:該一或多個處理器與該第一閥與該第二閥通訊連接,且該一或多個記憶體儲存用以控制該一或多個處理器去執行下列步驟的電腦可執行指令:接收指示該第一處理氣體正在流入該反應室中的第一數據;控制該第一閥件為開放狀態並控制該第二閥件為實質關閉狀態以回應所接收的該第一數據;接收指示該第二處理氣體正在流入該反應室中的第二數據;及控制該第二閥件為開放狀態並控制該第一閥件為實質關閉狀態以回應所接收的該第二數據。
  18. 如申請專利範圍第15項之半導體製程操作用的設備,其中該一或多個記憶體更儲存用以控制該一或多個處理器去執行下列步驟的進一步之電腦可執行指令:接收指示吹淨氣體正流入該反應室以自該反應室吹淨該第一處理氣體的第三數據;控制該第一閥件為開放狀態並控制該第二閥件為實質關閉狀態以回應所接收的該第三數據;接收指示吹淨氣體正流入該反應室以自該反應室吹淨該第二處理氣體的第四數據;及控制該第二閥件為開放狀態並控制該第一閥件為實質關閉狀態以回應所接收的該第四數據。
  19. 一種系統,包含如申請專利範圍第1項之半導體製程操作用的設備以及一步進設備。
  20. 一種半導體製造處理方法,此方法包含下列步驟:a)將第一處理氣體供給至反應室中的晶圓反應區域;b)藉著進行第一吹淨操作來吹淨該晶圓反應區域中的該第一處理氣體;c)在步驟(b)期間在第一前管線上抽取真空,此第一前管線與該反應室中之任何處理氣體進入接口流體連接且位於下游;d)將第二處理氣體供給至該晶圓反應區域;e)藉著進行第二吹淨操作來吹淨該晶圓反應區域中的該第二處理氣體;及f)在步驟(e)期間在第二前管線上抽取真空,此第二前管線與該反應室中之任何處理氣體進入接口流體連接且位於下游,該第二前管線與該第一前管線分離俾使氣體位於該第一前管線與該第二前管線之中時,該第一前管線中的氣體不與該第二前管線中的氣體混合。
  21. 如申請專利範圍第20項之半導體製造處理方法,更包含重覆步驟(a)至(f)一或多次。
  22. 如申請專利範圍第20項之半導體製造處理方法,其步驟更包含:g)在步驟(a)期間在該第一前管線上抽取真空;及h)在步驟(d)期間在該第二前管線上抽取真空。
  23. 如申請專利範圍第22項之半導體製造處理方法,更包含重覆步驟(a)至(h)一或多次。
TW102108286A 2012-03-09 2013-03-08 分別泵抽方法、設備及系統 TW201400639A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261609199P 2012-03-09 2012-03-09
US13/783,059 US20130237063A1 (en) 2012-03-09 2013-03-01 Split pumping method, apparatus, and system

Publications (1)

Publication Number Publication Date
TW201400639A true TW201400639A (zh) 2014-01-01

Family

ID=49114497

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102108286A TW201400639A (zh) 2012-03-09 2013-03-08 分別泵抽方法、設備及系統

Country Status (5)

Country Link
US (1) US20130237063A1 (zh)
KR (1) KR102098416B1 (zh)
CN (1) CN104160481A (zh)
TW (1) TW201400639A (zh)
WO (1) WO2013134151A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762675B (zh) * 2017-07-06 2022-05-01 英商愛德華有限公司 泵送線配置及其相關之改良

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014105294A1 (de) 2014-04-14 2015-10-15 Aixtron Se Vorrichtung und Verfahren zur Abgasreinigung an einem CVD-Reaktor
KR102308139B1 (ko) * 2014-12-11 2021-10-05 세메스 주식회사 기판 처리 장치 및 배기 방법
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
CN105088192B (zh) * 2015-08-12 2018-01-26 上海华力微电子有限公司 多管路液体气化反应成膜设备气流控制方法
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
TWI788183B (zh) * 2022-01-07 2022-12-21 財團法人工業技術研究院 尾氣分流系統及方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5462080A (en) * 1993-08-31 1995-10-31 Applied Materials, Inc. Heated removable throttle valve
JP3246708B2 (ja) * 1995-05-02 2002-01-15 東京エレクトロン株式会社 トラップ装置及びこれを用いた未反応処理ガス排気機構
KR970051802A (ko) * 1995-12-05 1997-07-29 김광호 배기물 처리장치 및 이 장치를 이용하는 반도체장치의 배기물 처리방법
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5997589A (en) * 1998-07-09 1999-12-07 Winbond Electronics Corp. Adjustment pumping plate design for the chamber of semiconductor equipment
AU6336700A (en) * 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
US6293306B1 (en) * 1999-07-09 2001-09-25 Arthur Brenes Throttle gate valve
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6605176B2 (en) * 2001-07-13 2003-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture for linear control of vacuum chamber pressure
JP4099092B2 (ja) * 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
KR100498467B1 (ko) * 2002-12-05 2005-07-01 삼성전자주식회사 배기 경로에서의 파우더 생성을 방지할 수 있는 원자층증착 장비
US6843264B2 (en) * 2002-12-18 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-phase pressure control valve for process chamber
JP4734231B2 (ja) * 2003-03-14 2011-07-27 アイクストロン・インコーポレーテッド 原子層堆積のサイクル時間改善のための方法と装置
GB0322602D0 (en) * 2003-09-26 2003-10-29 Boc Group Inc Vent-run gas switching systems
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
TW200527491A (en) * 2003-12-23 2005-08-16 John C Schumacher Exhaust conditioning system for semiconductor reactor
CN100449708C (zh) * 2004-05-27 2009-01-07 东京毅力科创株式会社 基板处理装置
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
GB0607616D0 (en) * 2006-04-18 2006-05-31 Boc Group Plc Vacuum pumping system
KR100967881B1 (ko) * 2007-07-30 2010-07-05 주식회사 아이피에스 박막증착장치
WO2009017322A1 (en) * 2007-07-30 2009-02-05 Ips Ltd. Reactor for depositing thin film on wafer
KR20110130535A (ko) * 2007-10-31 2011-12-05 도쿄엘렉트론가부시키가이샤 플라즈마 처리 시스템 및 플라즈마 처리 방법
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP2010161150A (ja) * 2009-01-07 2010-07-22 Shimadzu Corp ガス排気ライン切り換え機構およびガス排気ライン切り換え方法
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101084311B1 (ko) * 2009-11-23 2011-11-16 주식회사 케이씨텍 원자층 증착장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762675B (zh) * 2017-07-06 2022-05-01 英商愛德華有限公司 泵送線配置及其相關之改良
US11437248B2 (en) 2017-07-06 2022-09-06 Edwards Limited To pumping line arrangements

Also Published As

Publication number Publication date
WO2013134151A1 (en) 2013-09-12
US20130237063A1 (en) 2013-09-12
KR20140133608A (ko) 2014-11-19
KR102098416B1 (ko) 2020-04-08
CN104160481A (zh) 2014-11-19

Similar Documents

Publication Publication Date Title
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10903071B2 (en) Selective deposition of silicon oxide
US10998187B2 (en) Selective deposition with atomic layer etch reset
TW201400639A (zh) 分別泵抽方法、設備及系統
TWI695082B (zh) 無氨無氯保形氮化矽膜的沉積方法
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
US10454029B2 (en) Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10494715B2 (en) Atomic layer clean for removal of photoresist patterning scum
TW202113142A (zh) 原子層沉積期間之膜特性的原位控制
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
TW202042289A (zh) 調變之原子層沉積
US11823909B2 (en) Selective processing with etch residue-based inhibitors
US20210395885A1 (en) Throughput improvement with interval conditioning purging
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping
US20230066676A1 (en) Core removal
TWI794318B (zh) 增加反應器處理批量大小的方法和設備