KR20110130535A - 플라즈마 처리 시스템 및 플라즈마 처리 방법 - Google Patents
플라즈마 처리 시스템 및 플라즈마 처리 방법 Download PDFInfo
- Publication number
- KR20110130535A KR20110130535A KR1020117027531A KR20117027531A KR20110130535A KR 20110130535 A KR20110130535 A KR 20110130535A KR 1020117027531 A KR1020117027531 A KR 1020117027531A KR 20117027531 A KR20117027531 A KR 20117027531A KR 20110130535 A KR20110130535 A KR 20110130535A
- Authority
- KR
- South Korea
- Prior art keywords
- gas
- plasma
- film
- processing
- exhaust
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 296
- 238000003672 processing method Methods 0.000 title claims description 6
- 239000007789 gas Substances 0.000 claims abstract description 606
- 238000005530 etching Methods 0.000 claims abstract description 49
- 239000000203 mixture Substances 0.000 claims abstract description 21
- 239000000758 substrate Substances 0.000 claims description 71
- 239000011261 inert gas Substances 0.000 claims description 3
- 239000010408 film Substances 0.000 description 219
- 238000000034 method Methods 0.000 description 130
- 230000008569 process Effects 0.000 description 127
- 238000011084 recovery Methods 0.000 description 27
- 238000005538 encapsulation Methods 0.000 description 22
- 229910052751 metal Inorganic materials 0.000 description 19
- 239000002184 metal Substances 0.000 description 19
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 18
- 230000005284 excitation Effects 0.000 description 17
- 230000001681 protective effect Effects 0.000 description 15
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 15
- 238000009792 diffusion process Methods 0.000 description 13
- 230000015572 biosynthetic process Effects 0.000 description 12
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 10
- 229910004298 SiO 2 Inorganic materials 0.000 description 10
- 230000007797 corrosion Effects 0.000 description 10
- 238000005260 corrosion Methods 0.000 description 10
- 230000005855 radiation Effects 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 9
- 239000007795 chemical reaction product Substances 0.000 description 8
- 239000011810 insulating material Substances 0.000 description 8
- 239000012528 membrane Substances 0.000 description 7
- 239000002912 waste gas Substances 0.000 description 7
- 239000004020 conductor Substances 0.000 description 6
- 239000002826 coolant Substances 0.000 description 6
- 238000012937 correction Methods 0.000 description 6
- 239000003507 refrigerant Substances 0.000 description 6
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 229910052759 nickel Inorganic materials 0.000 description 5
- 230000010355 oscillation Effects 0.000 description 5
- 238000009832 plasma treatment Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 4
- 230000009471 action Effects 0.000 description 4
- 238000004380 ashing Methods 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 150000004678 hydrides Chemical class 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 230000000644 propagated effect Effects 0.000 description 4
- 238000007789 sealing Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 230000003197 catalytic effect Effects 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000005684 electric field Effects 0.000 description 3
- 239000011491 glass wool Substances 0.000 description 3
- 229910052743 krypton Inorganic materials 0.000 description 3
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 3
- 238000001755 magnetron sputter deposition Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 238000011144 upstream manufacturing Methods 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- 229910052724 xenon Inorganic materials 0.000 description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 3
- 229910000838 Al alloy Inorganic materials 0.000 description 2
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 2
- 238000011835 investigation Methods 0.000 description 2
- 239000004973 liquid crystal related substance Substances 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 150000003839 salts Chemical class 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical group [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 238000007743 anodising Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 description 1
- 239000004327 boric acid Substances 0.000 description 1
- 230000003139 buffering effect Effects 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- BVKZGUZCCUSVTD-UHFFFAOYSA-N carbonic acid Chemical compound OC(O)=O BVKZGUZCCUSVTD-UHFFFAOYSA-N 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 229920006026 co-polymeric resin Polymers 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000010668 complexation reaction Methods 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000000314 lubricant Substances 0.000 description 1
- 239000010687 lubricating oil Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- -1 perfluoro compound Chemical class 0.000 description 1
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 239000003566 sealing material Substances 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45561—Gas plumbing upstream of the reaction chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Physics & Mathematics (AREA)
- General Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
플라즈마 처리 시스템은, 복수의 막을 성막 또는 에칭하는 플라즈마 처리 장치와, 복수의 막을 성막 또는 에칭하기 위해 필요한 모든 가스를 공급하는 가스 공급원을 갖고 있다. 그리고, 제어 장치에 의해, 복수의 막의 각 막을 성막 또는 에칭하기 위해 필요한 가스가, 가스 공급원으로부터 가스 배관을 지나, 선택적으로 플라즈마 처리 장치 내에 공급된다. 이에 따라, 하나의 플라즈마 처리 장치 내에서 상이한 조성의 복수의 막을 성막 또는 에칭할 수 있다.
Description
본 발명은, 상이한 조성의 복수의 막을 성막 또는 에칭하는 플라즈마 처리 시스템 및 플라즈마 처리 방법에 관한 것이다.
예를 들면 반도체 제조 장치나 액정 디스플레이 제조 장치의 제조 프로세스에 있어서는, 마이크로파를 이용하여 처리실 내에 플라즈마를 발생시켜, 기판에 대하여 성막 처리나 에칭 처리 등을 행하는 플라즈마 처리가 행해진다.
이러한 플라즈마 처리에 있어서, 예를 들면 상이한 조성의 복수의 막을 성막 또는 에칭하는 경우, 종래로부터, 프로세스의 일관화, 연결화 혹은 복합화를 도모하기 위해 복수의 프로세스 모듈을 주(主)반송실의 주위에 배치하는 멀티 챔버 장치, 소위 클러스터 툴(cluster tool)이 이용되고 있다.
예를 들면, 박막 형성 가공용 클러스터 툴은, 각 프로세스 모듈의 처리 용기뿐만 아니라 주반송실도 진공으로 유지하고, 주반송실에 게이트 밸브를 통하여 로드록 모듈을 연결한다. 기판은 대기압하에서 로드록 모듈로 반입되고, 그 후 감압 상태로 전환된 로드록 모듈로부터 주반송실로 취출된다. 주반송실에 설치되어 있는 반송 기구는, 로드록 모듈로부터 취출한 기판을 첫 번째 프로세스 모듈로 반입한다. 이 프로세스 모듈은, 미리 설정된 레시피에 따라 제1 공정의 처리(예를 들면 제1층의 성막 처리)를 실시한다. 이 제1 공정의 처리가 종료되면, 주반송실의 반송 기구는 기판을 첫 번째 프로세스 모듈로부터 반출하고, 다음으로 두 번째 프로세스 모듈로 반입한다. 이 두 번째 프로세스 모듈에서도, 미리 설정된 레시피에 따라 제2 공정의 처리(예를 들면 제2층의 성막 처리)를 실시한다. 이 제2 공정의 처리가 종료되면, 주반송실의 반송 기구는 기판을 두 번째 프로세스 모듈로부터 반출하여, 다음 공정이 있을 때는 세 번째 프로세스 모듈로 반입하고, 다음 공정이 없을 때는 로드록 모듈로 되돌려 보낸다. 세 번째 이후의 프로세스 모듈에서 처리가 행해진 경우도, 그 후에 다음 공정이 있을 때는 후단의 프로세스 모듈로 반입하고, 다음 공정이 없을 때는 로드록 모듈로 되돌려보낸다.
이렇게 하여 프로세스 모듈에 의한 일련의 처리를 마친 기판이 로드록 모듈로 반입되면, 로드록 모듈은 감압 상태로부터 대기압 상태로 전환되고, 주반송 실과는 반대측의 기판 출입구로부터 반출된다.
이와 같이, 클러스터 툴에 있어서, 1군의 기판을 하나씩 진공 분위기 중에서 복수의 프로세스 모듈에 순차 반송하여 일련의 처리, 예를 들면 복수의 막의 성막 처리나 에칭 처리 등의 플라즈마 처리가 연속하여 실시된다(특허문헌 1).
그러나, 이와 같이 복수의 막의 성막 처리나 에칭 처리 등의 플라즈마 처리를 연속하여 행할 때에, 종래의 클러스터 툴을 이용하면, 박막을 성막 처리나 에칭 처리하기 위해 각 막마다, 하나의 프로세스 모듈로부터 기판을 취출하여, 다른 프로세스 모듈로 반송할 필요가 있다. 그 때문에, 각 프로세스 모듈로 기판을 반송하는 시간이 걸려, 기판의 플라즈마 처리의 스루풋(throughput)에 개선의 여지가 있었다. 또한, 복수의 프로세스 모듈이나 주반송실이 필요해지기 때문에, 기판의 처리 장치의 점유 면적이 컸다.
본 발명은, 이러한 점을 감안하여 이루어진 것으로, 상이한 조성의 복수의 막을 성막 또는 에칭할 때에, 점유 면적이 작은 처리 장치를 이용하여, 기판의 플라즈마 처리의 스루풋을 향상시키는 것을 목적으로 한다.
상기의 목적을 달성하기 위해, 본 발명은, 상이한 조성의 복수의 막을 성막 또는 에칭하는 플라즈마 처리 시스템으로서, 고주파의 공급에 의해 발생한 플라즈마에 의해, 기판에 상기 복수의 막의 성막을 행하거나, 또는 기판 상의 상기 복수의 막을 에칭하는 플라즈마 처리 장치와, 상기 플라즈마 처리 장치 내에 상기 복수의 막을 성막 또는 에칭하기 위해 필요한 모든 가스를 공급하는 가스 공급원과, 상기 가스 공급원으로부터 상기 플라즈마 처리 장치로 상기 모든 가스를 따로따로 도입하는 복수의 가스 배관과, 상기 플라즈마 처리 장치 내에서 발생하는 배기 가스를 배기하는 배기 장치와, 상기 가스 공급원으로부터, 상기 복수의 막의 각 막을 성막 또는 에칭하기 위해 필요한 가스를 상기 각 가스 배관을 통하여 선택적으로 상기 플라즈마 처리 장치 내에 공급하는 제어 장치를 구비하는 것을 특징으로 하고 있다.
본 발명에 의하면, 가스 공급원으로부터, 플라즈마 처리 장치 내에 복수의 막을 성막 또는 에칭하기 위해 필요한 모든 가스를 공급할 수 있고, 그리고, 제어 장치에 의해, 가스 공급원으로부터 복수의 막 중 하나의 막을 성막 또는 에칭하기 위해 필요한 가스를 선택적으로 플라즈마 처리 장치 내에 공급할 수 있기 때문에, 하나의 플라즈마 처리 장치 내에서 상이한 조성의 복수의 막을 성막 또는 에칭할 수 있다. 이에 따라, 종래의 클러스터 툴과 같이 각 막의 성막이나 에칭마다 각 프로세스 모듈로 반송할 필요가 없어, 기판의 플라즈마 처리의 스루풋을 향상시킬 수 있다. 또한, 클러스터 툴에 있었던 복수의 프로세스 모듈이나 주반송실이 불필요해지기 때문에, 상이한 조성의 복수의 막을 성막 또는 에칭할 때의 처리 장치(처리 시스템)의 점유 면적을 작게 할 수 있다.
상기 제어 장치는, 상기 플라즈마 처리 장치 내에 공급하는 가스의 유량을 제어하는 유량 제어 장치를 포함하고, 상기 유량 제어 장치는, 상기 플라즈마 처리 장치에 공급되는 가스의 압력을 측정하여, 측정된 압력에 기초하여 공급 유량을 제어하는 것이 바람직하다. 이에 따라, 적절한 유량, 적절한 가스 조성의 처리 가스를 상시 플라즈마 처리 장치 내에 공급할 수 있다.
상기 플라즈마 처리 장치는, 기판을 수용하여 처리하는 처리 용기와, 상기 처리 용기 내에 있어서 기판을 올려놓는 재치부(holding unit)와, 상기 재치부에 올려놓여진 기판에 대향하는 위치에 형성되어, 상기 처리 용기 내에 플라즈마 발생용 고주파를 2차원적으로 균일하게 공급하는 고주파 공급부와, 상기 고주파 공급부와 상기 재치부와의 사이에 형성되어, 상기 고주파 공급부에서 상기 재치부까지의 영역을 상기 고주파 공급부측의 영역과 상기 재치부측의 영역으로 구획하는 판 형상의 구조체와, 상기 고주파 공급부의 하부로서, 상기 구조체의 상면에 대향하는 위치에 형성되어, 상기 고주파 공급부측의 영역에 플라즈마를 여기(excite)하기 위한 가스를 2차원적으로 균일하게 공급하는 플라즈마 가스 공급부와, 상기 복수의 가스 배관으로부터 상기 플라즈마 가스 공급부 및 상기 구조체에 가스를 공급하는 가스 공급로를 갖고, 상기 구조체에는, 상기 재치부측의 영역에 상기 성막 또는 에칭을 위한 처리 가스를 2차원적으로 균일하게 공급하는 복수의 처리 가스 공급구와, 상기 고주파 공급부측의 영역에서 2차원적으로 균일하게 생성된 플라즈마가 상기 재치부측의 영역으로 통과하게 하는 복수의 개구부가 형성되어 있는 것이 바람직하다. 이러한 경우, 고주파가 재치부측의 영역에 진입하는 것을 억제할 수 있다. 또한, 처리 가스는 구조체의 처리 가스 공급구로부터 재치부측의 영역에 균일하게 공급되기 때문에, 처리 가스가 고주파 공급부측의 영역으로 되돌아가거나, 처리 용기의 벽면에 퇴적하지 않고, 재치부측의 영역 내에서 균일한 가스의 흐름을 실현할 수 있다. 또한, 「플라즈마 가스」란, 플라즈마를 여기하기 위해 이용되는 가스를 말한다.
상기 처리 용기의 내표면에는, 물 분자를 포함하지 않고 핀홀 보이드(pinhole void)가 없어, 플라즈마 가스 및 처리 가스에 대하여 내식성(corrosion resistance)을 갖는 가스 보호막이 형성되어 있는 것이 바람직하다. 이와 같이 플라즈마 가스 및 처리 가스에 대하여 내식성을 갖는 가스 보호막은, 물 분자를 포함하지 않기 때문에, 물 분자가 처리 용기 내의 가스와 반응하여 반응 생성물을 발생시키는 것을 억제할 수 있다. 그리고 발명자들이 조사한 결과, 이러한 가스 보호막으로서는, 예를 들면 Al2O3막(산화 알루미늄막)이 적절한 것을 알 수 있었다. 또한, 이러한 가스 보호막은, 예를 들면 100℃∼200℃의 고온에서도 견딜 수 있다.
상기 처리 용기의 내표면은, 100℃∼200℃로 가열되는 것이 바람직하다. 이와 같이 처리 용기의 내표면을 100℃∼200℃의 고온으로 함으로써, 처리 용기 내에서 발생한 반응 생성물이 처리 용기의 내표면에 퇴적하는 것을 억제할 수 있다. 또한, 이 가열된 온도를 유지하기 위해, 처리 용기의 외표면에 단열재를 형성해도 좋고, 이에 따라 처리 용기의 내표면의 열이 외부로 달아나는 일이 없어, 에너지 절약을 촉진할 수 있다.
상기 고주파 공급부로부터 공급되는 고주파의 주파수는, 915MHz, 2.45GHz 혹은 450MHz 중 어느 하나인 것이 바람직하다. 발명자들이 조사한 결과, 이들 주파수의 고주파를 공급하면, 처리 용기 내의 처리 가스의 종류, 압력, 조성 농도에 관계없이, 처리 용기 내에 균일한 플라즈마가 안정되게 발생하는 것을 알 수 있었다.
상기 배기 장치의 내부의 압력은, 입구측으로부터 출구측으로 감에 따라 연속적으로 상승하고 있는 것이 바람직하다. 이에 따라, 압력이 급변하는 것에 의한 반응 생성물의 발생을 억제할 수 있다.
상기 배기 장치의 입구측과 출구측의 배기 가스의 압력의 비(比)는 10000 이상이고, 그리고, 출구측의 배기 가스의 압력은 0.4kPa∼4.0kPa(3Torr∼30Torr)인 것이 바람직하다. 이와 같이 배기 장치의 출구측의 배기 가스의 압력을 높일 수 있기 때문에, 출구측에 접속된 배기관의 지름을 작게 할 수 있다.
상기 배기 장치는, 1단 또는 직렬로 접속된 2단의 진공 펌프를 포함하고, 상기 각 단의 진공 펌프는, 각각 1개 또는 병렬로 복수 배치되고, 상기 배기 장치의 출구측의 배기 가스의 흐름이 점성류인 것이 바람직하다. 이에 따라, 배기 장치의 출구측의 컨덕턴스가 향상되기 때문에, 배기 속도를 저하시키지 않고 배기 가스를 흘릴 수 있어, 상이한 종류의 배기 가스라도 동일 속도로 흘릴 수 있다. 또한, 「점성류」란, 133Pa(1Torr) 이상의 기체의 흐름을 말한다.
상기 배기 장치의 진공 펌프는 스크루(screw) 진공 펌프를 포함하고, 상기 스크루 진공 펌프는, 톱니바퀴의 비틀림 각도가 연속적으로 변화하는 맞물림 로터(rotor)와, 상기 맞물림 로터를 수납하는 케이싱을 갖고, 상기 맞물림 로터와 상기 케이싱에 의해 형성되는 작동실의 용적이, 배기 가스의 흡인측으로부터 토출측으로 진행함에 따라 연속적으로 감소하도록 구성되어 있는 것이 바람직하다. 이에 따라, 작동실이 배기 가스의 흡입 작용, 내부 압축 이송 작용, 토출 작용을 갖기 때문에, 배기 가스의 압력을 연속적으로 상승시킬 수 있어, 스크루 진공 펌프 내에서의 국부적인 압력 상승을 억제할 수 있다. 이와 같이 압력이 급변하는 부분이 없기 때문에, 반응 생성물의 발생을 억제할 수 있다.
상기 배기 장치의 진공 펌프의 내표면에는, 물 분자를 포함하지 않고 핀홀 보이드가 없어, 배기 가스에 대하여 내식성을 갖는 배기 가스 보호막이 형성되어 있는 것이 바람직하다. 이러한 배기 가스 보호막에는, 예를 들면 Al2O3막 또는 Y2O3막(산화 이트륨막)을 이용할 수 있다. 또한, 이러한 배기 가스 보호막은, 예를 들면 100℃∼200℃의 고온에도 견딜 수 있다.
상기 배기 장치의 진공 펌프의 내표면은, 100℃∼200℃로 가열되는 것이 바람직하다. 또한, 이 가열된 온도를 유지하기 위해, 배기 장치의 진공 펌프의 외표면에 단열재를 형성해도 좋다.
상기 배기 장치의 하류측에는, 상기 플라즈마 처리 장치 내에서 발생한 상이한 배기 가스를 처리하는 복수의 배기 가스 처리 장치와, 상기 복수의 배기 가스 처리 장치의 출구측에 형성된 다른 배기 장치와, 상기 배기 장치로부터 상기 각 배기 가스 처리 장치로의 배기 가스의 유입을 제어하는 복수의 제1 밸브와, 상기 각 배기 가스 처리 장치로부터 상기 다른 배기 장치로 처리 완료된 배기 가스의 유입을 제어하는 복수의 제2 밸브가 형성되고, 상기 플라즈마 처리 장치, 상기 배기 장치, 상기 제1 밸브, 상기 배기 가스 처리 장치, 상기 제2 밸브, 상기 다른 배기 장치는, 이 순서로 각각 배기관에 의해 접속되어 있는 것이 바람직하다. 이에 따라, 플라즈마 처리 장치 내에서 발생한 배기 가스를 무해한 가스로 처리할 수 있다.
상기 제1 밸브는, 100℃∼200℃의 온도의 배기 가스에 대하여 작동 가능한 것이 바람직하다.
상기 제1 밸브의 다이어프램(diaphragm)의 표면에는, PFA막(4불화 에틸렌―퍼플로로알킬비닐에테르 공중합 수지막) 또는 플루오로카본막이 형성되어 있는 것이 바람직하다. 예를 들면 밸브의 다이어프램에는 니켈을 포함하는 초(超)탄성 합금이 이용되지만, 이와 같이 다이어프램의 표면이 PFA막 또는 플루오로카본막으로 덮여 있음으로써, 니켈의 촉매 효과를 억제할 수 있다.
상기 제1 밸브와 상기 배기관의 각각의 내표면에는, 물 분자를 포함하지 않고 핀홀 보이드가 없어, 배기 가스에 대하여 내식성을 갖는 배기 가스 보호막이 형성되어 있는 것이 바람직하다. 이러한 배기 가스 보호막에는, 예를 들면 Al2O3막 또는 Y2O3막을 이용할 수 있다. 또한, 이러한 배기 가스 보호막은, 예를 들면 100℃∼200℃의 고온에도 견딜 수 있다.
상기 제1 밸브와, 상기 배기 장치로부터 상기 제1 밸브로 배기 가스를 보내는 배기관과, 상기 제1 밸브로부터 상기 배기 가스 처리 장치로 배기 가스를 보내는 배기관의 각각의 내표면은, 100℃∼200℃로 가열되는 것이 바람직하다. 또한, 이 가열된 온도를 유지하기 위해, 상기 제1 밸브와, 상기 배기 장치로부터 상기 제1 밸브로 배기 가스를 보내는 배기관과, 상기 제1 밸브로부터 상기 배기 가스 처리 장치로 배기 가스를 보내는 배기관의 각각의 외표면에 단열재를 형성해도 좋다.
상기 다른 배기 장치는, 1단 또는 직렬로 접속된 2단의 진공 펌프를 포함하고 있는 것이 바람직하다.
상기 다른 배기 장치의 하류측에는, Kr 및/또는 Xe의 회수 장치와, Kr 및/또는 Xe을 함유하는 배기 가스를 선택적으로 상기 회수 장치에 공급하는 제3 밸브가 형성되어 있는 것이 바람직하다. 이에 따라, Kr 가스(크립톤 가스) 혹은 Xe 가스(크세논 가스)를 재이용할 수 있다.
다른 관점에 의한 본 발명에 있어서는, 상이한 조성의 복수의 막을 연속하여 성막 또는 에칭하는 플라즈마 처리 방법으로서, 기판을 수용한 처리 용기 내에, 유량을 제어하면서, 상기 복수의 막 중의 제1막을 성막 또는 에칭하기 위해 필요한 가스를 선택적으로 공급하고, 상기 처리 용기 내에 고주파를 2차원적으로 균일하게 공급함으로써 2차원적으로 균일하게 플라즈마를 발생시켜, 상기 플라즈마를 이용하여 상기 제1막을 성막 또는 에칭하는 제1 공정과, 상기 복수의 막 중의 제2막을 성막 또는 에칭하기 위해 필요한 가스를 상기 처리 용기에 선택적으로 공급하고, 상기 플라즈마를 발생시켜, 상기 플라즈마를 이용하여 상기 제2막을 성막 또는 에칭하는 제2 공정을 연속하여 행하는 것을 특징으로 하고 있다.
상기 제1 공정 또는 제2 공정에 있어서, 상기 처리 용기로부터 배기 가스를 배기하여, 배기 가스를 처리하는 것이 바람직하다.
상기 제1 공정 후에, 다른 공정을 개재시키지 않고 즉시 상기 제2 공정을 행해도 좋다.
상기 제1 공정 후에, 불활성 가스를 상기 처리 용기 내에 공급하여 배기하고, 그런 후에 상기 제2 공정을 행해도 좋다.
또 다른 관점에 의한 본 발명에 있어서는, 상기의 플라즈마 처리 방법에 의해, 상이한 조성의 복수의 막을 연속 성막 또는 연속 에칭하는 공정을 포함하는 것을 특징으로 하는 전자 장치의 제조 방법이 제공된다.
상기 전자 장치는, 반도체 장치, 평면 디스플레이 장치 또는 태양 전지라도 좋다.
본 발명에 의하면, 하나의 플라즈마 처리 장치 내에서, 상이한 조성의 복수의 막을 성막 또는 에칭할 수 있다. 이에 따라, 기판을 반송하는 시간을 생략할 수 있어, 기판의 플라즈마 처리의 스루풋을 향상시킬 수 있다. 또한, 복수의 프로세스 모듈이나 주반송실이 불필요해져, 상이한 조성의 복수의 막을 성막 또는 에칭할 때의 처리 장치(처리 시스템)의 점유 면적을 작게 할 수 있다.
도 1은 본 실시 형태에 따른 플라즈마 처리 시스템의 구성의 개략을 나타내는 설명도이다.
도 2는 처리 가스 공급 구조체의 평면도이다.
도 3은 처리 가스 공급 구조체의 종단면의 일부의 확대도이다.
도 4는 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 5는 스크루 부스터(booster) 펌프의 횡단면도이다.
도 6은 스크루 부스터 펌프의 종단면도이다.
도 7은 스크루 부스터 펌프의 로터 부분의 사시도이다.
도 8은 스크루 부스터 펌프의 로터 부분의 평면도이다.
도 9는 다른 실시 형태에 따른 플라즈마 처리 시스템의 구성의 개략을 나타내는 설명도이다.
도 10은 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 11은 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 12는 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 13은 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 14는 다른 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 15는 플라즈마 처리 장치의 구성의 개략을 나타내는 설명도이다.
도 16은 실시예에 따른 각 플라즈마 처리 후의 상태를 나타낸 도면이며, 도 16(a)는 에칭 전의 상태를 나타내고, 도 16(b)는 SiCO막을 에칭한 후의 상태를 나타내고, 도 16(c)는 레지스트막을 애싱(ashing)한 후의 상태를 나타내고, 도 16(d)는 SiCN막과 CF막을 에칭한 후의 상태를 나타내고, 도 16(e)는 SiCN막을 에칭한 후의 상태를 나타내고, 도 16(f)는 CF막을 에칭한 후의 상태를 나타내고, 도 16(g)는 SiCN막을 에칭한 후의 상태를 나타내고 있다.
도 2는 처리 가스 공급 구조체의 평면도이다.
도 3은 처리 가스 공급 구조체의 종단면의 일부의 확대도이다.
도 4는 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 5는 스크루 부스터(booster) 펌프의 횡단면도이다.
도 6은 스크루 부스터 펌프의 종단면도이다.
도 7은 스크루 부스터 펌프의 로터 부분의 사시도이다.
도 8은 스크루 부스터 펌프의 로터 부분의 평면도이다.
도 9는 다른 실시 형태에 따른 플라즈마 처리 시스템의 구성의 개략을 나타내는 설명도이다.
도 10은 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 11은 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 12는 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 13은 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 14는 다른 배기 장치의 구성의 개략을 나타내는 설명도이다.
도 15는 플라즈마 처리 장치의 구성의 개략을 나타내는 설명도이다.
도 16은 실시예에 따른 각 플라즈마 처리 후의 상태를 나타낸 도면이며, 도 16(a)는 에칭 전의 상태를 나타내고, 도 16(b)는 SiCO막을 에칭한 후의 상태를 나타내고, 도 16(c)는 레지스트막을 애싱(ashing)한 후의 상태를 나타내고, 도 16(d)는 SiCN막과 CF막을 에칭한 후의 상태를 나타내고, 도 16(e)는 SiCN막을 에칭한 후의 상태를 나타내고, 도 16(f)는 CF막을 에칭한 후의 상태를 나타내고, 도 16(g)는 SiCN막을 에칭한 후의 상태를 나타내고 있다.
(발명을 실시하기 위한 최량의 형태)
이하, 본 발명의 실시 형태에 대해서 설명한다. 도 1은 플라즈마 처리의 일 예인 상이한 조성의 복수의 막의 성막 처리를 행하는 플라즈마 처리 시스템(1)의 구성의 개략을 모식적으로 나타낸 도면이다. 본 실시 형태에 있어서는, 기판의 성막 처리로서, 레이디얼 라인 슬롯 안테나(radial line slot antenna)를 이용하여 플라즈마를 발생시키는 CVD(Chemical Vapor Deposition)법을 이용하고 있다.
플라즈마 처리 시스템(1)은, 도 1에 나타내는 바와 같이, 기판(W)에 복수의 막의 성막 처리를 행하는 플라즈마 처리 장치(2)와, 플라즈마 처리 장치(2) 내에 복수의 막을 성막하기 위해 필요한 모든 가스를 공급하는 가스 공급원(3)을 갖고 있다.
가스 공급원(3)은, 플라즈마 처리 장치(2) 내에 플라즈마를 여기하기 위한 플라즈마 가스를 공급하는 플라즈마 가스 공급원(4)과, 플라즈마 처리 장치(2) 내에 처리 가스를 공급하는 처리 가스 공급원(5)을 갖고 있다. 플라즈마 가스 공급원(4)은, 예를 들면 7부의 가스 봉입부(10∼16)를 갖고, 각각의 가스 봉입부(10∼16)에는, 상이한 종류의 플라즈마 가스가 봉입되어 있다. 예를 들면 NF3 가스(3불화 질소 가스), Ar 가스(아르곤 가스), Xe 가스(크세논 가스), Kr 가스(크립톤 가스), N2 가스(질소 가스), O2 가스(산소 가스), H2 가스(수소 가스)가 가스 봉입부(10∼16)에 각각 봉입되어 있다. 가스 봉입부(10∼16)에는 가스 배관(10a∼16a)이 각각 접속하고, 가스 배관(10a∼16a)에는 가스 봉입부(10∼16)로부터의 플라즈마 가스의 공급을 제어하는 밸브(10b∼16b)가 각각 형성되어 있다. 가스 배관(10a∼16a)은 밸브(10b∼16b)의 하류측에서 가스 공급로로서의 가스 공급관(17)에 접속되어 있다. 그리고, 밸브(10b∼16b)의 개폐에 의해, 가스 봉입부(10∼16)로부터 플라즈마 처리 장치(2) 내로, 예를 들면 상기 플라즈마 가스 혹은 그들의 혼합 가스가 공급된다. 처리 가스 공급원(5)은, 예를 들면 12부의 가스 봉입부(20∼31)를 갖고, 각각의 가스 봉입부(20∼31)에는, 상이한 종류의 처리 가스가 봉입되어 있다. 예를 들면 SiH4 가스(모노실란 가스), NH3 가스(암모니아 가스), PH3 가스(포스핀 가스), B2H6 가스(디보란 가스), DCS 가스(디클로로실란 가스), C5F8 가스(옥타플루오로펜텐 가스), CF4 가스(4불화 탄소 가스), HBr 가스(브롬화 수소 가스), Cl2 가스(염소 가스), Xe 가스(크세논 가스), Kr 가스(크립톤 가스), Ar 가스(아르곤 가스)가 가스 봉입부(20∼31)에 각각 봉입되어 있다. 가스 봉입부(20∼31)에는 가스 배관(20a∼31a)이 각각 접속되고, 가스 배관(20a∼31a)에는 가스 봉입부(20∼31)로부터의 처리 가스의 공급을 제어하는 밸브(20b∼31b)가 각각 형성되어 있다. 가스 배관(20a∼31a)은 밸브(20b∼31b)의 하류측에서 가스 공급로로서의 가스 공급관(32)에 접속되어 있다. 그리고, 밸브(20b∼31b)의 개폐에 의해, 가스 봉입부(20∼31)로부터 플라즈마 처리 장치(2) 내로, 예를 들면 상기 처리 가스 혹은 그들의 혼합 가스가 공급된다. 또한, 밸브(10b∼16b) 및 밸브(20b∼31b)의 개폐는, 이들 밸브(10b∼16b, 20b∼31b)에 접속된 제어 장치(40)에 의해 행해진다.
제어 장치(40) 내에는, 플라즈마 처리 장치(2) 내에 공급하는 플라즈마 가스 및 처리 가스의 유량을 제어하는 유량 제어 장치(40a)가 형성되어 있다. 플라즈마 가스 공급원(4)과 플라즈마 처리 장치(2)의 사이의 가스 공급관(17)에는, 가스 공급관(17) 내를 흐르는 플라즈마 가스의 온도를 계측하는 온도계(41)와 플라즈마 가스의 압력을 계측하는 압력계(42)가 형성되어 있다. 온도계(41)로 계측된 플라즈마 가스의 온도(T1)는, 유량 제어 장치(40a) 내의 온도 보정 회로(43a)에 출력된다. 압력계(42)로 계측된 플라즈마 가스의 압력(P1)은, 유량 제어 장치(40a) 내의 유량 연산 회로(43b)에 출력된다. 유량 연산 회로(43b)에서는, 플라즈마 가스의 유량을 Q1=KP1(단, K는 정수)로 연산함과 함께, 온도 보정 회로(43a)로부터의 보정 신호를 이용하여 유량(Q1)의 온도 보정이 행해져, 플라즈마 가스의 유량(Q1')이 연산된다. 연산된 유량(Q1')은 유량 제어 장치(40a) 내의 비교 회로(43c)에 출력된다. 비교 회로(43c)에서는, 연산된 유량(Q1')과, 플라즈마 처리 장치(2) 내에서 행해지는 성막의 종류에 따른 플라즈마 가스의 설정 유량(QS1)과의 차이가 제로가 되도록 밸브(10b∼16b)의 개도(opening degree)가 연산된다. 연산된 개도는 밸브(10b∼16b)에 출력되어, 밸브(10b∼16b)가 자동 제어된다.
처리 가스 공급원(5)과 플라즈마 처리 장치(2)의 사이의 가스 공급관(32)에는, 가스 공급관(32) 내를 흐르는 처리 가스의 온도를 계측하는 온도계(44)와 처리 가스의 압력을 계측하는 압력계(45)가 형성되어 있다. 그리고, 앞서 기술한 플라즈마 가스의 유량 제어와 동일하게, 온도계(44)로 계측된 처리 가스의 온도(T2)는, 유량 제어 장치(40a) 내의 온도 보정 회로(46a)에 출력된다. 압력계(45)로 계측된 처리 가스의 압력(P2)은, 유량 제어 장치(40a) 내의 유량 연산 회로(46b)에 출력된다. 유량 연산 회로(46b)에서는, 처리 가스의 유량을 Q2=KP2(단, K는 정수)로 연산함과 함께, 온도 보정 회로(46a)로부터의 보정 신호를 이용하여 유량(Q2)의 온도 보정이 행해져, 처리 가스의 유량(Q2')이 연산된다. 연산된 유량(Q2')은 유량 제어 장치(40a) 내의 비교 회로(46c)에 출력된다. 비교 회로(46c)에서는, 연산된 유량(Q2')과 설정 유량(QS2)과의 차이가 제로가 되도록 밸브(20b∼31b)의 개도가 연산된다. 연산된 개도는 밸브(20b∼31b)에 출력되어, 밸브(20b∼31b)가 자동 제어된다.
플라즈마 처리 장치(2)는, 상면이 개구된 바닥이 있는 원통 형상의 처리 용기(51)를 구비하고 있다. 처리 용기(51)는 예를 들면 알루미늄 합금에 의해 형성되어 있다. 처리 용기(51)는 접지되어 있다. 처리 용기(51)의 외표면에는, 예를 들면 글라스 울(glass wool)의 단열재가 형성되어 있다. 이것은, 가열 장치(도시하지 않음)에 의해, 처리 용기(51)의 내표면의 온도를 100℃∼200℃로 승온한 상태를 유지하기 위함이다. 처리 용기(51)의 내표면은 예를 들면 핀홀 보이드가 없는 Al2O3막으로 덮여 있다. Al2O3막은 플라즈마 가스 및 처리 가스에 내식성을 갖는 가스 보호막으로, 수분을 포함하지 않고, 그리고, 100℃∼200℃의 온도에 견딜 수 있다. Al2O3막은 예를 들면 알루미늄을 주성분으로 하는 금속 또는 고순도 알루미늄을 주성분으로 하는 금속을 pH4∼10의 화성액 중에서 양극 산화함으로써 제조된다. 화성액에는, 예를 들면 pH4∼10의 범위에서 완충 작용을 나타내는 산이나 염 등의 화합물, 예를 들면 붕산, 인산 및 유기 카본산 그리고 그들 염으로 이루어지는 군으로부터 선택되는 적어도 1종이 이용된다. 처리 용기(51)의 저부(bottom)의 거의 중앙부에는, 기판(W)을 올려놓기 위한 재치부로서의 재치대(holding stage; 52)가 형성되어 있다.
재치대(52)에는 전극판(53)이 내장되어 있으며, 전극판(53)은, 처리 용기(51)의 외부에 형성된, 13.56MHz의 바이어스용 고주파 전원(54)에 접속되어 있다. 이 바이어스용 고주파 전원(54)에 의해 재치대(52)의 표면이 부(negative)의 전위가 되었을 때, 플라즈마 중의 정(positive)의 하전 입자를 끌어들일 수 있다. 또한, 전극판(53)은, 직류 전원(도시하지 않음)에도 접속되어 있어, 재치대(52)의 표면에 정전기력을 발생시켜, 기판(W)을 재치대(52) 상에 정전 흡착할 수 있다.
재치대(52) 내에는, 냉각 매체를 통류(通流)시키는 온도 조정부인 냉각 재킷(55)이 형성되어 있다. 냉각 재킷(55)은 냉매의 온도를 조정하는 냉매 온도 조정부(56)에 접속되어 있다. 냉매 온도 조정부(56)에 있어서의 냉매의 조정 온도는, 온도 제어부(57)에서 제어되고 있다. 따라서, 온도 제어부(57)에 의해 냉매 온도 조정부(56)의 냉매 조정 온도를 설정하고, 냉매 온도 조정부(56)에 의해 냉각 재킷(55)에 흐르는 냉매의 온도를 조정하여, 재치대(52)의 온도를 제어할 수 있다. 이 결과, 재치대(52) 상에 올려놓여진 기판(W)을 소정의 온도 이하로 유지할 수 있다.
처리 용기(51)의 상부 개구에는, 기밀성(airtightness)을 확보하기 위한 O링 등의 시일재(60)을 통하여, 플라즈마 가스 공급부로서의 샤워 플레이트(61)가 형성되어 있다. 이 샤워 플레이트(61)에 의해 처리 용기(51) 내가 폐쇄되어 있다. 샤워 플레이트(61)의 상부측에는, 커버 플레이트(62)가 형성되고, 그 상부에는, 플라즈마 발생용 고주파의 마이크로파를 2차원적으로 균일하게 공급하는 고주파 공급부로서의 레이디얼 라인 슬롯 안테나(63)가 형성되어 있다.
샤워 플레이트(61)는 예를 들면 원반 형상으로 형성되어, 재치대(52)에 대향하도록 배치되어 있다. 샤워 플레이트(61)의 재질에는, 유전율이 높은 예를 들면 질화 알루미늄이 이용되고 있다.
샤워 플레이트(61)에는, 연직 방향으로 관통하는 복수의 가스 공급공(64)이 형성되어 있다. 또한, 샤워 플레이트(61)에는, 플라즈마 가스 공급원(4)에 접속된 가스 공급관(17)으로부터의 플라즈마 가스가, 가스 입력 포트(도시하지 않음)를 통하여 처리 용기(51)의 측면으로부터 샤워 플레이트(61)의 내부를 수평으로 통과하여, 샤워 플레이트(61)의 중앙부로부터 상면으로 연통되어 공급된다. 이 가스 공급로가 연통되는 샤워 플레이트(61)의 상면에는, 오목부가 형성되어 있으며, 샤워 플레이트(61)와 커버 플레이트(62)와의 사이에는, 가스 유로(65)가 형성되어 있다. 가스 유로(65)는 각 가스 공급공(64)으로 연통되어 있다. 따라서, 가스 공급관(17)에 공급된 플라즈마 가스는, 가스 유로(65)로 보내져, 가스 유로(65)로부터 각 가스 공급공(64)을 지나 처리 용기(51) 내에 2차원적으로 균일하게 공급된다.
커버 플레이트(62)는 O링 등의 시일 부재(70)를 통하여 샤워 플레이트(61)의 상면에 접착되어 있다. 커버 플레이트(62)는 예를 들면 Al2O3 등의 유전체에 의해 형성되어 있다.
레이디얼 라인 슬롯 안테나(63)는 하면이 개구한 대략 원통 형상의 안테나 본체(80)를 구비하고 있다. 안테나 본체(80)의 하면의 개구부에는, 다수의 슬롯이 형성된 원반 형상의 슬롯판(81)이 형성되어 있다. 안테나 본체(80) 내의 슬롯판(81)의 상부에는, 저(低)손실 유전체 재료에 의해 형성된 지상판(wavelength-shortening plate; 82)이 형성되어 있다. 안테나 본체(80)의 상부에는, 마이크로파 발진 장치(83)로 통하는 동축 도파관(84)이 접속되어 있다. 마이크로파 발진 장치(83)는 처리 용기(51)의 외부에 설치되어 있으며, 레이디얼 라인 슬롯 안테나(63)에 대하여, 소정 주파수, 예를 들면 2.45GHz의 마이크로파를 발진할 수 있다. 이러한 구성에 의해, 마이크로파 발진 장치(83)로부터 발진된 마이크로파는, 레이디얼 라인 슬롯 안테나(63) 내에 전반(propagate)되어, 지상판(82)에서 압축되어 단(短)파장화되어, 슬롯판(81)에서 원편파(circularly polarized wave)를 발생시킨 후, 커버 플레이트(62) 및 샤워 플레이트(61)를 통하여 처리 용기(51) 내를 향하여 2차원적으로 균일하게 방사된다. 또한, 방사되는 마이크로파의 주파수는, 915MHz 혹은 450MHz라도 좋다.
처리 용기(51) 내의 재치대(52)와 샤워 플레이트(61)의 사이에는, 예를 들면 평판 형상의 처리 가스 공급 구조체(90)가 형성되어 있다. 처리 가스 공급 구조체(90)는, 외형이 평면으로부터 보았을 때 적어도 기판(W)의 직경보다도 큰 원형 형상으로 형성되며, 재치대(52)와 샤워 플레이트(61)에 대향하도록 형성되어 있다. 이 처리 가스 공급 구조체(90)에 의해, 처리 용기(51) 내는, 샤워 플레이트(61)측의 플라즈마 여기 영역(R1)과, 재치대(52)측의 플라즈마 확산 영역(R2)으로 구획되어 있다.
처리 가스 공급 구조체(90)에는, 도 2에 나타내는 바와 같이, 동일 평면상에서 대략 격자 형상으로 배치된 일련의 처리 가스 공급관(91)에 의해 구성되어 있다. 처리 가스 공급관(91)은, 처리 가스 공급 구조체(90)의 외주 부분에 환상으로 배치된 환상관(91a)과, 환상관(91a)의 내측에 있어서 복수개의 종횡의 관이 서로 직교하도록 배치된 격자 형상 관(91b)에 의해 구성되어 있다. 이들 처리 가스 공급관(91)은 축 방향으로부터 보아 종단면이 사각형으로 형성되어, 모두 서로 연통되어 있다.
또한, 처리 가스 공급 구조체(90)에 있어서의, 격자 형상으로 배치된 처리 가스 공급관(91)끼리의 간극에는, 다수의 개구부(92)가 형성되어 있다. 처리 가스 공급 구조체(90)의 상측의 플라즈마 여기 영역(R1)에서 2차원적으로 균일하게 생성된 플라즈마는, 이 개구부(92)를 통과하여 재치대(52)측의 플라즈마 확산 영역(R2)으로 진입한다.
각 개구부(92)의 치수는, 레이디얼 라인 슬롯 안테나(63)로부터 방사되는 마이크로파의 파장보다도 작게 설정된다. 이렇게 함으로써, 레이디얼 라인 슬롯 안테나(63)로부터 공급된 마이크로파가 플라즈마 확산 영역(R2)으로 진입하는 것을 억제할 수 있다. 이 결과, 재치대(52) 상의 기판(W)이 마이크로파에 직접 노출되는일이 없어, 마이크로파에 의한 기판(W)의 손상을 방지할 수 있다. 처리 가스 공급 구조체(90)의 표면, 즉 처리 가스 공급관(91)의 표면에는, 예를 들면 부동태막(passivation film)이 피막되어 있어, 플라즈마 중의 하전 입자에 의해 처리 가스 공급 구조체(90)가 스퍼터링되는 것을 방지하여, 스퍼터링에서 튀어나온 입자에 의해 기판(W)이 금속 오염되는 것을 방지할 수 있다.
처리 가스 공급 구조체(90)의 처리 가스 공급관(91)의 하면에는, 도 1 및 도 3에 나타내는 바와 같이, 다수의 처리 가스 공급구(93)가 형성되어 있다. 이들 처리 가스 공급구(93)는, 처리 가스 공급 구조체(90) 면 내에 있어서 균등하게 배치되어 있다. 또한, 이 처리 가스 공급구(93)는, 재치대(52)에 올려놓여진 기판(W)에 대향하는 영역에 균등하게 배치되어 있어도 좋다. 처리 가스 공급관(91)에는, 도 2에 나타내는 바와 같이, 처리 용기(51)의 외부에 설치된 처리 가스 공급원(5)으로 연통되는 가스 공급관(32)이, 처리 가스 입력 포트(도시하지 않음)를 통하여 접속되어 있다. 따라서, 처리 가스 공급원(5)으로부터 가스 공급관(32)을 통하여 처리 가스 공급관(91)에 공급된 처리 가스는, 각 처리 가스 공급구(93)로부터 하방의 플라즈마 확산 영역(R2)을 향하여 2차원적으로 균일하게 토출된다.
처리 용기(51)의 저부에는, 도 1에 나타내는 바와 같이, 처리 용기(51) 내의 분위기를 배기하기 위한 배기구(100)가 예를 들면 2개소에 형성되어 있다. 이 배기구(100)로부터의 배기에 의해, 처리 용기(51) 내를 소정의 압력, 예를 들면 0.133Pa(10―3Torr) 이하로 감압할 수 있다. 배기구(100)에는 배기관(101)이 접속되어 있다.
배기관(101)에는, 처리 용기(51) 내의 분위기를 흡인하여 배기하는 배기 장치(102)가 형성되어 있다. 배기 장치(102)는, 도 4에 나타내는 바와 같이, 예를 들면 2단으로 직렬로 접속된 제1 진공 펌프(103)와 제2 진공 펌프(104)를 갖고 있다. 제1 진공 펌프(103)와 제2 진공 펌프(104)는, 플라즈마 처리 장치(2)로부터 이 순서로 배기관(101)에 형성되어 있다. 제1 진공 펌프(103)와 제2 진공 펌프(104)의 사이의 배기관(101)에는, 밸브(105)가 형성되어 있다.
또한, 배기관(101), 제1 진공 펌프(103), 제2 진공 펌프(104) 및 밸브(105)의 각각의 외표면에는, 예를 들면 글라스 울의 단열재가 형성되어 있다. 이것은, 가열 장치(도시하지 않음)에 의해, 배기관(101), 제1 진공 펌프(103), 제2 진공 펌프(104) 및 밸브(105)의 내표면의 온도를 100℃∼200℃로 승온한 상태를 유지하기 위함이다. 또한, 배기관(101), 제1 진공 펌프(103), 제2 진공 펌프(104) 및 밸브(105)의 각각의 내표면은, 예를 들면 핀홀 보이드가 없는 Al2O3막 혹은 Y2O3막으로 덮여 있다. Al2O3막 혹은 Y2O3막은 배기 가스에 내식성을 갖는 배기 가스 보호막으로, 수분을 포함하지 않고, 그리고, 100℃∼200℃의 온도에 견딜 수 있다.
배기 장치(102)의 제1 진공 펌프(103)의 입구측의 배기관(101)을 흐르는 배기 가스는, 처리 용기(51) 내에서 소정의 압력으로 감압되어 있기 때문에, 그 흐름은 분자류가 되고, 그 압력은 0.133Pa(10―3Torr) 이하가 되어 있다. 제1 진공 펌프(103)와 제2 진공 펌프(104)의 사이의 배기관(101)을 흐르는 배기 가스는, 제1 진공 펌프(103)의 흡인에 의해 배기 가스의 압력이 상승하기 때문에, 그 흐름은 점성류가 되고, 그 압력은 133Pa(1Torr) 이상이 되어 있다. 제2 진공 펌프(104)의 출구측의 배기관(101)을 흐르는 배기 가스의 압력은, 제2 진공 펌프(104)의 흡인에 의해, 0.4kPa∼4.0kPa(3Torr∼30Torr)가 되고, 그 흐름은 점성류가 되어 있다. 그리고, 제1 진공 펌프(103)의 입구측의 배기 가스의 압력과 제2 진공 펌프(104)의 출구측의 배기 가스 압력의 비는, 10000 이상이 되도록 유지되어 있다. 여기에서, 「분자류」란, 0.133Pa(10―3Torr) 이하의 기체의 흐름을 말하고, 「점성류」란, 133Pa(1Torr) 이상의 기체의 흐름을 말한다.
제1 진공 펌프(103)는 터보 분자 펌프(스크루 펌프)이고, 제2 진공 펌프(104)는 스크루 부스터 펌프이고, 도 5 및 도 6에 나타내는 바와 같이, 수로터(201)(돌출된 로터)와 암로터(202)(움푹 들어간 로터)가 주(主)케이싱(203)에 수납되어 있다. 수로터(201)와 암로터(202)의 양자를 암수 로터(맞물려진 로터)라고 한다.
암수 로터(201, 202)는, 도 7에 나타내는 바와 같이, 나사 톱니바퀴부(201a, 202a)와 수측 루츠부(204, 205), 암측 루츠부(206, 207)에 의해 구성되고, 수측 루츠부(204, 205), 암측 루츠부(206, 207)는 나사 톱니바퀴부(201a, 202a)의 양단에 형성되어 있다. 나사 톱니바퀴부(201a, 202a)의 비틀림 각도는, 암수 로터(201, 202)의 회전 각도에 따라서 연속적으로 변화되고 있다. 그리고, 암수 로터(201, 202)와 주케이싱(203)에 의해 형성되는 후술하는 V자형의 작동실(201b, 202b)의 용적을 연속적으로 변화시키고 있다.
또한, 도 8에 나타내는 바와 같이, 암수 로터(201, 202)의 나사 톱니바퀴부(201a, 202a) 및 주케이싱(203)에 의해 형성되는 작동실(201b, 202b)과, 수측 루츠부(204), 암측 루츠부(206) 및 주케이싱(203)에 의해 형성되는 작동실(204a, 206a)과는 연통되어 있다. 마찬가지로 작동실(201b, 202b)과, 수측 루츠부(205), 암측 루츠부(207) 및 주케이싱(203)에 의해 형성되는 작동실(205a, 207a)과는 연통되어 있다. 또한, 암수 로터(201, 202)의 일단부(一端部)에는, 도 5 및 도 6에 나타낸 모터(221)에 접속된 회전축(208, 209)이 형성되어 있다.
주케이싱(203)에 수납된 암수 로터(201, 202)는, 도 5 및 도 6에 나타내는 바와 같이, 주케이싱(203)의 일단면을 밀봉하는 단판(端板; 210)에 부착된 베어링(211, 212)과 부(副)케이싱(213)에 부착된 베어링(214, 215)에 의해 회전이 자유롭게 지지되어 있다. 주케이싱(203)의 단판(210)측에는 암수 로터(201, 202)에서 압축된 기체를 외부로 토출하는 토출구(203b)가 형성되어 있다. 또한, 각 베어링(211, 212)에는 시일재(216, 217)가 부착되어, 시일재(216, 217)에 의해, 후술하는 타이밍 기어(218, 219)에 의한 윤활유가 작동실 내로 침입하는 것을 방지하고 있다.
암수 로터(201, 202)의 회전축(208, 209)에는, 도 5 및 도 6에 나타내는 바와 같이, 부케이싱(213) 내에 수납된 타이밍 기어(218, 219)가 부착되어, 암수 로터(201, 202)가 서로 접촉하지 않도록 양 로터간을 조정하고 있다. 그리고, 베어링(211, 212)의 윤활은 비말 급유(splash oiling)로 행하여, 부케이싱(213) 내에 괸 윤활유(도시하지 않음)를 타이밍 기어(218, 219)에 의해 튀겨 뿌리도록 이루어져 있다. 또한, 주케이싱(203)의 타단측에는 부케이싱(220)이 부착되어 있다. 또한, 주케이싱(203)의 타단측에는 흡입구(203a)가 형성되어 있다.
이와 같이 구성된 제1 진공 펌프(103)와 제2 진공 펌프(104)는, 암수 로터(201, 202)의 회전에 수반하여 기체가 흡입구(203a)로부터 작동실(204a, 206a)로 흡입된다. 이 흡인시에 작동실(204a, 206a)에 의해, 흡인된 기체는 압축된다. 그리고, 작동실(204a, 206a)과 연통되어 있는 작동실(201b, 202b)로 이송된다. 작동실(201b, 202b)은 암수 로터(201, 202)의 회전에 수반하여 당초 용적이 일정한 채로 기체를 이송하지만, 추가로 암수 로터(201, 202)가 회전하면 그 용적을 감소시켜 기체를 압축한다. 또한 압축된 기체는, 작동실(201b, 202b)과 연통되어 있는 작동실(205a, 207a)로 이송되어, 압축되면서 토출구(203b)로부터 토출된다.
앞서 기술한 구성의 배기 장치(102)의 출구측에 접속된 배기관(111)은, 도 1에 나타내는 바와 같이, 예를 들면 4개의 배기관(111a∼111d)으로 분기되어 있다. 배기관(111a∼111c)에는, 배기 가스 처리 장치(310∼312)가 각각 형성되며, 배기 가스 처리 장치(310∼312)의 상류측에는 제1 밸브(301∼303)가, 하류측에는 제2 밸브(305∼307)가 각각 형성되어 있다. 배기 가스 처리 장치(310∼312)는 플라즈마 처리 장치(2)로부터 배출되는 배기 가스의 종류에 따라서 형성되며, 예를 들면 배기 가스 처리 장치(310)는 PFC 가스(퍼플루오로 컴파운드 가스)를 회수하는 장치이며, 배기 가스 처리 장치(311)는 수소화물을 제거하는 장치이고, 배기 가스 처리 장치(312)는 할로겐을 제거하는 장치이다. 배기관(111d)은 배기된 그대로의 상태로 배기할 수 있는 배기 가스를 흘리기 위한 배관으로, 제1 밸브(304)만이 형성되어 있다. 배기관(111a∼111d)은 하류측에서 재차 합류하여, 백 펌프(back pump; 320)에 접속되어 있다.
또한, 제1 밸브(301∼304)는, 내부를 통과하는 배기 가스가 냉각되어 퇴적물이 제1 밸브(301∼304)의 내표면에 발생하지 않도록, 제1 밸브(301∼304)의 내표면이 100℃∼200℃의 온도로 승온되어, 그 온도에서도 작동 가능하게 되어 있다. 또한, 제1 밸브(301∼304)와, 배기 가스 처리 장치(310∼312) 및 제1 밸브(304)의 상류측의 배기관(111, 111a∼111d)의 각각의 외표면에는, 예를 들면 글라스 울의 단열재가 형성되어, 승온된 온도를 유지하도록 되어 있다. 또한, 제1 밸브(301∼304)와 배기관(111, 111a∼111d)의 각각의 내표면은, 예를 들면 핀홀 보이드가 없는 Al2O3막 혹은 Y2O3막으로 덮여 있다. Al2O3막 혹은 Y2O3막은 배기 가스에 내식성을 갖는 배기 가스 보호막으로, 수분을 포함하지 않고, 그리고, 100℃∼200℃의 온도에 견딜 수 있다. 또한, 제1 밸브(301∼304)의 다이어프램의 표면에는, PFA막 또는 플루오로카본막이 형성되어 있다. PFA막 또는 플루오로카본막은 니켈의 촉매 효과를 억제할 수 있다. 또한, 상기와 같은 목적으로 내표면을 100℃∼200℃, 바람직하게는 150℃∼180℃로 승온하여 유지하는 것은, 배기 가스 처리 장치(310∼312) 및 제1 밸브(304)의 상류측의 배기관(101, 111, 111a∼111d), 배기 장치(102), 제1 밸브(301∼304)로 좋다. 배기 가스 처리 장치(310∼312) 및 그들의 하류측과 제1 밸브(304)의 하류측은, 그럴 필요가 없다.
백 펌프(320)의 하류측에는, 회수관(321)을 통하여, 배기 가스 중의 Kr 가스, Xe 가스를 회수하는 회수 장치(330)가 접속되어 있다. 회수관(321)에는, 제3 밸브(322)가 형성되어 있다. 그리고, 백 펌프(320)로부터 공급되는 배기 가스 중에 적어도 Kr 가스 또는 Xe 가스가 포함되어 있는 경우에는, 제3 밸브(322)에 의해 당해 배기 가스가 선택적으로 회수 장치(330)에 공급된다. 또한, 회수관(321)에는, 회수 장치(330)에 회수되지 않은 배기 가스를 공장측 배기 라인(323)에 공급하기 위한 배기관(324)이 분기되어 있다. 배기관(324)에는 밸브(325)가 형성되어, 밸브(325)에 의해 공장측 배기 라인(323)으로의 배기 가스의 유입이 제어된다.
회수 장치(330)는, 회수관(331)과 당해 회수관(331)에 형성된 밸브(332∼335)를 통하여, 가스 공급원(3)의 가스 봉입부(12, 14, 29, 31)에 접속되어 있다. 그리고, 회수 장치(330)에 회수된 배기 가스로부터 Kr 가스와 Xe 가스가 정제되어, 정제된 Kr 가스와 Xe 가스가 가스 봉입부(12, 14, 29, 31)에 각각 선택적으로 공급된다.
본 실시 형태에 따른 플라즈마 처리 시스템(1)은 이상과 같이 구성되어 있으며, 다음으로 그 플라즈마 처리 시스템(1)에서 행해지는 성막 처리에 대해서 설명한다. 여기에서는, 기판(W)의 표면 상에 Si02막(실리콘 산화막), Si3N4막(실리콘 질화막), BPSG(Boron―Phosphor―Silicate―Glass)막, SiO2막을 아래로부터 순서대로 연속적으로 형성하는 경우를 예로 채용하여 설명한다.
먼저, 기판(W)이 처리 용기(51) 내로 반입되어, 재치대(52) 상에 흡착 지지된다. 이어서, 배기 장치(102)에 의해 처리 용기(51) 내의 배기가 개시되어, 처리 용기(51) 내의 압력이 소정의 압력, 예를 들면 0.133Pa(10―3Torr)로 감압된다.
처리 용기(51) 내가 감압되면, 기판(W)의 표면 상에 최초로 성막하는 Si02막을 성막하기 위해, 유량 제어 장치(40a)에 의해 플라즈마 가스 공급원(4)의 밸브(11b, 15b)를 열어, 가스 봉입부(11, 15)로부터 Ar 가스와 O2 가스의 플라즈마 가스를 가스 공급관(17)으로 흘린다. 이때, 유량 제어 장치(40a)에 의해 밸브(11b, 15b)의 개도를 제어함으로써, Ar 가스와 O2 가스의 각각의 유량이 제어되고 있다. 또한, 유량 제어 장치(40a)에 의해 처리 가스 공급원(5)의 밸브(20b)를 열어, 가스 봉입부(20)로부터 SiH4 가스의 처리 가스를 가스 공급관(32)으로 흘린다. 이때, 유량 제어 장치(40a)에 의해 밸브(20b)의 개도를 제어함으로써, SiH4 가스의 유량이 제어되고 있다. 또한, Ar 가스, O2 가스, SiH4 가스는 상온에서 처리 용기(51) 내에 공급되며, 처리 용기(51)의 내벽은 가열 장치(도시하지 않음)에 의해, 소정의 온도, 예를 들면 150℃로 유지되어, 내벽면으로의 퇴적물의 부착을 방지하고 있다. 이 부착 방지에 의해, 성막 처리 종료 후, 클리닝 공정을 필요로 하지 않아, 다음 프로세스로 옮길 수 있다.
Ar 가스와 O2 가스의 플라즈마 가스는, 가스 공급관(17)을 지나, 샤워 플레이트(61)로부터 플라즈마 여기 영역(R1)을 향하여 공급된다. 또한, 레이디얼 라인 슬롯 안테나(63)로부터는, 바로 아래의 플라즈마 여기 영역(R1)을 향하여, 2.45GHz의 마이크로파가 방사된다. 이 마이크로파의 방사에 의해, 플라즈마 여기 영역(R1) 내에 있어서 Ar 가스와 O2 가스의 플라즈마 가스가 플라즈마화된다. 이 플라즈마는, 처리 가스 공급 구조체(90)의 개구부(92)를 지나 재치대(52)측의 플라즈마 확산 영역(R2)으로 침입한다.
한편, 재치대(52)에는 바이어스용 고주파 전원(54)에 의해 전압이 인가되며, 플라즈마 여기 영역(R1) 내의 플라즈마는 처리 가스 공급 구조체(90)의 개구부(92)를 통과하여 처리 가스 공급 구조체(90)의 하측의 플라즈마 확산 영역(R2) 내로 확산된다. 플라즈마 확산 영역(R2)에는, SiH4 가스의 처리 가스가 가스 공급관(32)을 지나, 처리 가스 공급 구조체(90)의 처리 가스 공급구(93)로부터 공급된다. SiH4 가스는, 예를 들면 상방으로부터 공급된 플라즈마에 의해 라디칼화되어, 플라즈마 중의 산소 라디칼과 반응하여, 기판(W) 상에는 SiO2막이 퇴적되어 성장한다.
이와 같이 플라즈마 처리 장치(2) 내에 플라즈마 가스와 처리 가스를 공급하여, 기판(W) 상에 SiO2막을 형성하는 동안, 배기 장치(102)와 제1 밸브(302)에 의해, 플라즈마 처리 장치(2) 내에서 발생한 배기 가스가 배기관(101, 111)과 제1 밸브(302)를 통하여 배기 가스 처리 장치(311)로 배기된다. 이 배기 가스는, SiO2막을 형성하는 공정 중, 배기 장치(102)에 의해 동일 속도로 배기된다. 그리고, 배기 가스 처리 장치(311)에 배기된 배기 가스는, 배기 가스 처리 장치(311) 내에서 배기 가스 중의 수소화물이 제거된다. 수소화물이 제거된 배기 가스는 Kr 가스 및 Xe 가스를 포함하고 있지 않으며, 밸브(325)에 의해 백 펌프(320)로부터 공장측 배기 라인(323)으로 배기된다.
그리고, SiO2막의 성장이 진행되어, 기판(W) 상에 소정의 두께의 SiO2막이 형성되면, 마이크로파를 방사한 채, 플라즈마 가스 및 처리 가스를 다음의 성막 프로세스용 가스로 전환한다.
즉, 기판(W)의 Si02막 상에 Si3N4막을 성막하기 위해, 유량 제어 장치(40a)에 의해 플라즈마 가스 공급원(4)의 밸브(11b, 15b)를 닫음과 동시에, 밸브(12b)를 열어, 가스 봉입부(12)로부터 Xe 가스의 플라즈마 가스를 가스 공급관(17)으로 흘린다. 또한, 유량 제어 장치(40a)에 의해 처리 가스 공급원(5)의 밸브(20b)를 닫음과 동시에, 밸브(21b, 24b)를 열어 가스 봉입부(21, 24)로부터 NH3 가스와 DCS 가스의 처리 가스를 가스 공급관(32)으로 흘린다. 또한, Xe 가스, NH3 가스, DCS 가스는 상온에서 처리 용기(51) 내에 공급된다. 처리 용기(51)의 내벽은 가열 장치(도시하지 않음)에 의해, 소정의 온도, 예를 들면 150℃로 유지되고 있다.
그리고, Xe 가스의 플라즈마 가스는 샤워 플레이트(61)로부터 플라즈마 여기 영역(R1)을 향하여 공급되어, 레이디얼 라인 슬롯 안테나(63)로부터의 마이크로파의 방사에 의해, 플라즈마 가스가 플라즈마화된다. 플라즈마 여기 영역(R1)의 플라즈마는, 처리 가스 공급 구조체(90)의 개구부(92)를 통과하여 처리 가스 공급 구조체(90)의 하측의 플라즈마 확산 영역(R2) 내로 확산된다. 한편, NH3 가스와 DCS 가스의 처리 가스는 처리 가스 공급 구조체(90)의 처리 가스 공급구(93)로부터 플라즈마 확산 영역(R2)을 향하여 공급된다. 그리고, 플라즈마 확산 영역(R2)에 있어서, 처리 가스는 상방으로부터 공급된 플라즈마에 의해 라디칼화되어 반응하여, 기판(W) 상에는 Si3N4막이 퇴적하여 성장한다. 그동안, 배기 가스는, 배기 가스 처리 장치(311)에서 수소화물이 제거된 후, 회수 장치(330)로 보내져, Xe 가스가 회수된다. Si3N4막의 성막이 종료되면, 마이크로파가 방사된 채, 플라즈마 가스 및 처리 가스의 전환이 행해진다.
즉, 기판(W) 상에 BPSG막을 성막하기 위해, 가스 공급원(3)으로부터, Ar 가스와 O2 가스의 플라즈마 가스와, SiH4 가스, PH3 가스 및 B2H6 가스의 처리 가스가, 플라즈마 처리 장치(2) 내에 공급되어, 앞서 기술한 Si02막이나 Si3N4막의 성막의 경우와 동일하게, 기판(W)의 Si3N4막 상에 BPSG막이 형성된다.
그 후, 기판(W) 상에 SiO2막을 성막하기 위해, 가스 공급원(3)으로부터의 가스의 전환에 의해, Ar 가스와 O2 가스의 플라즈마 가스와, SiH4 가스의 처리 가스가, 플라즈마 처리 장치(2) 내에 공급되어, 기판(W)의 BPSG막 상에 SiO2막이 형성된다.
이상과 같이, 기판(W) 상에 소정의 막의 성막 처리가 플라즈마 처리 장치(2) 내의 배기 처리를 계속하면서 반복하여 행해져, 기판(W)의 표면 상에 Si02막, Si3N4막, BPSG막, SiO2막이 아래로부터 순서대로 연속적으로 형성된다. 그 후 기판(W)은, 처리 용기(51)로부터 반출되어 일련의 플라즈마 성막 처리가 종료된다.
이상의 실시 형태에 의하면, 유량 제어 장치(40a)에 의해, 기판(W)에 성막되는 소정의 막에 따른 플라즈마 가스와 처리 가스를 가스 공급원(3)으로부터 플라즈마 처리 장치(2)에 선택적으로 공급하고 있기 때문에, 하나의 플라즈마 처리 장치(2) 내에서 기판(W)에 상이한 조성의 복수의 막의 성막 처리를 행할 수 있다. 이에 따라, 종래의 클러스터 툴과 같이 기판(W)을 성막 처리마다 각 프로세스 모듈로 반송할 필요가 없어, 기판(W)의 성막 처리의 스루풋을 향상시킬 수 있다. 또한, 클러스터 툴에 있었던 복수의 프로세스 모듈이나 주반송실이 불필요해지기 때문에, 플라즈마 처리 시스템(1)의 점유 면적을 작게 할 수 있다.
또한, 제어 장치(40)에는, 플라즈마 처리 장치(2) 내에 공급하는 플라즈마 가스와 처리 가스의 유량을 제어하는 유량 제어 장치(40a)가 형성되어 있기 때문에, 플라즈마 가스와 처리 가스를 상시 적절한 유량, 적절한 조성으로 공급할 수 있다. 또한, 플라즈마 처리 장치(2)의 내벽이 150℃로 유지되기 때문에, 처리 용기(51) 내에서 발생한 반응 생성물이 처리 용기(51)의 내표면에 퇴적되는 것을 억제할 수 있다.
또한, 레이디얼 라인 슬롯 안테나(63)로부터 방사되는 마이크로파의 주파수는, 2.45GHz로, 이 레이디얼 라인 슬롯 안테나(63)의 사용에 의해 균일한 마이크로파의 방사가 행해지고, 또한 샤워 플레이트(61)에 의해 가스가 균일하게 방출되어, 균일한 가스의 흐름을 유지한 채로 배기되기 때문에, 처리 용기(51) 내에 공급되는 플라즈마 가스와 처리 가스의 종류, 압력, 조성 농도에 관계없이, 처리 용기(51) 내에 보다 균일한 플라즈마를 안정되게 발생시켜, 연속 성막 처리를 하나의 처리 용기(51)에서 행할 수 있다. 처리 가스는 처리 가스 공급 구조체(90)의 처리 가스 공급구(93)로부터 플라즈마 확산 영역(R2)으로 균일하게 공급되기 때문에, 처리 가스가 플라즈마 여기 영역(R1)으로 되돌아가거나, 처리 용기(51)의 벽면에 퇴적되지 않아, 플라즈마 확산 영역(R2) 내에서 균일한 가스의 흐름을 실현할 수 있다.
또한, 처리 용기(51)의 내표면에는, 플라즈마 가스 및 처리 가스에 대하여 내식성을 갖는 가스 보호막인 Al2O3막이 형성되어 있으며, Al2O3막은 물 분자를 포함하지 않기 때문에, 처리 용기(51) 내에 있어서 물 분자가 처리 용기(51) 내의 가스와 반응하여 반응 생성물을 발생시키는 것을 억제할 수 있다. 또한, Al2O3막은 100℃∼200℃의 온도에 견딜 수 있기 때문에, 처리 용기(51)의 내벽의 가열에 의한 문제도 발생하지 않는다. 또한, 처리 용기(51)의 외표면에는, 단열재가 형성되어 있기 때문에, 처리 용기(51)의 내벽을 150℃의 고온으로 유지해도, 그 열이 처리 용기(51) 밖으로 달아나는 일이 없어, 에너지 절약을 촉진할 수 있다.
또한, 배기 장치(102)가 스크루 부스터 펌프인 제1 진공 펌프(103)와 제2 진공 펌프(104)를 갖고, 제2 진공 펌프(104)의 출구측의 배기 가스의 압력을 0.4kPa∼4.0kPa(3Torr∼30Torr)로 높은 압력으로 할 수 있기 때문에, 출구측에 접속된 배기관(111)의 지름을 작게 할 수 있다. 또한, 제2 진공 펌프(104)의 출구측의 배기관(111) 중의 배기 가스의 흐름이 점성류가 되기 때문에, 제2 진공 펌프(104)의 출구측의 컨덕턴스가 향상되어, 배기 속도를 저하시키지 않고 배기 가스를 흘릴 수 있어, 상이한 종류의 배기 가스라도 동일 속도로 흘릴 수 있다. 또한, 제1 진공 펌프(103)와 제2 진공 펌프(104)의 암수 로터(201, 202)의 톱니바퀴의 비틀림 각도가 연속적으로 변화하고 있기 때문에, 작동실(201b, 202b)의 용적을 연속적으로 감소시켜, 배기 가스의 압력을 연속적으로 상승시킬 수 있다. 이와 같이 제1 진공 펌프(103)와 제2 진공 펌프(104) 내에서의 국부적인 압력 상승을 억제할 수 있기 때문에, 압력이 급변하는 것에 따른 반응 생성물의 발생을 억제할 수 있다.
또한, 배기 장치(102)의 제1 진공 펌프(103), 제2 진공 펌프(104), 밸브(105)와, 배기관(101, 111, 111a∼111d)과, 제1 밸브(301∼303)의 각각의 내표면에는, 배기 가스에 대하여 내부식성을 갖는 배기 가스 보호막인 Al2O3막 또는 Y2O3막이 형성되어 있으며, Al2O3막 또는 Y2O3막은 물 분자를 포함하지 않기 때문에, 배기 장치(102), 배기관(101, 111, 111a∼111d), 제1 밸브(301∼303) 내에 있어서 물 분자가 배기 가스와 반응하여 반응 생성물을 발생시키는 것을 억제할 수 있다. 또한, Al2O3막 또는 Y2O3막은 100℃∼200℃의 온도에 견딜 수 있기 때문에, 처리 장치(51)로부터 배기된 150℃의 온도의 배기 가스에도 견딜 수 있다. 또한, 배기 장치(102)와, 배기 가스 처리 장치(310∼312) 및 제1 밸브(304)의 상류측의 배기관(101, 111, 111a∼111d)과, 제1 밸브(301∼303)의 각각의 내표면은 100℃∼200℃로 승온되고, 외표면에는 단열재가 형성되어 있기 때문에, 에너지를 절약하면서 퇴적물의 부착을 방지할 수 있다.
또한, 제1 밸브(301∼303)의 다이어프램의 표면에는, PFA막 또는 플루오로카본막이 형성되어 있기 때문에, 제1 밸브(301∼303)의 다이어프램에 니켈을 포함하는 초탄성 합금이 이용된 경우라도, 니켈의 촉매 효과를 억제할 수 있다.
이상의 실시 형태에서는, 플라즈마 처리 시스템(1)은, 1기의 플라즈마 처리 장치(2)를 갖고 있었지만, 기판 상에 금속막을 형성하는 마그네트론 스퍼터 장치를 추가로 갖고 있어도 좋다. 마그네트론 스퍼터 장치 내에서는, 처리 용기 내의 재치대 상의 기판과, 박막 재료 원반에 구리 등의 플레이트를 접합한 타겟이 대향되어 배치된다. 그리고, 타겟에는, 마이너스의 고전압을 인가하여, 이 처리 용기 내에 예를 들면 Ar 가스나 H2 가스 등의 플라즈마 가스를 공급하면, 고전계에 의해 Ar 가스나 H2 가스는 플라즈마 상태가 되어, 플러스 이온화된다. 그리고, 타겟측을 음극, 기판측을 양극으로 하여, 직류 전압을 인가하면, 고속으로 가속된 Ar 이온이나 H2 이온이 타겟에 충돌한다. 그렇게 되면, Ar 이온이나 H2 이온에 당구공처럼 내밀려, 타겟 재료의 원자가 튀어나와, 튀어나온 원자가 기판 상에 피착하여, 소정의 막이 성장한다. 이와 같이 마그네트론 스퍼터 장치를 갖는 플라즈마 처리 시스템(1)에 의하면, 예를 들면 기판 상에 금속막을 형성할 때에는 마그네트론 스퍼터 장치를 이용하고, 금속막 이외의 막을 형성할 때에는 플라즈마 처리 장치(2)를 이용할 수 있어, 효율적으로 기판 상에 다층의 막을 형성할 수 있다.
이상의 실시 형태에서는, Si02막을 형성한 후, Si3N4막을 형성하기 위한 플라즈마 가스와 처리 가스를 플라즈마 처리 장치(2) 내에 연속적으로 전환하여 공급하고 있었지만, 당해 플라즈마 가스와 처리 가스의 전환 전에, 플라즈마 처리 장치(2) 내에 불활성 가스, 예를 들면 Ar 가스를 공급하여 플라즈마 처리 장치(2) 내를 배기하고 나서 전환해도 좋다. 또한, Si3N4막의 형성 후, BPSG막을 형성하기 위한 플라즈마 가스와 처리 가스를 공급하기 전 및, BPSG막의 형성 후, Si02막을 형성하기 위한 플라즈마 가스와 처리 가스를 공급하기 전에도, 플라즈마 처리 장치(2) 내에 Ar 가스를 공급하여 플라즈마 처리 장치(2) 내를 배기해도 좋다. 이러한 경우, 소정의 막을 형성한 후, 당해 소정의 막을 형성할 때에 발생한 배기 가스를 플라즈마 처리 장치(2) 내로부터 완전히 배기할 수 있어, 다음 막을 적절히 형성할 수 있다.
이상의 실시 형태에서는, 플라즈마 처리 시스템(1)은, 기판(W) 상에 다층의 막을 성막하는 것이었지만, 도 9에 나타내는 플라즈마 처리 시스템(400)을 이용하여, 기판(W) 상에 형성된 다층의 막을 연속 에칭해도 좋다. 본 실시 형태에 있어서는, 레지스트막, 하드 마스크(SiCO막), SiCN막, CF막, SiCN막, CF막, SiCN막이 기판(W) 상에 위로부터 순서대로 형성되어 있는 경우의 연속 에칭 처리에 대해서 설명한다.
플라즈마 처리 시스템(400)은, 플라즈마 처리 시스템(1)의 가스 공급원(3) 을 대신하여, 가스 공급원(401)을 갖고 있다. 가스 공급원(401)은, 플라즈마 가스를 공급하는 플라즈마 가스 공급원(410)과, 처리 가스를 공급하는 처리 가스 공급원(420)을 갖고 있다. 플라즈마 가스 공급원(410)은, 예를 들면 3부의 가스 봉입부(411, 412, 413)를 갖고, 각각의 가스 봉입부(411, 412, 413)에는, 예를 들면 Ar 가스, Xe 가스, O2 가스가 각각 봉입되어 있다. 가스 봉입부(411, 412, 413)에는 가스 배관(411a, 412a, 413a)이 각각 접속하고, 가스 배관(411a, 412a, 413a)에는 가스 봉입부(411, 412, 413)로부터의 플라즈마 가스의 공급을 제어하는 밸브(411b, 412b, 413b)가 각각 형성되어 있다. 처리 가스 공급원(420)은, 예를 들면 5부의 가스 봉입부(421∼425)를 갖고, 각각의 가스 봉입부(421∼425)에는, 예를 들면 Ar 가스, Xe 가스, CF4 가스, C4F8 가스, C5F8 가스가 각각 봉입되어 있다. 가스 봉입부(421∼425)에는 가스 배관(421a∼425a)이 각각 접속되고, 가스 배관(421a∼425a)에는 가스 봉입부(421∼425)로부터의 처리 가스의 공급을 제어하는 밸브(421b∼425b)가 각각 형성되어 있다.
또한, 플라즈마 처리 시스템(400)은, 플라즈마 처리 시스템(1)의 회수 장치(330)를 대신하여, Xe 가스를 회수하는 회수 장치(430)가 형성되어 있다. 회수 장치(430)는, 회수관(431)과 회수관(431)에 형성된 밸브(432, 433)를 통하여, 가스 공급원(401)의 가스 봉입부(412, 422)에 접속되어 있다. 플라즈마 처리 시스템(400)의 그 외의 구성에 대해서는, 플라즈마 처리 시스템(1)과 동일하다.
그리고, 전술한 기판(W) 상에 연속하여 소정의 막을 성막 처리하는 경우와 동일하게, 우선, 처리 용기(51) 내의 분위기를 감압한 후, 처리 용기(51) 내에, 기판(W) 상의 하드 마스크를 에칭하기 위한 플라즈마 가스인 Ar 가스와, 처리 가스인 Ar 가스, C5F8 가스, CF4 가스를 공급한다. 그 후, 처리 용기(51) 내에 고주파 전력을 인가하여, 이 고주파 전력에 의해 플라즈마 가스로부터 반응성 플라즈마가 생성된다. 그리고, 처리 가스에 대한 반응성 플라즈마의 작용에 의해, 기판(W) 상의 하드 마스크가 에칭된다. 여기에서, 기판(W) 상의 하드 마스크를 에칭 중, 배기 장치(102)에 의해, 플라즈마 처리 장치(2) 내에서 발생한 배기 가스가 배기된다. 그리고 하드 마스크가 에칭되면, 고주파 전력을 인가한 채, 가스를 다음 프로세스용으로 전환한다.
즉, 레지스트막을 박리하는 플라즈마 애싱을 행하기 위해, 처리 용기(51) 내에 Ar 가스, O2 가스를 공급한다. 그리고, 전술과 동일하게 반응성 플라즈마를 생성한 후, 레지스트막을 플라즈마 애싱하고, 이어서, 기판(W) 상에 형성된 SiCN막, CF막, SiCN막, CF막, SiCN막에 대하여, 전술과 동일하게 가스의 전환 공급, 막의 에칭을 연속하여 행한다. 또한, 최상층의 SiCN막의 에칭에는, 플라즈마 가스로서 Ar 가스, 처리 가스로서 Ar 가스, CF4 가스를 이용하고, 중간층과 최하층의 SiCN막의 에칭에는, 플라즈마 가스로서 Xe 가스, 처리 가스로서 Xe 가스, C4F8 가스가 이용된다. 또한, CF막의 에칭에는, 플라즈마 가스로서 Ar 가스, 처리 가스로서 Ar 가스, CF4 가스가 이용된다. 플라즈마 가스에 Xe 가스를 이용한 경우에는, 처리 용기(51) 내의 배기 가스 중에 Xe 가스가 포함되어 있으며, 당해 배기 가스는, 제3 밸브(322)를 열음으로써 회수 장치(430)에 의해 Xe 가스의 회수가 이루어진다. 그리고, 회수 장치(430)에 있어서 배기 가스 중으로부터 Xe 가스가 정제되어, Xe 가스는 가스 봉입부(412) 또는 가스 봉입부(422) 중 어느 하나에 공급된다.
이와 같이 본 실시 형태에 의하면, 기판(W) 상의 소정의 막에 따른 공급 가스의 전환, 그 외 에칭 조건의 전환에 의해, 당해 소정의 막의 에칭 처리를 하나의 장치 내에서 연속하여 반복하여 행할 수 있어, 기판(W) 상의 상이한 종류의 다층의 막을 연속하여 에칭할 수 있다.
이상의 실시 형태에서는, 배기 장치(102)가 처리 용기(51)의 저부에 2개소에 형성되어 있었지만, 도 10에 나타내는 바와 같이, 1개소에 형성해도 좋다. 혹은, 기판(W)에 대하여 대칭인 위치에 3개소 이상에 형성되어 있어도 좋다. 또한, 제1 진공 펌프(103)에는, 스크루 부스터 펌프 또는 터보 분자 펌프 중 어느 하나를 이용해도 좋다. 또한, 제2 진공 펌프(104)에는, 스크루 부스터 펌프가 이용된다.
이상의 실시 형태에서는, 배기 장치(102)에는, 2단의 진공 펌프(제1 진공 펌프(103)와 제2 진공 펌프(104))가 직렬로 배치되어 있었지만, 도 11에 나타내는 바와 같이, 1단의 진공 펌프(제2 진공 펌프(104))를 배치해도 좋다. 이러한 경우, 제2 진공 펌프(104)에는, 스크루 부스터 펌프가 이용된다. 또한, 도 12에 나타내는 바와 같이, 이러한 배기 장치(102)를 처리 용기(51)에 대하여 1개소에 형성해도 좋다.
이상의 실시 형태에서는, 제2 진공 펌프(104)는 1기의 제1 진공 펌프(103)에 대하여 직렬로 배치되어 있었지만, 도 13에 나타내는 바와 같이, 2기의 제1 진공 펌프(103, 103)에 대하여, 1기의 제2 진공 펌프(104)가 형성되어 있어도 좋다. 이러한 경우, 제1 진공 펌프(103)에는, 스크루 부스터 펌프 또는 터보 분자 펌프 중 어느 하나를 이용해도 좋다. 또한, 제2 진공 펌프(104)에는, 스크루 부스터 펌프가 이용된다.
이상의 실시 형태에서는, 배기 가스 처리 장치(310∼312) 및 배기관(111d)에는, 백 펌프(320)가 접속되어 있었지만, 도 14에 나타내는 바와 같이, 배기 가스 처리 장치(310∼312) 및 배기관(111d)과, 백 펌프(320)와의 사이에 다른 배기 장치(500)를 형성해도 좋다. 다른 배기 장치(500)는, 스크루 부스터 펌프를 갖는 것이 바람직하다.
이상의 실시 형태의 플라즈마 처리 장치(2)에 있어서, 도 15에 나타내는 바와 같이, 샤워 플레이트(61)의 하면에 금속판(700)을 형성해도 좋다. 금속판(700)은 도전성을 갖는 재료, 예를 들면 알루미늄 합금으로 이루어진다. 금속판(700)은, 복수 형성되어, 샤워 플레이트(61)의 일부를 처리 용기(51)의 내부에 노출시키도록 형성되어 있다. 각 금속판(700)은 모두 면적이 거의 동일해지도록 형성되어 있다. 이에 따라, 금속판(700)에 대하여는 샤워 플레이트(61)로부터 전반한 마이크로파(도체 표면파)가 거의 동일한 상태로 전반시켜진다. 그 결과, 금속판(700)의 하면에 있어서는, 전체적으로 균일한 조건으로 마이크로파에 의해 플라즈마를 생성시킬 수 있다. 또한, 도체 표면파란, 금속 표면과 플라즈마와의 사이를 금속 표면을 따라서 전반하는 마이크로파를 말한다.
또한, 각 금속판(700)의 내부에는, 가스 공급공(64)으로 연통되는 복수의 가스 공급로(701)가 형성되어 있다. 가스 공급로(701)는, 예를 들면 가스 공급공(64)에 대응하는 위치에 형성되어 있다. 따라서, 가스 공급관(17)에 공급된 플라즈마 가스는, 가스 유로(65), 가스 공급공(64), 가스 공급로(701)를 지나 처리 용기(51) 내에 2차원적으로 균일하게 공급된다.
또한, 마이크로파 발진 장치(83)로부터는, 레이디얼 라인 슬롯 안테나(63)에 대하여, 주파수가 2GHz 이하, 예를 들면 915MHz나 450MHz의 마이크로파가 발진된다.
이상의 플라즈마 처리 장치(2)를 이용한 경우, 플라즈마 처리 중, 마이크로파 발진 장치(83)로부터 샤워 플레이트(61)로 전반한 마이크로파는, 처리 용기(51) 내의 플라즈마 여기 영역(R1)에 노출되어 있는 샤워 플레이트(61)로부터, 도체 표면파의 상태로 금속판(700)의 하면을 따라서 전반한다. 이 도체 표면파에 의해, 플라즈마 여기 영역(R1) 내에 있어서 플라즈마 가스가 플라즈마화된다. 이때, 전술한 바와 같이, 금속판(700)의 하면 전체에 있어서 균일한 조건에서 마이크로파에 의해 플라즈마가 생성됨과 함께, 플라즈마 가스가 처리 용기(51) 내에 2차원적으로 균일하게 공급되기 때문에, 기판(W)의 처리면 전체에 균일한 플라즈마 처리를 시행하는 것이 가능해진다.
또한, 샤워 플레이트(61)가 처리 용기(51)의 내부에 노출되어 있는 부분이라도, 유전체 표면파에 의해 플라즈마가 여기되지만, 이 유전체 표면파는, 샤워 플레이트(61)와 플라즈마 양쪽에 마이크로파 전계가 걸린다. 이에 대하여, 금속판(700)의 하면을 따라서 전반하는 도체 표면파는, 플라즈마에만 마이크로파 전계가 걸리기 때문에, 플라즈마에 걸리는 마이크로파 전계를 강하게 할 수 있다. 이 때문에, 금속판(700)의 표면에는 샤워 플레이트(61)의 표면보다도 밀도가 높은 플라즈마를 여기할 수 있다. 또한, 2GHz 이하라는 비교적 주파수가 낮은 마이크로파를 이용한 경우, 고(高)주파수의 마이크로파를 이용한 경우에 비하여, 안정되고 전자 온도가 낮은 플라즈마를 얻기 위한 하한의 전자 밀도를 작게 할 수 있기 때문에, 보다 광범위한 조건에서 플라즈마 처리에 적합한 플라즈마를 얻을 수 있다.
이상, 첨부 도면을 참조하면서 본 발명의 매우 적합한 실시 형태에 대해서 설명했지만, 본 발명은 이러한 예에 한정되지 않는다. 당업자라면, 특허 청구의 범위에 기재된 사상의 범주 내에 있어서, 각종 변경예 또는 수정예에 생각이 미칠 수 있는 것은 분명하며, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다. 본 발명은, 기판이 예를 들면 반도체 웨이퍼나, 액정 디스플레이, 유기 EL 디스플레이, 포토 마스크용 마스크 레티클(mask reticle) 등의 전자 장치의 제조에도 적용할 수 있다. 또한, 본 발명은, 태양 전지 등의 전자 장치의 제조에도 이용할 수 있다.
(실시예)
이하, 도 9에 나타낸 플라즈마 처리 시스템(400)을 이용하여, 기판 상의 상이한 조성의 복수의 막을 연속하여 에칭한 경우에 대해서, 도 16을 참조하여 설명한다. 또한, 본 실시예를 행할 때, 기판에는 반도체 웨이퍼(이하, 「웨이퍼」라고 함)를 이용하며, 웨이퍼 상에는 소정의 패턴이 형성된 레지스트막(601), 하드 마스크로서의 SiCO막(602)(두께 150㎚), SiCN막(603)(두께 50㎚), 저유전율의 CF막(604)(두께 200㎚), SiCN막(605)(두께 50㎚), 저유전율의 CF막(606)(두께 200㎚), SiCN막(607)(두께 20㎚)이 다층 배선 구조의 일부로서 형성되어 있다. 하층의 배선으로서 소정 패턴의 Cu막(608)이 형성되어 있으며, 그 주위에 배리어층(609)을 통하여 저유전율의 CF층(610)이 형성되어 있다(도 16(a)). 그리고, 본 실시예에 있어서는, Cu막(608)으로의 콘택트 홀 형성을 위해, SiCO막(602), SiCN막(603), CF막(604), SiCN막(605), CF막(606), SiCN막(607)의 6층의 막의 에칭을 행했다.
우선, SiCO막(602)을 에칭하기 위해, 플라즈마 가스 공급원(410)으로부터 샤워 플레이트(61)를 지나 처리 용기(51) 내에, 플라즈마 가스인 Ar 가스를 6.3×10―6m/s(380sccm)로 공급했다. 또한, 처리 가스 공급원(420)으로부터 처리 가스 공급 구조체(90)를 지나 처리 용기(51) 내에, 처리 가스인 Ar 가스, C5F8 가스, CF4 가스를 각각 3.3×10―7m/s(20sccm), 3.3×10―7m/s(20sccm), 3.3×10―7m/s(20sccm)로 공급했다. 이때, 처리 용기(51) 내의 압력을 4.0Pa(30mTorr)로 유지했다. 그리고, 레이디얼 라인 슬롯 안테나(63)로부터 플라즈마 여기 영역(R1)을 향하여, 2.0kW의 전력으로 2.45GHz의 마이크로파를 방사했다. 또한, 바이어스용 고주파 전원(54)에 의해 재치대(52)에 전력 300W로 13.56MHz의 고주파를 인가했다. 그리고, 이러한 플라즈마 가스와 처리 가스의 공급, 마이크로파의 방사, 고주파의 인가를 20초간 행하여, 레지스트막(601)을 마스크로 하여 SiCO막(602)을 150㎚ 에칭했다(도 16(b)). 또한, 이 에칭 처리 중, 배기 장치(102)에 의해, 처리 용기(51) 내에서 발생한 배기 가스가 배기되어, 배기 가스 처리 장치(310) 내에서 배기 가스 중의 PFC 가스가 회수되었다.
다음으로, 레지스트막(601)을 애싱하기 위해, 가스의 전환을 행했다. 즉, 샤워 플레이트(61)로부터 처리 용기(51) 내에, Ar 가스와 O2 가스를 3.3×10―6m/s(200sccm) 및 6.7×10―6m/s(400sccm)로 각각 공급했다. 또한, 처리 가스 공급 구조체(90)로부터 처리 용기(51) 내에, Ar 가스를 3.3×10―7m/s(20sccm)로 공급했다. 이때, 처리 용기(51) 내의 압력을 133Pa(1Torr)로 유지했다. 그리고, 레이디얼 라인 슬롯 안테나(63)로부터 플라즈마 여기 영역(R1)을 향하여, 2.5kW의 전력으로 2.45GHz의 마이크로파를 방사했다. 또한, 재치대(52)에는 바이어스용 고주파 전원(54)에 의한 고주파를 인가하지 않았다. 그리고, 이러한 플라즈마 가스와 처리 가스의 공급, 마이크로파의 방사를 30초간 행하여, 레지스트막(601)을 애싱했다(도 16(c)). 또한, 이 애싱 처리 중, 배기 장치(102)에 의해, 처리 용기(51) 내에서 발생한 배기 가스는 공장측 배기 라인(323)으로 배기되었다.
그 후, SiCN막(603)을 에칭하기 위해, 샤워 플레이트(61)로부터 처리 용기(51) 내에, 플라즈마 가스인 Ar 가스를 6.3×10―6m/s(380sccm)로 공급했다. 또한, 처리 가스 공급 구조체(90)로부터 처리 용기(51) 내에, 처리 가스인 Ar 가스, CF4 가스를 각각 3.3×10―7m/s(20sccm), 1.7×10―7m/s(10sccm)로 공급했다. 이때, 처리 용기(51) 내의 압력을 6.7Pa(50mTorr)로 유지했다. 그리고, 레이디얼 라인 슬롯 안테나(63)로부터 방사하는 2.45GHz 마이크로파의 전력을 1.0kW로 전환했다. 또한, 바이어스용 고주파 전원(54)에 의해 재치대(52)에 전력 100W로 13.56MHz의 고주파를 인가했다. 그리고, 이러한 플라즈마 가스와 처리 가스의 공급, 마이크로파의 방사, 고주파의 인가를 10초간 행하여, SiCO막(602)을 마스크로 하여 SiCN막 (603)을 50㎚ 에칭했다. 또한, 이 에칭 처리 중, 배기 장치(102)에 의해, 처리 용기(51) 내에서 발생한 배기 가스가 배기되어, 배기 가스 처리 장치(310) 내에서 PFC 가스가 회수되었다.
그리고, CF막(604)을 에칭하기 위해, 샤워 플레이트(61)로부터 처리 용기(51) 내에 공급하는 플라즈마 가스인 Ar 가스의 유량을 3.3×10―6m/s(200sccm)로 전환했다. 또한, 처리 가스 공급 구조체(90)로부터 처리 용기(51) 내에 공급하는 처리 가스인 Ar 가스, CF4 가스의 유량을 각각 3.3×10―7m/s(20sccm), 3.3×10―7m/s(20sccm)로 했다. 이때, 처리 용기(51) 내의 압력을 3.3Pa(25mTorr)로 유지했다. 그리고, 레이디얼 라인 슬롯 안테나(63)로부터의 2.45GHz의 마이크로파의 전력을 1.6kW로 전환했다. 또한, 바이어스용 고주파 전원(54)의 전력을 150W로 전환했다(13.56MHz). 그리고, 이러한 플라즈마 가스와 처리 가스의 공급, 마이크로파의 방사, 고주파의 인가를 60초간 행하여, CF막(604)을 에칭했다.
또한, CF막(604)을 오버 에칭하기 위해, 플라즈마 가스인 Ar 가스를 3.3×10―6m/s(200sccm)로 공급한 채로 하여, 처리 가스인 Ar 가스, CF4 가스의 유량을 각각 3.3×10―7m/s(20sccm), 1.7×10―7m/s(10sccm)로 했다. 이때, 처리 용기(51) 내의 압력은 3.3Pa(25mTorr)인 채로 유지했다. 그리고, 레이디얼 라인 슬롯 안테나(63)로부터의 마이크로파를 유지하고(1.6kW의 전력으로 2.45GHz), 바이어스용 고주파 전원(54)에 의한 13.56MHz의 고주파의 전력을 50W로 줄였다. 그리고, 이러한 플라즈마 가스와 처리 가스의 공급, 마이크로파의 방사, 고주파의 인가를 30초간 행했다. 그렇게 하자, SiCO막(602)을 마스크로 하여 CF막(604)이 에칭되었다(도 16(d)). 또한, 이 에칭 처리 중, 배기 장치(102)에 의해, 처리 용기(51) 내에서 발생한 배기 가스가 배기되어, 배기 가스 처리 장치(310) 내에서 PFC 가스가 회수되었다.
다음으로, SiCN막(605)을 에칭하기 위해, 샤워 플레이트(61)로부터 처리 용기(51) 내에 공급하는 플라즈마 가스를 Xe 가스로 전환하여, 6.7×10―6m/s(400sccm)로 공급했다. 또한, 처리 가스 공급 구조체(90)로부터 처리 용기(51) 내로의 처리 가스를 Xe 가스, C4F8 가스로 전환하여, 각각 3.3×10―7m/s(20sccm), 1.7×10―7m/s(10sccm)로 공급했다. 이때, 처리 용기(51) 내의 압력을 4.7Pa(35mTorr)로 유지했다. 그리고, 레이디얼 라인 슬롯 안테나(63)로부터 플라즈마 여기 영역(R1)을 향한 2.45GHz의 마이크로파의 전력을 1.0kW로 하고, 또한, 13.56MHz의 바이어스용 고주파의 전력을 80W로 전환했다. 그리고, 이러한 플라즈마 가스와 처리 가스의 공급, 마이크로파의 방사, 고주파의 인가를 20초간 행하여, CF막(604)을 마스크로 하여 SiCN막(605)을 에칭했다(도 16(e)). 또한, 이 에칭 처리 중, 배기 장치(102)에 의해, 처리 용기(51) 내에서 발생한 배기 가스가 배기되어, 배기 가스 처리 장치(310) 내에서 PFC 가스가 회수되었다. 또한, 배기 가스 처리 장치(310)로부터 배출된 배기 가스는 추가로 회수 장치(430)로 보내져, 회수 장치(430) 내에서 Xe 가스가 회수되었다.
그 후, CF막(606)을 에칭하기 위해, 샤워 플레이트(61)로부터 처리 용기(51) 내에, 플라즈마 가스인 Ar 가스를 3.3×10―6m/s(200sccm)로 전환하여 공급했다. 또한, 처리 가스 공급 구조체(90)로부터 처리 용기(51) 내에, 처리 가스인 Ar 가스, CF4 가스를 각각 3.3×10―7m/s(20sccm), 3.3×10―7m/s(20sccm)로 전환하여 공급했다. 이때, 처리 용기(51) 내의 압력을 3.3Pa(25mTorr)로 유지했다. 그리고, 레이디얼 라인 슬롯 안테나(63)로부터 플라즈마 여기 영역(R1)을 향하여, 1.6kW의 전력으로 전환하여, 2.45GHz의 마이크로파를 방사했다. 또한, 바이어스용 고주파 전원(54)에 의해 재치대(52)에 전력 150W로 전환한 13.56MHz의 고주파를 인가했다. 그리고, 이러한 플라즈마 가스와 처리 가스의 공급, 마이크로파의 방사, 고주파의 인가를 60초간 행했다.
또한, CF막(606)을 오버 에칭하기 위해, 플라즈마 가스인 Ar 가스를 3.3×10―6m/s(200sccm)로 공급하고, 처리 가스인 Ar 가스, CF4 가스를 각각 3.3×10―7m/s(20sccm), 1.7×10―7m/s(10sccm)로 공급했다. 이때, 처리 용기(51) 내의 압력을 3.3Pa(25mTorr)로 유지했다. 그리고, 레이디얼 라인 슬롯 안테나(63)로부터 1.6kW의 전력으로 2.45GHz의 마이크로파를 방사하고, 바이어스용 고주파 전원(54)에 의해 재치대(52)에 전력 50W로 13.56MHz의 고주파를 인가했다. 그리고, 이러한 플라즈마 가스와 처리 가스의 공급, 마이크로파의 방사, 고주파의 인가를 30초간 행했다. 그렇게 하자, SiCO막(605)을 마스크로 하여 CF막(606)이 에칭되었다(도 16(f)). 또한, 이 에칭 처리 중, 배기 장치(102)에 의해, 처리 용기(51) 내에서 발생한 배기 가스가 배기되어, 배기 가스 처리 장치(310) 내에서 PFC 가스가 회수되었다.
마지막으로, SiCN막(607)을 에칭하기 위해, 샤워 플레이트(61)로부터 처리 용기(51) 내에, 플라즈마 가스인 Xe 가스를 6.7×10―6m/s(400sccm)로 전환하여 공급했다. 또한, 처리 가스 공급 구조체(90)로부터 처리 용기(51) 내에, 처리 가스인 Xe 가스, C4F8 가스를 각각 3.3×10―7m/s(20sccm), 1.7×10―7m/s(10sccm)로 전환하여 공급했다. 이때, 처리 용기(51) 내의 압력을 4.7Pa(35mTorr)로 유지했다. 그리고, 레이디얼 라인 슬롯 안테나(63)로부터 플라즈마 여기 영역(R1)을 향하여, 1.0kW의 전력으로 2.45GHz의 마이크로파를 전환하여 방사했다. 또한, 13.56MHz의 바이어스용 고주파의 전력을 80W로 전환했다. 그리고, 이러한 플라즈마 가스와 처리 가스의 공급, 마이크로파의 방사, 고주파의 인가를 20초간 행하여, SiCO막(605)을 마스크로 하여 SiCN막(607)을 에칭했다(도 16(g)). 또한, 이 에칭 처리 중, 배기 장치(102)에 의해, 처리 용기(51) 내에서 발생한 배기 가스가 배기되어, 배기 가스 처리 장치(310) 내에서 PFC 가스가 회수되었다. 또한, 배기 가스 처리 장치(310)로부터 배출된 배기 가스는 추가로 회수 장치(430)로 보내져, 회수 장치(430) 내에서 Xe 가스가 회수되었다. 이에 따라, Cu막(608)(하층 배선층)에 달하는 콘택트 홀(VIA)을 형성했다.
이상과 같이, 본 발명의 플라즈마 처리 시스템(400)을 이용하면, 하나의 플라즈마 처리 장치(2) 내에서 기판(W) 상의 상이한 조성의 복수의 막을 연속하여 에칭할 수 있는 것을 알 수 있었다.
본 발명은 상이한 조성의 복수의 막을 성막 또는 에칭하는 플라즈마 처리 시스템 및 플라즈마 처리 방법에 유용하다.
1 : 플라즈마 처리 시스템
2 : 플라즈마 처리 장치
3 : 가스 공급원
4 : 플라즈마 가스 공급원
5 : 처리 가스 공급원
10a∼16a, 20a∼31a : 가스 배관
17, 32 : 가스 공급관
40 : 제어 장치
40a : 유량 제어 장치
51 : 처리 용기
52 : 재치대
61 : 샤워 플레이트
63 : 레이디얼 라인 슬롯 안테나
64 : 가스 공급공
90 : 처리 가스 공급 구조체
92 : 개구부
93 : 처리 가스 공급구
101 : 배기관
102 : 배기 장치
103 : 제1 진공 펌프
104 : 제2 진공 펌프
111 : 배기관
201 : 수로터
202 : 암로터
201b : 작동실
202b : 작동실
203 : 주(主)케이싱
301∼304 : 제1 밸브
305∼307 : 제2 밸브
310∼312 : 배기 가스 처리 장치
322 : 제3 밸브
330, 430 : 회수 장치
500 : 다른 배기 장치
R1 : 플라즈마 여기 영역
R2 : 플라즈마 확산 영역
2 : 플라즈마 처리 장치
3 : 가스 공급원
4 : 플라즈마 가스 공급원
5 : 처리 가스 공급원
10a∼16a, 20a∼31a : 가스 배관
17, 32 : 가스 공급관
40 : 제어 장치
40a : 유량 제어 장치
51 : 처리 용기
52 : 재치대
61 : 샤워 플레이트
63 : 레이디얼 라인 슬롯 안테나
64 : 가스 공급공
90 : 처리 가스 공급 구조체
92 : 개구부
93 : 처리 가스 공급구
101 : 배기관
102 : 배기 장치
103 : 제1 진공 펌프
104 : 제2 진공 펌프
111 : 배기관
201 : 수로터
202 : 암로터
201b : 작동실
202b : 작동실
203 : 주(主)케이싱
301∼304 : 제1 밸브
305∼307 : 제2 밸브
310∼312 : 배기 가스 처리 장치
322 : 제3 밸브
330, 430 : 회수 장치
500 : 다른 배기 장치
R1 : 플라즈마 여기 영역
R2 : 플라즈마 확산 영역
Claims (1)
- 상이한 조성의 복수의 막을 연속하여 성막 또는 에칭하는 플라즈마 처리 방법으로서,
기판을 수용한 처리 용기 내에, 유량을 제어하면서, 상기 복수의 막 중의 제1막을 성막 또는 에칭하기 위해 필요한 가스를 선택적으로 공급하고, 상기 처리 용기 내에 고주파를 2차원적으로 균일하게 공급함으로써 2차원적으로 균일하게 플라즈마를 발생시켜, 상기 플라즈마를 이용하여 상기 제1막을 성막 또는 에칭하는 제1 공정과,
상기 복수의 막 중의 제2막을 성막 또는 에칭하기 위해 필요한 가스를 상기 처리 용기에 선택적으로 공급하여, 상기 플라즈마를 발생시켜, 상기 플라즈마를 이용하여 상기 제2막을 성막 또는 에칭하는 제2 공정
을 포함하며,
상기 제1 공정 후에, 불활성 가스를 상기 처리 용기 내에 공급하여 배기하고, 그런 후에 상기 제2 공정을 행하는 것을 특징으로 하는 플라즈마 처리 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2007284527 | 2007-10-31 | ||
JPJP-P-2007-284527 | 2007-10-31 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020107010542A Division KR101126536B1 (ko) | 2007-10-31 | 2008-10-28 | 플라즈마 처리 시스템 및 플라즈마 처리 방법 |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20110130535A true KR20110130535A (ko) | 2011-12-05 |
Family
ID=40590974
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020107010542A KR101126536B1 (ko) | 2007-10-31 | 2008-10-28 | 플라즈마 처리 시스템 및 플라즈마 처리 방법 |
KR1020117027531A KR20110130535A (ko) | 2007-10-31 | 2008-10-28 | 플라즈마 처리 시스템 및 플라즈마 처리 방법 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020107010542A KR101126536B1 (ko) | 2007-10-31 | 2008-10-28 | 플라즈마 처리 시스템 및 플라즈마 처리 방법 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20100264117A1 (ko) |
JP (1) | JP5231441B2 (ko) |
KR (2) | KR101126536B1 (ko) |
WO (1) | WO2009057583A1 (ko) |
Families Citing this family (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5257328B2 (ja) * | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
FR2952055B1 (fr) | 2009-11-05 | 2011-11-11 | Rhodia Operations | Procede de preparation de composes hydroperoxyde d'alkyle |
WO2012137776A1 (ja) * | 2011-04-05 | 2012-10-11 | シャープ株式会社 | 気相化学成長装置 |
US20130237063A1 (en) * | 2012-03-09 | 2013-09-12 | Seshasayee Varadarajan | Split pumping method, apparatus, and system |
JP5916467B2 (ja) * | 2012-03-27 | 2016-05-11 | 東京エレクトロン株式会社 | マイクロ波放射アンテナ、マイクロ波プラズマ源およびプラズマ処理装置 |
US20130284093A1 (en) * | 2012-04-30 | 2013-10-31 | Semes Co., Ltd. | Substrate treating apparatus |
TWI541868B (zh) * | 2013-04-04 | 2016-07-11 | 東京威力科創股份有限公司 | 脈衝氣體電漿摻雜方法及設備 |
WO2015064194A1 (ja) * | 2013-10-30 | 2015-05-07 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
JP6219179B2 (ja) * | 2014-01-20 | 2017-10-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6544902B2 (ja) * | 2014-09-18 | 2019-07-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6373708B2 (ja) * | 2014-09-30 | 2018-08-15 | 株式会社Screenホールディングス | プラズマ処理装置およびプラズマ処理方法 |
JP6593635B2 (ja) * | 2014-12-24 | 2019-10-23 | 株式会社ジェイテクト | 樹脂製部材の製造方法 |
US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US10957561B2 (en) * | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US10927459B2 (en) * | 2017-10-16 | 2021-02-23 | Asm Ip Holding B.V. | Systems and methods for atomic layer deposition |
EP3477173A1 (de) | 2017-10-30 | 2019-05-01 | VAT Holding AG | Erweiterte vakuumprozesssteuerung |
US20200203127A1 (en) | 2018-12-20 | 2020-06-25 | L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude | Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes |
JP7296854B2 (ja) * | 2019-11-07 | 2023-06-23 | 東京エレクトロン株式会社 | ガス供給方法及び基板処理装置 |
US11887815B2 (en) * | 2021-02-03 | 2024-01-30 | Tokyo Electron Limited | Plasma processing system and method using radio frequency (RF) and microwave power |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4436674A (en) * | 1981-07-30 | 1984-03-13 | J.C. Schumacher Co. | Vapor mass flow control system |
JP3246708B2 (ja) * | 1995-05-02 | 2002-01-15 | 東京エレクトロン株式会社 | トラップ装置及びこれを用いた未反応処理ガス排気機構 |
US6391146B1 (en) * | 2000-04-11 | 2002-05-21 | Applied Materials, Inc. | Erosion resistant gas energizer |
JP2002099330A (ja) * | 2000-09-22 | 2002-04-05 | Aera Japan Ltd | 流量制御装置 |
JP2002203810A (ja) * | 2000-12-28 | 2002-07-19 | Tokyo Electron Ltd | 半導体装置の製造方法および半導体装置ならびに半導体装置の製造装置 |
JP5010781B2 (ja) * | 2001-03-28 | 2012-08-29 | 忠弘 大見 | プラズマ処理装置 |
JP2004091850A (ja) * | 2002-08-30 | 2004-03-25 | Tokyo Electron Ltd | 処理装置及び処理方法 |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
JP4195837B2 (ja) * | 2003-06-20 | 2008-12-17 | 東京エレクトロン株式会社 | ガス分流供給装置及びガス分流供給方法 |
US7278831B2 (en) * | 2003-12-31 | 2007-10-09 | The Boc Group, Inc. | Apparatus and method for control, pumping and abatement for vacuum process chambers |
US7422636B2 (en) * | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
JP4782585B2 (ja) * | 2006-02-28 | 2011-09-28 | 株式会社日立ハイテクノロジーズ | プラズマエッチング装置及び方法 |
JP4943047B2 (ja) * | 2006-04-07 | 2012-05-30 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
KR20100061731A (ko) * | 2007-09-14 | 2010-06-08 | 퀄컴 엠이엠스 테크놀로지스, 인크. | Mems 제조에 이용되는 에칭 방법 |
-
2008
- 2008-10-28 KR KR1020107010542A patent/KR101126536B1/ko active IP Right Grant
- 2008-10-28 US US12/740,904 patent/US20100264117A1/en not_active Abandoned
- 2008-10-28 WO PCT/JP2008/069515 patent/WO2009057583A1/ja active Application Filing
- 2008-10-28 KR KR1020117027531A patent/KR20110130535A/ko not_active Application Discontinuation
- 2008-10-28 JP JP2009539061A patent/JP5231441B2/ja active Active
Also Published As
Publication number | Publication date |
---|---|
WO2009057583A1 (ja) | 2009-05-07 |
KR20100080933A (ko) | 2010-07-13 |
KR101126536B1 (ko) | 2012-03-22 |
US20100264117A1 (en) | 2010-10-21 |
JP5231441B2 (ja) | 2013-07-10 |
JPWO2009057583A1 (ja) | 2011-03-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101126536B1 (ko) | 플라즈마 처리 시스템 및 플라즈마 처리 방법 | |
KR100729876B1 (ko) | 플라즈마 처리 방법 및 플라즈마 처리 장치 | |
US5834371A (en) | Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof | |
JP4503356B2 (ja) | 基板処理方法および半導体装置の製造方法 | |
US5868849A (en) | Surface processing device | |
KR100887439B1 (ko) | 전자 장치용 기판 및 그 처리 방법 | |
JP4480516B2 (ja) | バリア膜の形成方法 | |
TWI254363B (en) | Chamber cleaning method | |
EP1264329B1 (en) | Plasma deposition method and system | |
CN110783188A (zh) | 蚀刻方法和蚀刻装置 | |
TWI362703B (ko) | ||
JP2004363558A (ja) | 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法 | |
KR19990013876A (ko) | 화학적 증착법에 의한 티타늄 막 형성 방법 | |
US6749717B1 (en) | Device for in-situ cleaning of an inductively-coupled plasma chambers | |
JP2001308071A (ja) | E面分岐を有する導波管を用いたプラズマ処理装置及びプラズマ処理方法 | |
US9373516B2 (en) | Method and apparatus for forming gate stack on Si, SiGe or Ge channels | |
US7776736B2 (en) | Substrate for electronic device capable of suppressing fluorine atoms exposed at the surface of insulating film from reacting with water and method for processing same | |
KR20210058954A (ko) | 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체 | |
US6337286B1 (en) | Method for etching metals using organohalide compounds | |
JP4059792B2 (ja) | 半導体製造方法 | |
JP5339397B2 (ja) | 電子デバイスの製造方法 | |
JP2008159763A (ja) | プラズマ処理装置 | |
WO2004086480A1 (ja) | プラズマ処理装置のクリーニング方法及びプラズマ処理装置 | |
JP3522738B2 (ja) | 化学気相成長による金属薄膜形成方法 | |
TW202436637A (zh) | 表面金屬氧化物之電化學還原 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A107 | Divisional application of patent | ||
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E601 | Decision to refuse application |