KR20030007612A - 집적회로의 생산 공정 - Google Patents

집적회로의 생산 공정 Download PDF

Info

Publication number
KR20030007612A
KR20030007612A KR1020027015225A KR20027015225A KR20030007612A KR 20030007612 A KR20030007612 A KR 20030007612A KR 1020027015225 A KR1020027015225 A KR 1020027015225A KR 20027015225 A KR20027015225 A KR 20027015225A KR 20030007612 A KR20030007612 A KR 20030007612A
Authority
KR
South Korea
Prior art keywords
metal
layer
copper
metal oxide
process according
Prior art date
Application number
KR1020027015225A
Other languages
English (en)
Other versions
KR100775159B1 (ko
Inventor
페카 유아 조이니넨
카이-에릭 엘러즈
Original Assignee
에이에스엠 마이크로케미스트리 오와이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 마이크로케미스트리 오와이 filed Critical 에이에스엠 마이크로케미스트리 오와이
Publication of KR20030007612A publication Critical patent/KR20030007612A/ko
Application granted granted Critical
Publication of KR100775159B1 publication Critical patent/KR100775159B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76823Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/48247Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 집적 회로의 가공 동안에 적어도 부분적으로 금속산화물의 형태가 되는 원소 금속의 하나 이상의 층을 포함하는 집적 회로의 생산 공정, 및 집적 회로의 생산 동안에 형성되는 금속 산화물 층에 대하여 특정 작용기를 함유하는 유기 화합물의 용도에 관한 것이다. 본 발명의 공정에 따르면, 금속 산화물 층은 하나 이상의 하기 작용기를 함유하는 유기 화합물로부터 선택된 환원제로 적어도 부분적으로 원소 금속으로 환원된다.

Description

집적회로의 생산 공정{PROCESS FOR PRODUCING INTEGRATED CIRCUITS}
집적 회로(IC)내의 인터케넥트, 커패시터 전극 및 게이트 금속은 금속류로 제작된다. 특히, 구리는 낮은 저항과 같은 양호한 전기적 특성으로 인해 인터커넥트용으로 매력적인 대안이다.
집적 회로의 가공 동안에, 금속 표면은 산화될 것이고, 그리하여 금속 표면 및 그의 인터커넥트의 저항이 증가한다. 금속성 인터커넥트 상의 산화물 층은 인터커넥트를 통한 전자의 흐름을 제약하고, IC의 고속 응용장치에 유해하다.
반면에, 집적 회로에서는 금속 와이어를 전기적으로 서로 분리하기 위하여 절연체가 필요하다. 통상 절연체로서 사용되는 저유전율의 재료는 고온, 즉 약 400℃ 또는 그 이상의 온도를 견디지 못한다.
소위 다마스커스(damascene) 및 이중 다마스커스 구조(도 8 참조)가 IC의 제조에 적용되는 통상의 박막 구조이다. 다마스커스 및 이중 다마스커스 구조를 제작하는 동안, 절연층을 통해 기저의 구리 금속 층까지 개구(비아; vias)가 형성된다. 따라서, 절연체 표면이 기체 분위기에 노출된다. 개구의 바닥으로부터 휘발성 금속 화합물을 증발시키고 심지어 하나의 분자층의 구리 화합물이라도 절연체 표면에 화학흡착시키는 것은 절연체의 전기적 특성에 유해하다. 다마스커스 가공은 구리의 절연체로의 확산을 방지하기 위하여 절연체 표면상에 확산 방벽이 필요하다. 확산 방벽이 증착된 후, 비아의 바닥에 위치하는 구리 표면으로부터 산화물 층을 제거하는 것은 불가능하다. 어떠한 휘발성 금속 화합물도 형성하지 않고 금속 산화물이 제거될 수 있는 방법에 대한 필요성이 분명히 존재한다.
선행 기술에 따르면, 금속 층상의 산화물 층은 제거되거나 환원될 수 있다. 미국특허 제5,939,334호 및 유럽공개특허 제0 880 168호에서는, β-디케톤에 의해 금속 산화물 층을 제거하는 방법이 개시되어 있다. β-디케톤 증기가 예를 들어 구리 산화물 표면과 접촉하면, 구리 β-디케토네이트 및 물이 형성된다. 2가지 반응 생성물은 증발에 의해 표면으로부터 제거되고 금속 표면이 얻어진다. 상기 공개특허의 공정은, 고형 물질의 금속 오염 때문에 신뢰성 문제가 발생하여 집적 회로의 생산에 성공적으로 적용될 수 없다. 휘발성 금속 화합물 분자는 증기상으로부터 전도체를 둘러싸는 기판 표면상으로 화학흡착 되고, 그 표면으로부터 절연체내로 확산된다.
미국특허 제6,033,584호에 개시된 방법에 따르면, 구리 산화물 층의 환원을 위하여 H2플라즈마 처리가 이용될 수 있다. 그 방법이 심지어 저온에서 이용될 수 있더라도, 플라즈마는 IC의 절연체 물질에 손상을 가하는 경향이 있다. 게다가, 플라즈마 발생기는 전체 IC 제작 공정에 비용 및 복잡성을 부가한다.
산화 구리가 수소 기체 및 일산화탄소에 의해 환원될 수 있다는 것은 공지되어 있다. 금속 산화물을 일산화탄소 중의 수소로 환원시켜 반도체 금속 와이어 층을 제작하는 방법은 미국특허 제5,731,634호에 개시되어 있다. 비활성 수소는 증가된 공정 온도 또는 추가의 에너지를 요구하는, 예를 들어 플라즈마 형태를 요구하는 강한 H-H 결합을 갖는다.
우트리아이넨(M. Utriainen et al.)은 수소에 의한 산화니켈의 환원을 연구하였다[Applied Surface Science 157 (2000) pp.151-158]. 니켈은 H-H 결합의 파괴를 촉매하여 수소 기체를 활성화시킨다. 상기 문헌에 따르면, NiO의 환원은 230℃에서 30분 이내에 수행될 수 있다. 그러나, 저자는 언급한 환원 단계는 박막의 구조적 붕괴를 유도하고 핀홀이 형성된다고 한다.
H2및 CO와 같은 작은 분자는 금속 산화물 막내로 신속히 확산하고, 막 내에 부산물(H2O 및 CO2)을 형성한다. 증가된 크기 때문에, 이러한 부산물은 환원하는 H2또는 CO 분자가 막을 향하는 것보다 표면을 향하여 낮은 확산도를 갖는다. 내부 압력을 증가시키는 것은 막을 파열시켜 핀홀을 생성할 것이다. 따라서, 환원제로서 H2또는 CO를 사용하는 것은 본 발명의 응용에 바람직하지 않다.
본 발명자는 촉매 없이 수소와 암모니아로 비교 실험을 수행하였다. 암모니아는 수소가 풍부하므로 실험을 하기 위해 선택되었다. 결과는 수소가 450℃에서 1시간내에 산화 구리를 환원시키지 않았다는 것이다[핀란드특허출원 제FI20001163호]. 본 발명자는 기상 암모니아로 동일한 결과를 수득하였다; 산화 구리는 500℃미만의 온도에서 환원될 수 없다. IC 가공은 400℃ 미만에서 충분히 신속히(<5분) 작용하고 절연체 표면을 오염시키지 않는 본 발명의 단순한 환원 공정에 의한 것이 유리하다.
산화 구리 및 알콜, 알데히드 및 카르복시산 사이의 화학반응은 문헌[Gmelin Handbuch der Anorganischen Chemie, Kupfer, Teil B-Lieferung 1, System-Nummer 60, Verlag Chemie, GMBH., 1958]에 공지되어 있다. 그러나, 문헌에 설명된 실험은 거친 표면으로 인해 큰 표면-대-부피 비를 가짐으로써 조밀한 매끄러운 층 형태의 산화 구리보다 용이하게 구리 금속으로 환원되는 산화 구리 분말로 수행되었다. 어떠한 반응 조건도 개시되지 않았다. 더욱이, 문헌은 금속 박막의 제조 또는 집적회로 생산 공정에서 환원 반응을 이용하는 가능성을 개시하고 있지 않다.
유럽특허 제0 469 472 A1호는 인쇄 보드 상의 산화 구리를 환원시키는 공정을 개시하고 있다. 응용은 인쇄 회로 보드상의 구리박(copper foil) 전도체에 관한 것이다. 수소, 일산화탄소 또는 이들의 혼합물 또는 히드라진이 환원제로서 사용되었다. 백금 금속 그룹 금속 촉매가 산화구리층 상에 고정되었다. 촉매는 저온에서 환원제를 활성화시킨다. 산화 구리는 구리 금속과 절연 적층(매트릭스 수지) 사이의 접착을 향상시키기 위하여 사용되었다. 산화 구리는 환원에 의해 구리 표면으로부터 제거되었다.
유럽특허 제0 469 470 A1호에 주어진 구리를 환원시키는 방법의 단점은 활성제 금속(백금 그룹)이 표면상에 증착된다는 것이다. 백금 그룹 금속으로는 루테늄(Ru), 로듐(Rh), 팔라듐(Pd), 오스뮴(Os), 이리듐(Ir) 및 백금(Pt)이 있다.다마스커스 구조상의 비아 및 트렌치상에 균일한 백금 그룹 금속 코팅을 증착하는 것은 PVD 및 CVD 방법에 의해 복잡하고 고비용인 공정이다.
유럽특허 제0 469 470 A1호는 알콜, 알데히드, 카르복시산, 암모니아 및 히드라진의 촉매적 열분해를 통해 환원제(수소 또는 일산화탄소)를 생산하는 것을 언급하고 있다. 그 후, 환원제는 금속 산화물과 접촉된다. 이 방법의 단점은, 촉매적 열분해가 표면을 오염시키는 유기 화합물로부터 비휘발성으로 탄소가 풍부한 단편을 형성한다는 것이다. 이들 비휘발성 단편은 인쇄된 회로 보드내의 거시적 접점(직경 약 0.1-1 mm)의 기능에는 무해하지만, 이들 부분은 집적 회로의 미시적 접점(직경 약 0.8-0.25㎛)에는 유해하다.
유럽특허 제0 469 470 A1호는 인쇄 회로 보드에 사용되는 구리 클래드 적층(laminate)으로부터 구리 산화물을 제거하는 방법을 개시하고 있다. 환원 기체는 히드라진과 메틸히드라진의 촉매적 열분해를 통해 생성된다. 히드라진 및 메틸히드라진은 발암성과 부식성을 가진 유해한 화학물질이고, 열분해는 IC의 민감한 표면을 오염시키는 메틸히드라진으로부터 응축성 물질을 생성한다. 인쇄 회로 보드의 구리 표면에 제조된 접촉 영역은 거시적 크기(약 0.1-1 mm 직경)이다. 문헌은 어떻게 본 발명을 미세적 다마스커스 구조의 제조에 적용할 것인가를 개시하지 않는다.
구리 표면으로부터의 구리 산화물의 액상 제거의 방법은 WO 93/10652 및 DE 41 08 073에 공지되어 있다. 액상에 기초한 방법의 단점은 금속 산화물이 반응하여 용액에 노출되는 모든 표면에 분자층으로서 접착할 수 있는 가용성 금속 화합물의 형태가 된다는 것이다. 게다가, 다마스커스 공정에 금속 산화물의 액상 제거법을 적용하는 것은 비용이 많이 든다. 예를 들어, 구리 산화물의 액상 제거 후에, 기판은 확산 방벽이 증착되기 전에 산소 또는 반응성 산소 화합물을 함유하는 분위기에 노출되지 않도록 주의깊게 보호되어야 한다. 많은 다마스커스 공정 단계는 증기상 가공 유니트로 구성된 클러스터 기구내에서 수행되는 것이 바람직하다. 액상 처리 동안에 제공된 구리 금속 표면은 기판이 증기상 가공 유니트에 도달하기 전에 용이하게 구리 산화물로 재산화된다. 액상 및 기상 유니트를 조합하는 것은 복잡하고 고비용이다. 게다가, IC 기판이 산소 부재 분위기내에서 린스되고 건조되어 구리 표면의 재산화를 회피하도록 완전한 산소 부재 분위기 또는 고진공 환경하에서 클러스터 기구로 운송되어야 하므로, 다마스커스 또는 이중 다마스커스 구조내의 비아(via) 바닥으로부터 금속 산화물을 제거하는데 액상 처리를 적용하는 것이 어렵다.
본 발명은 집적 회로(IC)의 제작에 관한 것으로서, 보다 상세하게는 IC의 제작 동안에 기판상의 금속 산화물을 원소 금속으로 환원시키는 것에 관한 것이다.
도 1은 전기화학적으로 증착된 (이하 "ECD") 구리 금속으로부터 측정된 시간-대-비행 탄성 반등 검출 분석(Time-to-Flight Elastic Recoil Detection Analysis: 이하 "TOF-ERDA") 결과를 나타낸다.
도 2는 산화된 ECD 구리로부터 측정된 TOF-ERDA 결과를 나타낸다.
도 3은 385℃에서 5분 동안 메탄올 증기로 환원된 산화된 ECD 구리로부터 측정된 TOF-ERDA 결과를 나타낸다.
도 4는 360℃에서 5분 동안 에탄올로 환원된 산화된 ECD 구리로부터 측정된TOF-ERDA 결과를 나타낸다.
도 5는 360℃에서 5분 동안 이소프로판올로 환원된 산화된 ECD 구리로부터 측정된 TOF-ERDA 결과를 나타낸다.
도 6은 310℃에서 5분 동안 포름산으로 환원된 산화된 ECD 구리로부터 측정된 TOF-ERDA 결과를 나타낸다.
도 7은 구리 표본의 전기 저항을 나타낸다.
도 8은 이중 다마스커스 구조의 개략도이다.
도 9는 커패시터 구조의 개략도이다.
도 10은 CMOS 구조에 적당한 NMOS 트랜지스터의 개략도이다.
도면의 상세한 설명
도 1 내지 도 7은 시험에서 보다 상세하게 설명된다.
도 8에 도시된 이중 다마스커스 구조는 사전 금속화 층(2) 예를 들어 Cu, 절연층(4) 예를 들어 SiO2, Si3N4로 제조된 비아 에치 스톱(via etch stop)(6), 비아 레벨 절연체(8) 예를 들어 SiO2, Si3N4로 제조된 트랜치 에치 스톱(10), 트랜치 레벨 절연체(12) 예를 들어 SiO2, 확산 방벽(14) 예를 들어 TaN, 시드 층(16) 및 비아/트랜치 충실 금속(18) 예를 들어 Cu로 구성된다.
도 9에 도시된 커패시터 구조는 접촉 플러그(30) 예를 들어 텅스텐(W) 또는 폴리실리콘, 절연체(32), 선택적 확산 방벽(34) 예를 들어 TiN, 저부 전극(36) 예를 들어 Ru, Pt 또는 RuO2, 높은-k 유전체 막(38) 예를 들어 바륨 스트론튬 티타네이트(BST) 및 상부 전극(40) 예를 들어 Ru 또는 Pt으로 구성된다.
도 10에 도시된 부분 트랜지스터 구조는 기판(60), n형 웰(62), p형 확산 영역(64)(오른쪽 드레인, 왼쪽 소스), 얕은 트랜치 격리 산화물(66), 게이트 유전체(68), 선택적 방벽층(70), 게이트 금속(72), 게이트 격리 스페이서(74) 및 텅스텐 플러그(76)용 접촉 영역으로 구성된다. 접촉 영역은 다른 쪽 부재번호의 부분과 동일한 수직 평면에 존재하지 않기 때문에 점선으로 나타냈다. CMOS 구조는 PMOS 및 NMOS 트랜지스터 모두를 포함한다. P형 반도체에 대한 접촉 영역은 예를 들어 Ni 및 RuO로 제조된다. N형 반도체에 대한 접촉 영역은 예를 들어 Ru로 제조된다. 또한, 백금을 W 플러그 아래에 도포할 수 있다. 금속 또는 전기 전도성 금속 화합물의 선택은 기저 층의 일함수, 및 금속 또는 전기 전도성 금속 화합물을 가진 주변 재료의 반응성에 의존한다.
발명의 요약
본 발명의 목적은 집적 회로의 제작 동안에 종래 기술의 문제점을 제거하고 금속 산화물을 원소 금속으로 환원시키는 신규한 방법을 제공하는 것이다.
본 발명은 집적 회로의 가공 동안에 환원제로서 반응성 유기 화합물을 사용하여 금속 산화물을 원소 금속으로 환원시킬 수 있다는 발견에 근거한다. 본 발명에 사용된 유기 화합물은 알콜(-OH), 알데히드(-CHO), 및 카르복시산(-COOH)의 기로부터 선택된 하나 이상의 작용기를 포함한다.
본 발명은 집적 회로(IC) 칩의 제조에 사용되는 공정 설비에 용이하게 통합되는 증기상 공정에 관한 것이다. 바람직하게는, 증기상 공정은 다마스커스 공정 또는 이중 다마스커스 공정에 통합된다. 또한, 커패시터 전극 및 게이트 금속을 제조하는 응용이 제시된다.
본 발명은 표 1에 제시된 하기 금소 산화물 박막을 원소 금속 박막으로 회복시키는데 적용될 수 있다.
표 1:
표 1에 나열된 금속 산화물은 우연히 형성되거나, PVD 또는 CVD와 같은 여러 방식 또는 방법으로 기판에 의도적으로 증착될 수 있다. 환원될 금속 산화물의 증기압은 금속 산화물의 증발을 회피하기 위하여 환원 온도에서 낮은 것이 요구된다. 본 발명에 따르면, 환원 온도는 400℃ 미만일 수 있고, 이것은 적당한 금속 산화물군에까지 확장된다. 몇몇 경우에, IC 제조용 재료, 예를 들어 낮은 k 절연체로서의 다공성 규소 산화물은 400℃ 초과의 높은 가공 온도를 견딘다. 이 경우에, 환원 온도의 상한은 400℃를 넘어서 500℃까지 확장될 수 있다.
본 발명에 따르면, 금속 박막으로 복원될 금속 산화물 박막은 필수적으로 하나의 금속 산화물 또는 금속 산화물의 혼합물로 구성된다. 전환 단계는 금속 산화물 층내의 산소에 대한 금속 보다 동일한 산화물 층내의 산소에 대해 강한 결합을 제공할 수 있는 기상 환원제로 수행된다. 기상 환원제는 금속 산화물에 결합된 산소를 이탈시킬 수 있으며, 그리하여 기판 표면상에 원소 금속만이 남는다.
표 2 및 3은 금속 산화물이 본 발명에 따라 환원되어 산화물을 낮추거나 또는 금속이 되는 것을 나타낸다. 바람직하게는 하기 환원제 중 하나 이상이 사용된다: 메탄올, 포름알데히드, 포름산, 에탄올, 아세트알데히드, 이소프로판올. 증기 혼합물이 2개 이상의 환원제 화학물질이 동시에 사용되는 경우에 제조될 수 있다. 실험은 선택된 산화물 중 경금속 산화물 보다 중금속 산화물이 환원시키기 용이하다는 것을 나타낸다.
본 발명에 따라 가장 공격적인 환원제만이 표 3의 산화물을 금속 또는 저급 산화물로 환원시킬 수 있다.
표 2. 본 발명에 따라 환원될 금속 산화물
표 3. 본 발명에 따라 가장 공격적 환원제로 환원될 금속 산화물
보다 상세하게는, 본 발명에 따른 공정은 청구항 제1항의 특징부에 서술된 것을 특징으로 한다.
본 발명으로 인해 많은 상당한 이점을 얻을 수 있다.
본 환원 방법은 값싼 환원성 화학물질을 이용한다. 공정 온도는 비교적 낮고, 추가의 에너지, 예를 들어 플라즈마 형태가 필요하지 않다.
낮은 공정 온도로 인해, 본 공정은 집적 회로의 생산에 특히 유리하다. 금속 산화물의 원소 금속으로의 환원율이 높다. 환원율은 환원될 금속 산화물 층의 두께에 의존한다. 실험으로 400-nm 두께의 구리 산화물 층이 310℃ 정도의 낮은 온도에서 5분 안에 순수한 구리 금속으로 환원됨을 발견하였다.
환원된 금속막 내의 탄소 및 수소 불순물 및 산소의 양은 적다. 따라서, 본 발명은 낮은 공정 온도, 짧은 동작 시간 및 생산된 박막 내의 낮은 수준의 탄소 및 수소 불순물의 독특한 조합을 제공한다.
본 발명은 금속 산화물의 원소 금속으로의 환원에 기초한다. 본 발명에 사용된 공급원 화학물질은 휘발성 금속 화합물을 형성하지 않는다; 그들은 금속 산화물과 반응하여 단지 원소 금속 및 기판 표면으로부터 세정 또는 펌핑되는 휘발성 금속 부재 부산물을 형성한다. 따라서, 본 발명의 방법은 IC 디바이스의 절연 표면에 금속 산화물 오염을 초래하지 않는다.
본 발명은 금속 산화물을 제거하기 위해 단순한 1단계 환원 공정을 제공하고, 추가적인 물리적 또는 화학적 예비가공, 예를 들어 열분해 또는 촉매작용이 없이 증발될 수 있는 값싸고 상업적으로 가용한 화학물질 공급원을 이용한다. 게다가, 기판의 복잡한 액상 처리가 필요 없다.
본 발명은 금속 산화물의 환원이 일어나는 반응 공간에 앞서 안전하게 취급되고 기상으로 용이하게 증발될 수 있는 단순한 액체 화학물질 공급원을 이용한다.
본 발명에 따르면, 비교적 부피가 큰 분자를 가진 환원제(알콜류, 알데히드류 및 카르복시산류)가 이용된다. 부피가 큰 화학물질 공급원 분자는 금속 산화물 막 내부로 용이하게 확산하지 않는다. 환원 공정 동안에, 산소 이온이 환원 화학물질에 의해 산소가 소모되는 표면을 향하여 확산할 것으로 기대된다. 기상 부산물은 막 내부에는 형성되지 않고 단지 표면에만 형성된다. 형성하는 금속 막의 구조적 무결성(integrity)이 보존되고 어떠한 핀홀도 막상에 형성되지 않을 것이다.
환원제로서 수소를 사용하는 공정과 비교하면, 본 발명은 수소 분자 기체를 이용하는 공정 보다 매우 낮은 온도에서 짧은 공정 시간으로 원하는 환원도를 제공한다.
본 발명은 특히 집적 회로내의 다마스커스 및 이중 다마스커스 구조내에서전기 전도성 표면상의 절연 또는 반도체 금속 산화물 층을 환원시키기 위해 사용될 수 있다. 따라서, 비아 및/또는 트랜치의 바닥에 있는 구리 금속층의 표면상에 형성되는 금속 산화물 층이 확산 방벽이 증착되기 전에 본 발명에 따라 유기 환원제로 일부 또는 전부가 금속으로 환원된다. 바람직하게는 상기 금속은 구리이다.
나아가, 본 발명은 집적 회로의 다마스커스 및 이중 다마스커스 구조내에 구리의 전기화학적 증착을 위해 시드(seed) 층을 증착하기 위한 방법을 제공한다. 임의의 적당한 방법(예를 들어, ALD 방법)에 의해 증착된 구리 또는 기타 금속 박층은 구리 또는 기타 금속으로 적어도 일부가 환원되고, 이어서 구조상의 트랜치 및 비아를 채우는 구리의 증착을 위한 시드 층으로서 작용한다. 본 발명은 또한 주위 재료에 양호한 접착력을 갖는 커패시터 전극 및 게이트 금속을 형성하기 위한 수단을 제공한다.
하기에서, 본 발명은 첨부된 도면을 참조하여 보다 상세하게 설명될 것이다.
발명의 상세한 설명
집적 회로의 생산은 여러 상이한 방식으로 수행될 수 있는 많은 단계를 가진복잡한 공정이다. 본 공정은 수득된 IC가 IC의 가공 동안에 적어도 부분적으로 금속 산화물 형태인 하나 이상의 전도성 금속 층을 구성하는 경우 다양한 공정과 연계하여 사용될 수 있다.
일반적으로, IC의 구조는 상이한 특성, 상이한 영역 및 그리하여 구조내에서 상이한 기능을 갖는 상이한 재료의 층들을 포함한다. 상기 층들은 그 자체로 공지된 증착 방법에 의해 생산된다. 바람직한 증착 방법은 원자층 증착(ADL), 화학증기증착(CVD) 및 특히 구리 금속 층의 증착의 경우에, 전기화학적 증착(ECD)를 포함한다. IC 구조내의 층들의 예로는 유전체층 및 확산 방벽층이 있다. 절연층은 전도층을 서로간에 전기적으로 분리하기 위하여 필요하다. 전기적으로 전도성인 확산 방벽층은 구리 또는 기타 금속이 인터커넥트로부터 절연체 또는 디바이스의 활성 부분으로 확산되는 것을 방지하기 위하여 필요하다. 반면에, 확산 방벽층은 산소 또는 기타 유해 성분이 금속 층으로 확산되는 것을 방지하기 위하여 필요하다.
본 발명에 따르면, 집적 회로를 생산하기 위한 공정은 통상 적어도 하기 단계들을 포함한다:
- 구조물내에서 유전체를 제거하는 처리가 추가로 진행하는 것을 막을 수 있는 적어도 하나의 제 1층을 하나 이상의 금속 층을 포함하는 기판상에 증착하는 단계,
- 상기 층상에 하나 이상의 제 1유전체층을 증착하는 단계,
- 구조물내에서 유전체를 제거하는 처리가 추가로 진행하는 것을 막을 수 있는 하나 이상의 제 2층을 증착하는 단계,
- 상기 층상에 하나 이상의 제 2유전체층을 증착하는 단계,
- 그렇게 형성된 구조내에 비아 및/또는 트랜치를 형성하여 기판 상의 금속층이 적어도 부분적으로 노출되고 산화되게 하는 단계,
- 하나 이상의 하기 작용기를 포함하는 유기 화합물의 군으로부터 선택된 환원제로 산화된 금속층을 적어도 부분적으로 금속으로 환원시키는 단계: 알콜(-OH), 알데히드(-CHO), 및 카르복시산(-COOH),
- 바람직하게는 상기 환원된 금속 표면상에 하나 이상의 확산 방벽을 증착하는 단계,
- 바람직하게는 상기 확산 방벽층 상에 원하는 두께의 금속층을 증착하는 단계.
상기 단계들은 원하는 특성을 가진 구조가 형성될 때까지 반복된다. 통상, IC 구조에서, 상기 사이클이 5-6회 반복된다. 다음 사이클을 시작하기 전에, 증착된 금속층의 표면은 제 2유전체층의 상부 표면 높이로 평탄화되고, 바람직하게는 확산 방벽 또는 에치 스톱층이 상기 평탄화 된 구리층 상에 증착된다.
본원에 사용된 "금속 (산화물) 층", 및 일반적으로 층은, 공급원으로부터 기판까지 진공, 기상 또는 액상을 통해 개별 이온, 원자 또는 분자로서 운반되는 원소 또는 화합물로부터 성장되는 층을 의미한다. 층을 제조하기 위한 증착 방법의 선택은 본 발명의 환원 방법의 적용을 제한하지 않는다. 층의 두께는 넓은 범위에 걸쳐, 예를 들어 하나의 분자층으로부터 800 nm까지, 최고 1000 nm 및 그 이상까지 변한다.
가장 진보된 IC 구조 중 하나는 기판 상에 트랜지스터(소스, 게이트 및 드레인)을 가진 실리콘 기판으로 구성된 소위 이중 다마스커스 구조이다. 단지 제 1금속화 평면(level)만이 게이트가 구리로 오염되는 것을 방지하고자 텅스텐 플러그 및 알루미늄 인터커넥트로 되어진다. 금속화 평면의 나머지는 구리로 제조되다.
이중 다마스커스 방법으로 금속화 평면 및 인터커넥트를 형성하는 것에 대한 보다 상세한 예가 하기에 주어진다. 공정 단계의 상세 및 수는 변할 수 있으나,일반적 사상은 대체로 동일하다.
단계 1. 사전 금속화 표면상에 질화규소 에치 스톱이 성장된다.
단계 2. 비아 평면 유전체가 증착된다.
단계 3. 또다른 질화 규소 에치 스톱이 증착된다.
단계 4. 트랜치 평면 유전체가 증착된다. SiO2가 유전체 재료로서 선호된다. 질화 규소 산화물과 같은 낮은-k 재료 및 중합체뿐만 아니라 다공성 절연체가 대안적 유전체 재료로서 실험되었다.
단계 5. 사진석판술로 유전체에 패턴을 형성하는 단계.
- 유전체 표면상에 레지스트 층이 증착된다.
- 레지스트 층이 패턴화 되고 레지스트가 비아 영역으로부터 제거된다.
- 유전체가 방향성 플라즈마에 의해 비아 영역으로부터 에칭된다. 에칭 과정은 질화규소 표면에서 중단된다.
- 레지스트가 표면으로부터 벗겨진다.
단계 6. 사진석판술로 에치 스톱 층을 패턴화 하는 단계.
- 제 2레지스트 층이 표면상에 증착된다.
- 레지스트 층이 패턴화 되고, 트랜치 영역으로부터 제거된다.
- 질화규소가 제 1플라즈마 산화물 에치로 제조된 홀의 바닥으로부터 짧은 플라즈마 질화물 에치에 의해 제거된다.
- 제 2플라즈마 산화물 에치가 노출된 비아 및 트랜치 영역으로부터 질화규소 에치 스톱에 도달할 때까지 이산화규소를 제거한다.
- 짧은 플라즈마 질화물 에치에 의해, 제 1질화규소 에치 스톱이 비아 바닥으로부터 제거되고, 제 2질화규소 에치 스톱이 트랜치 바닥으로부터 제거된다.
- 레지스트가 기판으로부터 벗겨진다.
단계 7. 확산 방벽층이 모든 노출된 표면상에 성장된다.
단계 8. 구리 증착을 위한 시드 층이 CVD 또는 PVD에 의해 확산 방벽층상에 성장된다.
단계 9. 비아 및 트랜치가 전기도금 공정에 의해 구리로 채워진다.
단계 10. 기판 표면이 화학 기계적 연마(CMP)에 의해 평탄화된다. 표면은 트랜치 및 비아내에만 구리 및 방벽 층이 남을 때까지 연마된다.
단계 11. 표면이 질화 규소 에치 스톱 층으로 덮여진다.
단계 12. 금속화 공정이 모든 잔류 금속화 평면에 대하여 반복된다.
기판에 형성되는 트랜치 및 비아의 형태 및 수는 생산 목적에 맞추어지게 선택된다.
레지스터 층이 위에 제시된 바와 같이 제거되는 경우, 대응 위치에서 오존 처리를 겪는 구리 층은 적어도 부분적으로 산화되어 구리 산화물을 형성한다. 이 구리 산화물 층은 본 발명에 따라 필수적으로 전체로 또는 적어도 부분적으로 구리 금속으로 환원된다. 금속의 원치 않는 산화는 IC 구조의 생산 동안에 상이한 공정 단계에서 일어날 수 있으며, 본 공정은 임의의 또는 모든 이들 단계에서 구리 산화물 층을 적어도 부분적으로 구리 금속으로 환원시키기 위해 유리하게 사용된다.
원하는 구조에 따라, IC 구조의 금속층의 수가 1 내지 2, 3, 4, 또는 심지어 6 또는 그 이상일 수 있다. 예를 들어, 구리층(구리 플러그)가 통상 비아 또는 트랜치의 바닥에서 확산 방벽 층상에 증착된다. 본 발명의 환원 공정은 IC 구조의 임의의 또는 모든 금속 층의 증착과 연계하여 사용될 수 있다.
본 발명의 제 1구체예에 따르면, IC 구조내의 인터커넥트로서 필요한 구리층이 임의의 적당한 방법으로 기판상에 원하는 두께의 구리 산화물 층을 성장시키고, 이어서 본 발명의 공정에 사용되는 환원제로 상기 산화물층을 구리 금속층으로 환원시켜 증착될 수 있다.
본 발명의 제 2구체예에 따르면, 기판상의 구리 층(공정 단계 8 참조)의 증착을 위한 시드 층은 먼저 적당한 방법에 의해 통상 두께가 0.1-10 nm인 구리 산화물 박층을 증착하여 형성된다. 그 후, 상기 구리 산화물 층은 적어도 부분적으로 구리 금속으로 환원되고, 이어서 구리 금속 층이 임의의 적당한 방법에 의해 증착될 수 있다. 따라서, 구리 산화물 층 및 구리 금속층을 증착시키기 위해 사용되는 방법은 독립적으로 선택될 수 있다.
본 발명에 사용되는 기판은 다른 대체물이 사용될 수도 있지만, 통상 실리콘이다. 제 2, 제 3 등의 금속층 또는 금속 산화물층이 증착되는 경우에, "기판"은 통상 먼저 증착된 확산 방벽층이다. 따라서, 기판은 비결정형 전이금속 질화물, 예를 들어 TiN, TaN 및 WN과 같은 재료를 포함할 수도 있다.
이미 언급한 바와 같이, 환원될 금속 산화물층은 예를 들어 의도적으로 증착된 금속 산화물 층일 수 있고, 그것은 본래 산화물 형태의 금속의 원치 않은 산화의 결과물일 수 있다. 따라서, 환원될 층내의 화학 구조 및 산소 함량은 매우 다양하다. 통상, 5 내지 75 at-%, 특히 33 내지 50 at-%이다.
환원될 층의 두께는 통상 0.1 내지 1000 nm이다. 환원될 층이 표면 금속의 원치 않은 산화로 수득된 본래의 산화물의 층인 경우에, 층의 두께는 통상, 비교적 두꺼운 산화물 층이 기판상에 증착되고 금속으로 환원되는 경우에 비해 작다. 금속층 상에 형성된 본래 산화물의 두께는 통상 0.1 내지 100 nm, 특히 0.1 내지 50nm이다. 반면에, 100 내지 1000 nm, 특히 300 내지 500 nm 두께의 금속 산화물층을 금속으로 환원시키는 것이 가능하다.
환원 공정은 제어된 온도, 압력 및 기체 유동 조건을 가능하게 하는 반응 공간내에서 수행되는 것이 바람직하다. 낮은 압력 및 대기 압력 반응기 모두가 환원 공정에 이용될 수 있다.
환원될 금속층을 포함하는 기판이 반응 공간에 위치되고, 반응 공간이 진공으로 되는 것이 바람직하다. 유기 환원제가 증발되고, 선택적으로 질소와 같은 불활성 캐리어 기체의 도움으로 반응 공간으로 공급된다. 환원제는 기판에 접촉되고, 그리하여 금속 산화물층이 적어도 부분적으로 금속으로 환원되며 환원제가 산화된다. 통상, 반응 공간은 그 다음에 불활성 캐리어 기체로 퍼지되어 비반응 유기 환원제 및 반응 생성물을 제거한다.
본 발명에 따른 환원 공정은 저온에서 수행된다. 이론적으로, 산화물과 본 발명의 공정에 사용된 환원제 사이의 반응은 넓은 온도 범위에서 유리하며, 심지어실온 정도에서도 유리하다. 반응속도론적 인자 및 박막 표면으로의 산소의 확산 속도가, 성공적으로 적용될 수 있는 실제 공정 온도의 하한을 설정한다. 반응 공간의 온도는 통상 250 내지 400℃이고, 몇몇 경우에 기판 재료는 500℃ 이하, 바람직하게는 300 내지 400℃, 특히 310 내지 390℃를 허용한다. 약 0.1 내지 10nm 정도의 매우 얇은 금속 산화물 박막의 경우에, 환원은 심지어 250℃ 미만에서 수행될 수 있다.
반응 공간의 압력은 통상 0.01 내지 20 mbar, 바람직하게는 1 내지 10 mbar이다.
가공 시간은 환원될 층의 두께에 따라 달라진다. 두께가 300-400nm 이하인 구리 산화물 층은 약 3 내지 5분 이내에 환원될 수 있다. 두께가 약 0.1-10 nm인 층에 대하여, 가공 시간은 수 초 정도이다.
적당한 설비의 예로는 ALD 및/또는 CVD에 의해 박막을 증착하기 위해 사용되는 반응기가 있다. 또한, 환원 공정은 클러스터 기구내에서 수행될 수 있으며, 여기에서 기판은 이전 공정 단계로부터 도착하고, 기판이 환원제로 처리되어 최종적으로 후행 공정 단계로 운반된다. 클러스터 기구내에서 반응 공간 온도는 일정하게 유지될 수 있으며, 이것은 각각의 운전 전에 공정 온도로 가열되는 반응기와 비교하여 산출량을 분명히 개선시킨다.
독립형(stand-alone) 반응기에 로드-록(load-lock)이 장착될 수 있다. 그 경우에, 각 운전 사이에 반응 공간의 온도를 변경시킬 필요가 없다.
환원된 구리 층내에 존재하는 불순물의 양은 적다. H 및 C 불순물의 양은통상 0.1 내지 0.2 at-% 정도이다. 잔류 산소의 양은 통상 0.1 내지 1 at-%, 특히 0.2 내지 0.5 at-%이다.
본 발명의 제 3구체예에 따르면, 금속층의 전기화학 증착을 위한 시드층이 형성된다. 개방된 트랜치 및 비아를 가진 IC 기판이 ALD 또는 CVD 반응 챔버내로 제공된다. 금속 산화물층(16)을 상부에 가진 확산 방벽층(14)은 기판에 초기에 제공되었다. 금속 산화물(16)은 ReO2, Re2O7, ReO3, RuO2, OsO2, CoO, Co3O4, Rh2O3, RhO2, IrO2, NiO, PdO, PtO2, Cu2O, CuO, Ag2O 및 Au2O3또는 이들의 혼합물의 군으로부터 선택될 수 있다. 당업자는 금속 산화물에서 화학양론이 변할 수 있으므로 환원 공정이 상기 금속 산화물에 제한되지 않는다는 것을 이해할 수 있다.
반응 챔버의 압력은 진공 펌프와 질소 기체를 유동시켜 약 5-10 mbar로 조정된다. 금속 산화물 막은 금속 층으로 전환되고, 전기도금 공정을 위한 시드 층(16)으로서 사용된다.
본 발명의 제 4구체예에 따르면, 금속 층은 적어도 하나의 -OH, -CHO 및/또는 -COOH 작용기를 함유하는 화학물질로부터 선택된 기상의 환원제를 포함하는 대기에서 화학적 기계적 연마(CMP)를 수행하기 전 어닐링 된다. CMP 단계 전에 수행된 어닐링은 사전-CMP 어닐링으로 명명된다. 환원제는 적어도 하나의 -OH, -CHO 및/또는 -COOH 작용기를 함유하는 2개 이상의 화학물질의 기상 혼합물일 수 있다. 환원제 기체는 비반응성 기체, 예를 들어 질소 기체로 희석될 수 있다.
기판을 포함하는 IC의 비아 및 트랜치가 전기화학적으로 도금된 구리(18)로채워진 후에, 표준 절차의 어닐링이 수행된다. 어닐링은 구리 층의 기계적 및 물리적 특성을 개선함으로써 연마 속도를 증가시키고 연마의 균일도를 개선시킨다. 구리층에 용해되는 산소가 구리의 과립 성장을 방해하므로, 환원 대기에서 본 발명에 따라 구리층을 어닐링하는 것이 유리하다. 환원제 기체는 비반응성 기체, 예를 들어 질소 또는 아르곤으로 희석될 수 있다. 환원 온도는 400℃ 미만이 바람직하다.
본 발명의 제 5구체예에 따르면, 금속 산화물은 사후-CMP 세정 후에 원소 금속으로 환원된다. 사후-CMP로 명명되는 화학적 기계적 연마 후에 반도체 입자가 디바이스의 표면으로부터 세정된다. 그러나, 일부 구리 산화물이 구리 금속 표면상에 여전히 잔류할 수 있다. 금속 표면상의 금속 산화물 코팅은 금속 층과 금속 층의 상부에 증착되는 박막, 예를 들어 에치 스톱 층 사이의 접착력을 손상시킨다. 따라서, 본 발명의 환원 단계를 사후-CMP 공정 후에 수행하는 것이 유리하다. 기상 환원제는 바람직하게는 400℃ 미만에서 기판과 접촉되고, 환원제는 적어도 하나의 -OH, -CHO 및/또는 -COOH 작용기를 함유하는 유기 화합물로부터 또는 상기 유기 화합물의 기상 혼합물로부터 선택된다.
본 발명의 제 6구체예에 따르면, 비아 바닥에 우연히 형성된 금속 산화물 층이 환원된다. 질화규소 에치 스톱이 비아 바닥으로부터 제거되고 레지스트가 기판으로부터 벗겨진 후, 금속 산화물이 비아 바닥 상의 노출된 금속상에 형성될 수 있다. 금속 산화물은 구리와 인접 확산 방벽 박막 사이에 낮은 접촉 저항을 제공하기 위하여 그것을 환원시켜 제거되어야 한다. 기상 환원제는 바람직하게는 400℃미만에서 기판에 접촉되고, 환원제는 적어도 하나의 -OH, -CHO 및/또는 -COOH 작용기를 함유하는 유기 화합물로부터 또는 상기 유기 화합물의 기상 혼합물로부터 선택된다.
본 발명의 제 7구체예에 따르면, 환원가능한 금속 산화물 층을 기판상에 가진 IC 구조가 CVD 반응기의 반응 챔버내에 제공된다. 기판은 증착 온도까지 가열된다. 금속 산화물은 상응하는 금속으로 전환되고, 제 1전극(36)으로서 사용된다. 그 다음에, 높은-k 유전체 재료(38)의 박막이 제 1전극(36)상에 성장된다. 높은-k 층(38)은 선택적으로 어닐링 될 수 있다. 금속 산화물 막은 공지된 증착 방법, 예를 들어 PVD 또는 CVD로 또는 계류중인 특허출원에 따른 ALD로 높은-k 층상에 성장된다. 본 발명에 따르면, 금속 산화물 막은 비활성 기체, 예를 들어 질소 기체로 임의로 희석된, 적어도 하나의 -OH, -CHO 및/또는 -COOH 작용기를 함유하는 유기 화합물로부터 선택된 기상 환원제에 의해 또는 상기 환원제의 2개 이상의 기상 화합물의 혼합물에 의해 상응하는 원소 금속으로 전환된다. 그로부터 형성된 금속층은 커패시터의 제 2전극(40)으로서 사용된다.
본 발명의 제 8구체예에 따르면, 환원가능한 금속 산화물을 표면상에 가진 기판이 CVD 반응기의 반응 챔버내로 제공된다. 환원가능한 금속 산화물의 아래에는, 예를 들어 소스 및 드레인 영역(64)상의 게이트 산화물(68) 또는 도핑된 실리콘이 존재할 수 있다. 기판은 환원 온도로 가열되고, 이것은 400℃ 미만이 바람직하다. 금속 산화물은 적어도 하나의 -OH, -CHO 및/또는 -COOH 작용기를 함유하는 유기 화합물로부터 또는 상기 유기 화합물의 기상 혼합물로부터 선택된 환원제에의해 상응하는 금속으로 환원된다. 그것에 의해 형성된 금속은 트랜지스터의 게이트 전극으로서 사용된다. 또한, 상기 금속은 트랜지스터의 소스 및 드레인 영역상의 실리콘 플러그와 텅스텐 플러그 사이의 중간층(76)으로서 사용될 수 있다.
제 9구체예에 따르면, 본 발명은 구리 와이어 및/또는 구리 본딩 패드를 이용하는 IC의 후단(back end) 어셈블리에 적용된다. 웨이퍼 평면에서 다중 평면 구리 인터커넥트가 보다 통상적이 되고 있으므로, 이용된 본딩 와이어에 불구하고 패드와 패키지 사이에 일관되고 높은 신뢰성의 상호연결을 제공하는 보다 경제적인 공정 및 취급 프로토콜이 필요하다.
IC 제조 공정 동안에, 구리 본딩 패드를 가진 웨이퍼가 표면상에 구리 산화물의 형성을 촉진하는 공정에 노출된다. 그러한 공정은 예를 들어 웨이퍼 다이싱(dicing), 다이 접착 에폭시 경화 및 와이어 본딩이다. 그로부터 형성된 금속 산화물은 본 발명에 의해 금속형으로 복원된다.
웨이퍼 다이싱은 고속 절단 동작 동안 전체 웨이퍼 표면을 수중에 침수시키며, 상기에서 다이아몬드 입자가 주입된 휠 스피닝이 고속 회전으로 이용된다. 절단선에서 열이 발생하고, 실리콘의 온도가 상승하여 구리 패드 표면상의 산화물의 형성을 촉진한다.
은 플레이크 또는 은 분말로 충전된 에폭시와 같은 유기 재료가 개개의 다이스를 기판의 다이 접착 패드에 접착시키기 위해 사용된다. 에폭시 기재 재료를 경화할 필요가 있고, 다양한 열 프로필이 이용된다. 이용된 최고 온도는 통상 250℃를 초과하지 않는다. 경화 분위기는 질소 또는 청정 공기일 수 있다. 디바이스는통상 실내 공기에 노출되기 전에 상당한 냉각과정을 겪지 않는다. 이 지점에서 형성된 산화물은, 경화 공정 동안에 기체를 방출하는 용매가 존재하므로 약간의 탄소를 포함할 수 있으며, 산화 공정 동안에 표면에 재증착 될 수 있다. 그러한 재층착물의 조성은 이때에 잘 정의되지 않는다.
와이어 본딩의 예열 단계 동안에, 기판은 다양한 시간 주기에 대하여 130 내지 175℃의 온도에 노출된다. 접착기(bonder)의 전체 트랙 시스템은 불활성 기체 분위기가 구비되거나 구비되지 않을 수 있다. 따라서, 산화물은 본딩 공정의 이 단계 동안에 생성될 수 있다.
다양한 유형의 재료가 IC 디바이스의 기판으로서 사용된다. 기판은 종종 구리 합금(A194, 7025C, EFTEC64T)로 구성되고, 잘 정의된 영역에 전기 도금된 은 스트립을 구비한다. 또한, 도금은 니켈 상의 팔라듐 상의 금의 완전한 도금으로 구성될 수 있다. 다른 기판 재료는 성질상 유기물이고, 종종 BT 수지로부터 제조된다. 이들 기판상에는, 신호 처리를 위한 패턴이 형성된 일련의 금속 트레이스가 존재한다. 기재 재료는 니켈 및 금으로 도포된 구리이다. 대부분의 니켈- 및 금-도포된 구리는 솔더 마스크 형태의 유기 재료로 도포된다.
다이 접착 에폭시, 전기도금, 유기 기판 및 솔더 마스크가 임의의 세정 공정에 의해 감성되지 않도록 하는 것이 중요하다.
최근에는 유기 기판이 전형적으로 산소 플라즈마 내에서 세정되어 금 도금으로부터 잔류물을 제거한다. http://www.semiconductorfabtech.com에 의해 공지된 일부 작업은, 수소/아르곤 플라즈마가 구리 본딩 패드로부터 산화물을 세정하는데적당하다고 제시한다. 실제 세정 공정의 상세는 문헌[Nico Onda, Zeno Stossel et al., "Hydrogen plasma cleaning a novel process for IC-packaging"]에 개시되어 있다.
본 발명의 독점적 화학반응으로부터 유도된 공정은 구리 패드뿐만 아니라 리드프레임 자체로부터 산화물을 제거할 수 있다. 리드프레임 상에는, 금속 표면상에 은 산화물을 포함하는 은 도금된 영역이 존재할 수 있다. 구리 와이어가 본딩 공정에 이용된다면, 리드프레임상에서 도금을 포기하도록 요구하는 강한 요구가 산업계에 존재한다. 이것은 비용의 관점으로부터 유인된 것이다. 이것은 강한 산화물 제거 공정 및 재산화를 방지할 수 있는 와이어 본딩 시스템 모두를 요구한다. 후자가 지금 우리가 할 수 있는 것이다. 공정 및 하드웨어는 등가 플라즈마 공정에 필적하는 프레임 당 1분 미만의 사이클 시간을 제공해야 한다. 시스템 비용이 또한 낮아야 하며, MTBA/MTTR은 다른 어셈블리 설비와 조화되어야 한다. 또한, 정규 유지보수 사이에 긴 휴지시간이 존재하여야 한다. 유지보수 시간은 짧아야 하고, 또한 비용이 적게 들어야 한다.
본 발명의 제 10구체예에 따르면, 다이스 및 리드프레임상의 와이어 본딩 패드와 관련된 니켈 도금 표면상의 니켈 산화물, 은 도금 표면상의 은 산화물 및 구리 표면상의 구리 산화물이 본 발명에 따라 환원된다. 에폭시가 다이스에 도포된 후, 고온이 회피되어야 하며; 따라서, 적용된 환원 온도는 대략 150℃로 매우 낮아야 한다.
금속 패드 상의 산화물 층의 두께는 웨이퍼 로트(lot)에 따라 변한다. 본발명의 제 11구체예에 따른 환원 방법은 2단계의 환원 공정으로 구성된다. 제 1환원 단계는 본딩 패드상의 금속 산화물 층의 두께의 변화를 감소시켜 웨이퍼 로트를 균일화시킨다. 제 1환원 단계는 400℃ 미만에서 수행될 수 있다. 웨이퍼가 다이스 되고 다이스가 리드프레임의 중심에 접착되는 경우, 제 2환원 단계가 일어난다. 본딩 패드상의 금속 산화물(통상 구리 산화물)의 잔여 박층이 약 150℃에서 원소 금속으로 환원된다. 환원제는 적어도 하나의 -OH, -CHO 및/또는 -COOH 작용기를 함유하는 유기 화합물 또는 상기 유기 화합물의 혼합물이다. 환원제가 증발되고, 선택적으로 불활성 기체 예를 들어 질소 기체로 희석되며, 반응 공간으로 도입되어, 환원성 금속 산화물을 함유하는 기판 표면에 접촉된다.
환원제
환원제는 충분한 증기압, 기판 온도에서의 충분한 열적 안정성 및 환원될 금속과의 충분한 반응성을 가진 재료로부터 선택된다.
충분한 증기압이란, 기상의 충분한 화학물질 공급원 분자가 기판 근처에 존재하여 충분히 빠른 환원반응을 가능하게 하는 것을 의미한다.
사실, 충분한 열적 안정성이란, 환원제 자체가 열적 분해를 통해 기판상에 성장 방해성 응축가능한 상을 형성하지 않고, 기판 표면상에 유해 수준의 불순물을 남기지 않아야 한다. 따라서, 기판상으로 분자의 비제어적 응축을 회피하는 것이 하나의 목적이다.
추가의 선택 기준으로는 충분히 고순도의 화학물질의 가용성, 특히 엄격한 주의 없는 취급의 용이성을 포함한다.
본 발명에 따르면, 환원제는 상기 기준을 만족시키는 유기 화합물로부터 선택된다. 본 발명에 따른 환원에 이용된 유기 화합물은 알콜(-OH), 알데히드(-CHO) 및 카르복시산(-COOH)로부터 선택된 하나 이상의 작용기를 갖는다.
하나 이상의 알콜기를 함유하는 유사 환원제는 다음으로부터 선택되는 것이 바람직하다:
- 수소에 결합하거나(CH3OH에서 처럼) 또 다른 탄소 원자에 결합한 탄소 원자에 부착된 -OH기를 구비한 1차 알콜, 특히 하기 일반식(Ⅰ)에 따른 1차 알콜
R 1 -OH (Ⅰ)
여기에서 R1은 선형 또는 분지된 C1-C20알킬 또는 알케닐 기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이며,
바람직한 1차 알콜의 예로는, 메탄올(CH3OH), 에탄올(CH3CH2OH), 프로판올(CH3CH2CH2OH), 부탄올(CH3CH2CH2CH2OH), 2-메틸프로판올((CH3)2CHCH2OH) 및 2-메틸 부탄올(CH3CH2CH(CH3)CH2OH)가 있으며,
- 2개의 다른 탄소 원자에 결합되는 탄소 원자에 부착된 -OH기를 가진 2차 알콜, 특히 하기 화학식 (Ⅱ)에 따른 2차 알콜
(Ⅱ)
여기에서 각 R1은 선형 또는 분지된 C1-C20알킬 및 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실로 구성된 군으로부터 독립적으로 선택되며,
적당한 2차 알콜의 예로는, 2-프로판올((CH3)2CHOH) 및 2-부탄올(CH3CH(OH)CH2CH3)가 있으며,
- 3개의 다른 탄소 원자에 결합된 탄소원자에 부착된 -OH기를 가진 3차 알콜, 특히 일반식 (Ⅲ)에 따른 3차 알콜
(Ⅲ)
여기에서 각 R1은 선형 또는 분지된 C1-C20알킬 및 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실로 구성된 군으로부터 독립적으로 선택되며,
적당한 3차 알콜의 예로는, 3차-부탄올((CH3)3COH)가 있으며,
- 디올류 및 트리올류와 같은 폴리히드록시 알콜로서, 이것은 상기 1차, 2차 및/또는 3차 알콜기를 가지며, 예를 들어 에틸렌 글리콜(HOC2CH2OH) 및 글리세롤(HOCH2CH(OH)CH2OH)이며,
- 1-10개, 통상 5-6개의 탄소 원자로 구성된 고리의 일부인 적어도 하나의탄소 원자에 부착되는 -OH기를 가진 시클릭 알콜,
- 벤젠 고리에 부착되거나, 또는 벤질 알콜(C6H5CH2OH), o-, p- 및 m-크레졸 및 레조르시놀과 같이 측쇄의 탄소 원자에 부착된 하나 이상의 -OH기를 가진 방향족 알콜,
- 할로겐화 알콜, 바람직하게는 일반식 (Ⅳ)를 갖는 할로겐화 알콜
CH n X 3-n -R 2 -OH (Ⅳ)
여기에서 X는 F, Cl, Br 또는 I이고, 바람직하게는 F 또는 Cl이며,
n은 0 내지 2의 정수이고,
R2은 선형 또는 분지된 C1-C20알킬렌 및 알케닐렌 기, 바람직하게는 메틸렌, 에틸렌, 트리메틸렌, 테트라메틸렌, 펜타멘틸렌 및 헥사메틸렌, 및 특히 메틸렌 및 에틸렌의 군으로부터 선택되며,
적당한 화합물의 예로는, 2,2,2-트리플루오로에탄올(CF3CH2OH)가 있으며,
- 기타 알코올 유도체, 예를 들어 메틸 에탄올아민(CH3NHCH2CH2OH)과 같은 아민류.
하나 이상의 -CHO기를 함유하는 적당한 환원제는 다음의 기로부터 선택되는 것이 바람직하다:
- 일반식 (Ⅴ)의 화합물
R 3 -CH0 (Ⅴ)
여기에서, R3은 수소, 또는 선형 또는 분지된 C1-C20알킬 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실, 특히 메틸 또는 에틸이며,
화학식 (Ⅴ)에 따른 바람직한 화합물의 예로는, 포름알데히드(HCHO), 아세트알데히드(CH3CHO) 및 부티르알데히드(CH3CH2CH2OH)가 있고,
- 일반식 (Ⅵ)을 갖는 알칸디알 화합물
OHC-R 4 -CHO (Ⅵ)
여기에서 R4은 선형 또는 분지된 C1-C20포화 또는 불포화 탄화수소이지만, R4이 "0"인 경우, 즉 알데히드 기가 서로 결합되는 경우도 가능하며,
- 할로겐화 알데히드, 및
- 기타 알데히드 유도체.
하나 이상의 -COOH기를 함유하는 적당한 환원제는 다음 기로부터 선택되는 것이 바람직하다:
- 일반식 (Ⅶ)을 갖는 화합물
R 5 -COOH (Ⅶ)
여기에서 R5은 수소 또는 선형 또는 분지된 C1-C20알킬 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실, 특히 메틸 또는 에틸이며,
화학식 (Ⅶ)에 따른 적당한 화합물의 예로는 포름산(HCOOH) 및 아세트산(CH3COOH)가 있으며,
- 폴리카르복시산,
- 할로겐화 카르복시산, 및
- 기타 카르복시산 유도체.
금속 산화물의 환원에서, 환원제는 자연적으로 산화된다. 따라서, 본 발명에 이용된 환원제 중에서, 알콜류가 알데히드 및 케톤으로 산화되고, 알데히드류가 카르복시산으로 산화되며, 카르복시산이 이산화탄소로 산화된다. 물은 몇몇 반응에서 기상 부산물로서 형성된다. 산화된 환원제가 휘발성이고, 따라서 반응 후에 반응 공간으로부터 용이하게 제거될 수 있는 경우의 환원제를 선택하는 것이 중요하다.
하기에서, 본 발명은 실시예를 참조하여 추가로 설명된다.
실시예
박막의 특성은 하기 방법으로 결정된다:
저항은 휴렛 패커드 34401A 멀티미터로 측정된다. 4개의 리드가 2개의 금 도금 접촉 핀에 접속된다. 상기 배열은 리드 저항의 제거를 가능하게 하였다. 핀 팁 사이의 거리는 13.5mm이다. 측정 동안에, 핀은 190 g 질량으로 표본 표면에 주의 깊게 압착된다. 표본 사이의 비교 분석을 위한 장치가 이용되었다.
도 7은 구리 표본의 전기 저항을 나타낸다. 저항치는 각 표본으로부터의 10회 측정치의 평균이다. 실리콘에 증착된 ECD 구리 금속이 모든 실험에 이용되었다. ECD-1 및 ECD-2는 어떠한 추가 처리도 없는 순수한 ECD 구리 금속이다. O-ECD-1 및 O-ECD-2 표본은 구리 산화물 코팅을 갖는다(약 350nm). 나머지 표본들은 환원 실험 전에 구리 산화물 코팅을 가졌다. N2은 99.9999%의 순도를 갖는 질소 기체이고, Me0H는 메탄올이며, EtOH는 에탄올이고, 2-PrOH는 이소프로판올이고, 3차-BuOH는 3차-부탄올이고, PrCHO는 부티르알데히드이고, Me2CO는 아세톤이고, HCOOH는 포름산이며, CH3COOH는 아세트산이고, H2는 수소이다. 시약 이름 뒤의 숫자는 섭씨로 나타낸 반응 온도이다. 괄호내의 숫자는 분으로 나타낸 반응 시간이다.
박막의 조성은 TOF-ERDA로 결정되었다. 표본은 53 MeV127I10+이온으로 조준되었다.
도 1은 전기화학적으로 증착된 (이후 ECD로 언급됨) 구리 금속으로부터 측정된 TOF-ERDA 결과를 나타낸다. 산소, 탄소 및 수소 농도는 0.1 at-% 미만이다.
도 2는 산화된 ECD 구리로부터 측정된 TOF-ERDA 결과를 나탄낸다. 미리 산화된 표본은 질소 분위기하에서 1시간 동안 350-380℃에서 가열되었다. 350nm 산화물 층은 Cu2O의 조성을 가졌다. 막내에는 탄소 및 수소가 0.1 at-% 미만으로 존재한다.
반응식에 대한 자유 깁스 에너지(△Gf)는 윈도우(버전 3.02)용 OutokumpuHSC Chemistry(Outokumpu Research Ltd., Pori, Finland)로 계산되었다.
실시예 1 : 메탄올 증기에 의한 환원
구리 금속상에 구리 산화물 코팅을 가진 실리콘 기판을 F-120 ALCVDTM반응기의 반응 챔버내에 적재시켰다. 반응 챔버를 진공으로 배기시키고 실험에 따라 250℃, 310℃, 360℃ 또는 385℃로 가열시켰다. 반응 챔버의 압력을 흐르는 질소 기체로 약 5-10 mbar로 조정하였다.
메탄올은 20℃에서 128 mbar의 증기압을 갖는 액체이다. 메탄올을 21℃로 유지되는 외부 공급병으로부터 증발시켰다. 메탄올 증기를 질소 기체(순도 99.9999%, 400 표준 cm3/min)와 혼합시켰다. 기체 혼합물을 반응 챔버내로 도입시키고 기판에 접촉시켰다. 반응 시간은 300초였다. 메탄올의 소비량은 0.55g이었다. 표본을 실내 공기로 하적하기 전에 반응기를 100℃ 미만으로 냉각시켰다.
반응식 R1 및 R2에 따라 구리 산화물이 구리 금속으로 환원되는 동안 메탄올이 구리 산화물로 산화되어 포름알데히드와 물로 된다고 생각된다. 포름알데히드와 구리 산화물의 추가의 반응이 가능하며, 이것은 이산화탄소를 형성시킨다.
도 3은 385℃에서 5분 동안 메탄올 증기로 환원된 산화된 ECD 구리로부터 측정된 TOF-ERDA 결과를 나타낸다. 막내에 탄소 및 수소 0.1 at-% 및 산소 0.5 at-%가 존재한다.
실시예 2 : 에탄올 증기에 의한 환원
구리 금속상에 구리 산화물 코팅을 가진 실리콘 기판을 F-120 ALCVDTM반응기의 반응 챔버내에 적재시켰다. 반응 챔버를 진공으로 배기시키고 실험에 따라 310℃, 360℃ 또는 385℃로 가열시켰다. 반응 챔버의 압력을 흐르는 질소 기체로 약 5-10 mbar로 조정하였다.
에탄올은 20℃에서 59 mbar의 증기압을 갖는 액체이다. 에탄올(등급 BA14, 순도 99.5%)을 21℃로 유지되는 외부 공급병으로부터 증발시켰다. 에탄올 증기를 질소 기체(순도 99.9999%, 400 표준 cm3/min)와 혼합시켰다. 기체 혼합물을 반응 챔버내로 도입시키고 기판에 접촉시켰다. 반응 시간은 300초였다. 에탄올의 소비량은 0.60g이었다. 표본을 실내 공기로 하적하기 전에 반응기를 100℃ 미만으로 냉각시켰다.
결과적으로, 구리 산화물 막이 310℃에서 부분적으로 구리 금속으로 환원되었다. 환원반응은 360 및 385℃에서 5분내에 완결되었다.
반응식 R3 및 R4에 따라 구리 산화물이 구리 금속으로 환원되는 동안 에탄올이 구리 산화물로 산화되어 아세트알데히드와 물로 된다고 생각된다. 아세트알데히드와 구리 산화물의 추가의 반응이 가능하며, 이것은 아세트산을 형성시킨다.
도 4는 360℃에서 5분 동안 에탄올 증기로 환원된 산화된 ECD 구리로부터 측정된 TOF-ERDA 결과를 나타낸다. 막내에 0.1-0.2 at-%의 탄소 및 수소가 존재한다. 150nm 깊이의 아래에는 0.2 at-%의 산소가 존재한다. 150nm 아래에서는 2.5 at-% 이하의 산소가 막내에 존재한다.
실시예 3 : 2-프로판올 증기에 의한 환원
구리 금속상에 구리 산화물 코팅을 가진 실리콘 기판을 F-120 ALCVDTM반응기의 반응 챔버내에 적재시켰다. 반응 챔버를 진공으로 배기시키고 실험에 따라 310℃, 360℃ 또는 385℃로 가열시켰다. 반응 챔버의 압력을 흐르는 질소 기체로 약 5-10 mbar로 조정하였다.
2-프로판올로 공지된 이소프로판올은 20℃에서 43 mbar의 증기압을 갖는 액체이다. 이소프로판올(Merk VLSI Selectipur)을 21℃로 유지되는 외부 액체 공급병으로부터 증발시켰다. 이소프로판올 증기를 질소 기체(순도 99.9999%, 400 표준 cm3/min)와 혼합시켰다. 기체 혼합물을 반응 챔버내로 도입시키고 기판에 접촉시켰다. 반응 시간은 300초였다. 이소프로판올의 소비량은 0.70g이었다. 표본을 실내 공기로 하적하기 전에 반응기를 100℃ 미만으로 냉각시켰다.
결과적으로, 구리 산화물이 360 및 385℃에서 5분내에 구리 금속으로 완전히 환원되었다. 부분 환원은 310℃에서 수득되었다.
2-프로판올이 구리 산화물로 산화되어 아세톤 및 물로 되고 이 때에 구리 산화물이 반응식 R5 및 R6에 따라 구리 금속으로 환원되는 것으로 생각된다.
도 5는 360℃에서 5분 동안 이소프로판올 증기로 환원된 산화된 ECD 구리로부터 측정된 TOF-ERDA 결과를 나타낸다. 막내에 0.1-0.2 at-%의 탄소 및 수소가 존재한다. 120nm 깊이의 아래에는 0.2 at-%의 산소가 존재한다. 120nm 아래에서는 20 at-% 이하의 산소가 막내에 존재한다.
실시예 4 : 3차-부탄올 증기에 의한 환원
구리 금속상에 구리 산화물 코팅을 가진 실리콘 기판을 F-120 ALCVDTM반응기의 반응 챔버내에 적재시켰다. 반응 챔버를 진공으로 배기시키고 385℃로 가열시켰다. 반응 챔버의 압력을 흐르는 질소 기체로 약 5-10 mbar로 조정하였다.
2-메틸-2-프로판올로 공지된 3차-부탄올은 실온에서 고체이고 +24-+25℃에서 녹는다. 그것은 20℃에서 36 mbar의 증기압을 갖는 액체이다. 그것을 21℃로 유지되는 외부 액체 공급병으로부터 승화시켰다. 3차-부탄올 증기를 질소 기체(순도 99.9999%, 400 표준 cm3/min)와 혼합시켰다. 기체 혼합물을 반응 챔버내로 도입시키고 기판에 접촉시켰다. 반응 시간은 실험에 따라 5 내지 60분으로 변하였다. 표본을 실내 공기로 하적하기 전에 반응기를 100℃ 미만으로 냉각시켰다.
결과적으로, 3차 알콜은 구리 산화물과 2차 및 1차 알콜보다 낮은 반응성을갖는 것으로 나타났다. 구리 산화물의 구리 금속으로의 완전 환원은 반응 온도가 385℃보다 높지 않은 경우에 60분내에 수득되지 않았다.
실시예 5 : 부티르알데히드 증기에 의한 환원
구리 금속상에 구리 산화물 코팅을 가진 실리콘 기판을 F-120 ALCVDTM반응기의 반응 챔버내에 적재시켰다. 반응 챔버를 진공으로 배기시키고 실험에 따라 310℃, 360℃ 또는 385℃로 가열시켰다. 반응 챔버의 압력을 흐르는 질소 기체로 약 5-10 mbar로 조정하였다.
부티르알데히드는 20℃에서 148 mbar의 증기압을 갖는 액체이다. 그것을 21℃로 유지되는 외부 액체 공급병으로부터 증발시켰다. 부티르알데히드 증기를 질소 기체(순도 99.9999%, 400 표준 cm3/min)와 혼합시켰다. 기체 혼합물을 반응 챔버내로 도입시키고 기판에 접촉시켰다. 환원 실험을 310℃, 360℃ 또는 385℃에서 수행하였다. 반응 시간은 300초였다. 부티르알데히드의 소모량은 1.3g이었다. 표본을 실내 공기로 적하하기 전에 반응기를 100℃ 미만으로 냉각시켰다.
결과적으로, 구리 산화물이 360 및 385℃에서 5분내에 부분적으로 구리 금속으로 환원되었다. 저항 측정은, 기판의 중간은 매우 낮은 저항을 갖는 반면 기판의 측면은 잔류된 약간의 산화물을 갖는 것으로 나타내었다. 다양한 크기의 탄화수소에 결합된 -OH기를 갖는 알콜 실험에 기초하여, 부티르알데히드 보다는 작은 알데히드 분자, 예를 들어 포름알데히드 HCHO 또는 글리옥살 C2H2O2로부터 보다 높은 반응성을 수득할 수 있을 것으로 기대된다.
부티르알데히드가 구리 산화물에 의해 산화되어 분명히 구분되는 냄새를 갖는 부티르산으로 되는 동안 구리 산화물은 반응식 R7 및 R8에 따라 구리 금속으로 환원된다.
실시예 6 : 아세톤 증기에 의한 환원
구리 금속상에 구리 산화물 코팅을 가진 실리콘 기판을 F-120 ALCVDTM반응기의 반응 챔버내에 적재시켰다. 반응 챔버를 진공으로 배기시키고 385℃로 가열시켰다. 반응 챔버의 압력을 흐르는 질소 기체로 약 5-10 mbar로 조정하였다.
아세톤은 가장 단순한 케톤이다. 그것은 20℃에서 233 mbar의 증기압을 갖는 액체이다. 그것을 21℃로 유지되는 외부 액체 공급병으로부터 증발시켰다. 아세톤 증기를 질소 기체(순도 99.9999%, 400 표준 cm3/min)와 혼합시켰다. 기체 혼합물을 반응 챔버내로 도입시키고 기판에 접촉시켰다. 환원 실험을 385℃에서 수행하였다. 아세톤 증기를 실험에 따라 5 내지 60분 동안 기판에 접촉시켰다. 아세톤의 소모량은 0.8(5분) 및 9.3g(60분)이었다. 표본을 실내 공기로 적하하기 전에 반응기를 100℃ 미만으로 냉각시켰다.
케톤의 반응성은 알데히드의 반응성보다 분명히 열등한 것으로 나타났다.
실시예 7 : 포름산 증기에 의한 환원
구리 금속상에 구리 산화물 코팅을 가진 실리콘 기판을 F-120 ALCVDTM반응기의 반응 챔버내에 적재시켰다. 반응 챔버를 진공으로 배기시키고 실험에 따라 250℃, 310℃ 또는 385℃로 가열시켰다. 반응 챔버의 압력을 흐르는 질소 기체로 약 5-10 mbar로 조정하였다.
포름산은 가장 단순한 카르복시산이다. 그것은 20℃에서 42 mbar의 증기압을 갖는 액체이다. 그것을 21℃로 유지되는 외부 액체 공급병으로부터 증발시켰다. 포름산 증기를 질소 기체(순도 99.9999%, 400 표준 cm3/min)와 혼합시켰다. 기체 혼합물을 반응 챔버내로 도입시키고 300초 동안 기판에 접촉시켰다. 포름산의 소모량은 0.5g이었다. 표본을 실내 공기로 적하하기 전에 반응기를 100℃ 미만으로 냉각시켰다.
결과적으로, 구리 산화물은 310 및 385℃에서 5분내에 구리 금속으로 완전히 환원되었다. 포름산이 구리 산화물로 산화되어 이산화탄소 및 물로 되는 동안 구리 산화물은 반응식 R9 및 R10에 따라 구리 금속으로 환원되는 것으로 추정된다.
도 6는 310℃에서 5분 동안 포름산으로 환원된 산화된 ECD 구리로부터 측정된 TOF-ERDA 결과를 나타낸다. 막내에는 0.2-0.3 at-%의 산소 및 수소, 및 0.1 at-%의 탄소가 존재한다.
실시예 8 : 아세트산 증기에 의한 환원
구리 금속상에 구리 산화물 코팅을 가진 실리콘 기판을 F-120 ALCVDTM반응기의 반응 챔버내에 적재시켰다. 반응 챔버를 진공으로 배기시키고 실험에 따라 360℃ 또는 385℃로 가열시켰다. 반응 챔버의 압력을 흐르는 질소 기체로 약 5-10 mbar로 조정하였다.
아세트산은 20℃에서 15 mbar의 증기압을 갖는 액체이다. 그것을 21℃로 유지되는 외부 액체 공급병으로부터 증발시켰다. 아세트산 증기를 질소 기체(순도 99.9999%, 400 표준 cm3/min)와 혼합시켰다. 기체 혼합물을 반응 챔버내로 도입시키고 300초 동안 기판에 접촉시켰다. CH3COOH의 소모량은 0.8g이었다. 표본을 실내 공기로 적하하기 전에 반응기를 100℃ 미만으로 냉각시켰다. 아세트산의 반응성이 포름산의 반응성 보다 열등한 것으로 관찰되었다.
실시예 9 (비교예) : 수소 기체에 의한 환원
구리 산화물 코팅된 구리 금속 막을 가진 실리콘 기판을 반응 챔버내에 적재시켰다. 반응 챔버를 진공으로 배기시키고 실험에 따라 385, 450℃ 또는 500℃로 가열시켰다. 반응 챔버의 압력을 흐르는 질소 기체로 약 5-10 mbar로 조정하였다.
물질 유속이 300 표준cm3/min인 수소/질소 기체 혼합물(10 vt-% H2)을 환원제로서 이용하였다. 기체 혼합물을 추가의 400 표준cm3/min인 질소와 함께 반응 챔버에 도입시키고 기판에 접촉시켰다. 반응 시간은 실험에 따라 5 내지 60분이었다.
결과적으로, 수소는 저온에서 오히려 낮은 반응성을 가졌다. 구리 산화물층의 거의 완전한 환원을 수득하기 위하여 500℃에서 1시간 동안 H2로 처리할 필요가 있다.
수소가 구리 산화물로 산화되어 물로 되는 동안 구리 산화물이 반응식 R11 및 R12에 따라 구리 금속으로 환원되는 것으로 추정된다.
실시예 10 : 에탄올에 의한 산화 구리(Ⅱ)의 환원
기판상에 구리를 함유한 실리콘 기판을 F-120 유동형 ALCVDTM반응기내에서 먼저 오존 기체로 처리하여 CuO 막을 생성시키고, 여기에서 구리는 +2의 산화 상태를 갖는다. O3로 처리한 후, 기판을 검사하기 위해 하적하였다. 기판상에 암회색 코팅이 존재하였다. 막은 휴렛 패커드 34401A 멀티미터로 측정한 경우 메가오옴 정도의 높은 저항을 가졌다.
그 다음, 구리 산화물 코팅을 가진 기판을 다시 F-120 유동형 ALCVDTM반응기의 반응 챔버내에 적재하였다. 반응 챔버를 진공으로 배기시키고 실험에 따라 310 또는 360℃로 가열하였다. 반응 챔버의 압력을 유동하는 질소 기체로 약 5-10 mbar로 조정하였다.
순도 99.5%의 에탄올을 환원제로 이용하였다. 에탄올 증기를 400 표준 cm3/min의 질소 기체(순도 99.9999%)와 혼합시켰다. 기체 혼합물을 반응 챔버내로도입시키고 300초 동안 기판에 접촉시켰다. 환원 공정 후에, 반응기를 100℃ 미만으로 냉각시키고 기판을 검사를 위해 하적시켰다.
결과적으로, 실리콘 기판상에 구리 금속 피막을 가진 박막이 존재하였다. 막은 실리콘에 양호한 접착성을 가지며 막의 박리가 관찰되지 않았다. 막의 저항은 메가오옴 범위로부터 오옴 범위로, 즉 6차 정도의 크기가 감소하였다. 실험은 CuO가 성공적으로 구리 금속으로 환원됨을 보였다.
실시예 11 : 에탄올에 의한 코발트 산화물의 환원
실리콘 기판상의 코발트 산화물 박막을 F-120 유동형 ALCVDTM반응기내에서 400℃로 가열하고 에탄올 증기에 10분 동안 노출시켰다. 반응 챔버의 압력을 기계적 진공 펌프 및 유동하는 질소 기체로 약 5-10 mbar로 조정하였다. EDS 측정에 따르면, 64 nm의 박막이 에탄올 노출 전에 산소 및 코발트를 1.1의 비율로 함유하고, 이것은 약간의 Co3O4와 함께 CoO의 존재를 나타낸다. 에탄올 증기 노출 후에, 기판상의 박막은 100% Co로 구성되고, 코발트 산화물로부터의 산소의 제거로 인해 두께가 39 nm로 감소하였다. 코발트 금속 막은 실리콘 기판에 우수한 접착성을 가졌다.
실시예 12 : 에탄올에 의한 팔라듐 산화물의 환원
실리콘 및 SiO2, TiN, WxN 및 WyC 코팅된 기판상의 팔라듐 산화물 PdO 박막을 F-120 유동형 ALCVDTM반응기내로 적재시켰다. 반응 챔버의 압력을 기계적 진공 펌프 및 유동하는 질소 기체로 약 5-10 mbar로 조정하였다. 표본을 400℃로 가열하고 에탄올 증기에 5분 동안 노출시켰다. 수득된 팔라듐 금속 막의 두께는 초기 팔라듐 산화물 박막 두께에 따라 10-30 nm였다. EDS 측정에 따르면, 환원된 박막은 검출할 수 없는 양의 산소와 함께 100% Pd로 구성되었다. 팔라듐 금속 막은 환원 공정 후에, 실리콘, SiO2, TiN, WxN 및 WyN 표면에 우수한 접착력을 가졌다.

Claims (19)

  1. 집적 회로의 가공 중에 적어도 부분적으로 금속 산화물의 형태인 원소 금속의 하나 이상의 층을 함유하는 집적 회로의 생산 방법에 있어서,
    하나 이상의 하기 작용기를 함유하는 유기 화합물로부터 선택된 하나 이상의 화합물로 구성된 기상 환원제로 금속 산화물 층이 적어도 부분적으로 원소 금속으로 환원됨을 특징으로 하는 방법: 알콜(-OH), 알데히드(-CHO) 및 카르복시산(-COOH).
  2. 집적 회로의 가공 중에 적어도 부분적으로 구리 산화물의 형태인 원소 구리의 하나 이상의 층을 함유하는 집적 회로의 생산 공정에 있어서,
    하나 이상의 하기 작용기를 함유하는 유기 화합물로부터 선택된 하나 이상의 화합물로 구성된 기상 환원제로 구리 산화물 층이 적어도 부분적으로 원소 구리로 환원됨을 특징으로 하는 공정: 알콜(-OH), 알데히드(-CHO) 및 카르복시산(-COOH).
  3. 제 1항에 있어서, 금속이 몰리브덴(Mo), 텅스텐(W), 레늄(Re), 철(Fe), 루테늄(Ru), 오스뮴(Os), 코발트(Co), 로듐(Rh), 이리듐(Ir), 니켈(Ni), 팔라듐(Pd), 백금(Pt), 은(Ag), 금(Au), 아연(Zn), 카드뮴(Cd), 인듐(In), 게르마늄(Ge), 주석(Sn), 납(Pb), 안티몬(Sb) 또는 비스무스(Bi)임을 특징으로 하는 공정.
  4. 제 1항 또는 제 2항에 있어서, 금속 산화물 층이 금속 산화물을 증착시켜 형성됨을 특징으로 하는 공정.
  5. 제 1항 또는 제 2항에 있어서, 금속 산화물 층이 금속 층의 원치 않은 산화에 의해 형성됨을 특징으로 하는 공정.
  6. 제 1항 내지 제 5항 중 어느 한 항에 있어서, 금속 산화물 층의 두께가 0.1 내지 1000 nm, 바람직하게는 0.1 내지 400 nm임을 특징으로 하는 공정.
  7. 제 1항 내지 제 6항 중 어느 한 항에 있어서, 환원이 400℃ 이하의 온도에 서 수행됨을 특징으로 하는 공정.
  8. 제 1항 내지 제 7항 중 어느 한 항에 있어서, 환원된 금속 산화물이 전기화학적으로 증착된 금속 층용 시드 층임을 특징으로 하는 공정.
  9. 제 1항 내지 제 8항 중 어느 한 항에 있어서, 금속화 층상 또는 금속화 층 내부의 금속 산화물이 예비-CMP 어닐링 동안에 적어도 부분적으로 원소 금속으로 환원됨을 특징으로 하는 공정.
  10. 제 1항 내지 제 7항 중 어느 한 항에 있어서, 금속성 인터커넥트 상의 금속산화물 층이 사후-CMP 어닐링 공정 단계 후에 적어도 부분적으로 원소 금속으로 환원됨을 특징으로 하는 공정.
  11. 제 1항 내지 제 7항 중 어느 한 항에 있어서, 노출된 비아 바닥 금속상의 금속 산화물이 확산 방벽의 증착 전에 적어도 부분적으로 원소 금속으로 환원됨을 특징으로 하는 공정.
  12. 제 1항 내지 제 7항 중 어느 한 항에 있어서, 환원된 금속 산화물이 커패시터 전극임을 특징으로 하는 공정.
  13. 제 1항 내지 제 7항 중 어느 한 항에 있어서, 환원된 금속 산화물이 트랜지스터 게이트 금속임을 특징으로 하는 공정.
  14. 제 1항 내지 제 7항 중 어느 한 항에 있어서, 환원된 금속 산화물이 트랜지스터의 소스 및 드레인 영역상의 실리콘 및 텅스텐 플러그 사이의 중간층(76)임을 특징으로 하는 공정.
  15. 제 1항 내지 제 7항 중 어느 한 항에 있어서, 환원된 금속 산화물이 와이어 본딩 패드상에 존재함을 특징으로 하는 공정.
  16. 제 1항 내지 제 15항 중 어느 한 항에 있어서, 금속 산화물이 IC 디바이스의 제조 중 레지스트 제거 단계 동안에 또는 그 후에 형성됨을 특징으로 하는 공정.
  17. 제 1항 내지 제 16항 중 어느 한 항에 있어서, 환원제가 하나 이상의 알콜(-OH)기를 함유하는 유기 화합물로서, 하기 기로부터 선택됨을 특징으로 하는 공정:
    - 1차 알콜, 특히 일반식(Ⅰ)에 따른 1차 알콜
    R 1 -OH (Ⅰ)
    여기에서 R1은 선형 또는 분지된 C1-C20알킬 또는 알케닐 기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이며,
    - 2차 알콜, 특히 하기 화학식 (Ⅱ)에 따른 2차 알콜
    (Ⅱ)
    여기에서 각 R1은 선형 또는 분지된 C1-C20알킬 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실로 구성된 군으로부터 독립적으로 선택되며,
    - 3차 알콜, 특히 일반식 (Ⅲ)에 따른 3차 알콜
    (Ⅲ)
    여기에서 각 R1은 선형 또는 분지된 C1-C20알킬 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실로 구성된 군으로부터 독립적으로 선택되며,
    - 폴리히드록시 알콜
    - 1-10개, 통상 5-6개의 탄소 원자로 구성된 고리의 일부인 적어도 하나의 탄소 원자에 부착되는 -OH기를 가진 시클릭 알콜,
    - 벤젠 고리에 부착되거나, 또는 벤젠 고리에 부착된 측쇄의 탄소 원자에 부착된 하나 이상의 -OH기를 가진 방향족 알콜,
    - 할로겐화 알콜, 및
    - 기타 알코올 유도체.
  18. 제 1항 내지 제 16항 중 어느 한 항에 있어서, 환원제가 하나 이상의 알데히드(-CHO)기를 함유하는 유기 화합물로서, 하기 기로부터 선택됨을 특징으로 하는 공정:
    - 일반식 (Ⅴ)를 갖는 화합물
    R 3 -CH0 (Ⅴ)
    여기에서, R3은 수소, 또는 선형 또는 분지된 C1-C20알킬 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이며,
    - 일반식 (Ⅵ)을 갖는 화합물
    OHC-R 4 -CHO (Ⅵ)
    여기에서 R4은 선형 또는 분지된 C1-C20포화 또는 불포화 탄화수소이지만, 알데히드 기가 서로 결합되는 경우 R4이 "제로"이며,
    - 할로겐화 알데히드, 및
    - 기타 알데히드 유도체.
  19. 제 1항 내지 제 16항 중 어느 한 항에 있어서, 환원제가 하나 이상의 카르복시산(-COOH)을 함유하는 유기 화합물로서, 하기 기로부터 선택됨을 특징으로 하는 공정:
    - 일반식 (Ⅶ)을 갖는 화합물
    R 5 -COOH (Ⅶ)
    여기에서 R5은 수소, 또는 선형 또는 분지된 C1-C20알킬 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이며,
    - 폴리카르복시산,
    - 할로겐화 카르복시산, 및
    - 기타 카르복시산 유도체.
KR1020027015225A 2000-05-15 2001-05-15 집적회로의 생산 공정 KR100775159B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FI20001163 2000-05-15
FI20001163 2000-05-15
PCT/FI2001/000473 WO2001088972A1 (en) 2000-05-15 2001-05-15 Process for producing integrated circuits

Publications (2)

Publication Number Publication Date
KR20030007612A true KR20030007612A (ko) 2003-01-23
KR100775159B1 KR100775159B1 (ko) 2007-11-12

Family

ID=8558397

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027015225A KR100775159B1 (ko) 2000-05-15 2001-05-15 집적회로의 생산 공정

Country Status (7)

Country Link
US (4) US6482740B2 (ko)
EP (1) EP1282911B1 (ko)
JP (1) JP5173101B2 (ko)
KR (1) KR100775159B1 (ko)
AU (1) AU2001260374A1 (ko)
TW (1) TW508658B (ko)
WO (1) WO2001088972A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100797499B1 (ko) * 2006-03-24 2008-01-24 후지쯔 가부시끼가이샤 금속의 환원 방법, 다층 배선 및 그 제조 방법 또는 반도체장치 및 그 제조 방법
US8927433B2 (en) 2009-12-18 2015-01-06 Electronics And Telecommunications Research Institute Conductive via hole and method for forming conductive via hole
KR20210117228A (ko) * 2015-02-23 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 표면 패시베이션의 제거

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
CN1163954C (zh) * 1998-10-05 2004-08-25 库利克及索发投资有限公司 半导体铜键合焊点表面保护
JP2000349285A (ja) * 1999-06-04 2000-12-15 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7217615B1 (en) * 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
JP4333900B2 (ja) * 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
JP2002329682A (ja) * 2001-04-27 2002-11-15 Anelva Corp Cu薄膜作製方法
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6656835B2 (en) * 2001-06-21 2003-12-02 Micron Technology, Inc. Process for low temperature atomic layer deposition of Rh
KR100414871B1 (ko) * 2001-06-30 2004-01-13 주식회사 하이닉스반도체 원자층증착법에 의한 9족 금속막의 형성 방법
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
KR100414872B1 (ko) * 2001-08-29 2004-01-13 주식회사 하이닉스반도체 반도체소자 및 그 제조 방법
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6743474B1 (en) * 2001-10-25 2004-06-01 Lsi Logic Corporation Method for growing thin films
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
WO2003044242A2 (en) 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7696092B2 (en) * 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US6835655B1 (en) 2001-11-26 2004-12-28 Advanced Micro Devices, Inc. Method of implanting copper barrier material to improve electrical performance
US6703308B1 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of inserting alloy elements to reduce copper diffusion and bulk diffusion
KR100418569B1 (ko) * 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
KR100442963B1 (ko) * 2001-12-29 2004-08-04 주식회사 하이닉스반도체 고순도의 금속막 제조방법
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
KR100476556B1 (ko) * 2002-04-11 2005-03-18 삼성전기주식회사 압전트랜스 장치, 압전트랜스 하우징 및 그 제조방법
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7105065B2 (en) * 2002-04-25 2006-09-12 Micron Technology, Inc. Metal layer forming methods and capacitor electrode forming methods
KR100472730B1 (ko) * 2002-04-26 2005-03-08 주식회사 하이닉스반도체 원자층증착법을 이용한 반도체 소자의 금속전극 형성방법
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US6861349B1 (en) * 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
KR100455297B1 (ko) * 2002-06-19 2004-11-06 삼성전자주식회사 무기물 나노튜브 제조방법
US6881260B2 (en) * 2002-06-25 2005-04-19 Micron Technology, Inc. Process for direct deposition of ALD RhO2
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6861355B2 (en) * 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
US6984478B2 (en) * 2002-09-16 2006-01-10 E.I. Du Pont De Nemours And Company Print control for flexographic printing
US7001641B2 (en) * 2002-09-23 2006-02-21 Intel Corporation Seed layer treatment
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7252773B2 (en) * 2002-10-11 2007-08-07 Texas Instruments Incorporated Clean for high density capacitors
AU2003282836A1 (en) * 2002-10-15 2004-05-04 Rensselaer Polytechnic Institute Atomic layer deposition of noble metals
US6933011B2 (en) * 2002-10-17 2005-08-23 Aviza Technology, Inc. Two-step atomic layer deposition of copper layers
WO2004040642A1 (en) * 2002-10-29 2004-05-13 Asm America, Inc. Oxygen bridge structures and methods
TWI274082B (en) * 2002-10-31 2007-02-21 Praxair Technology Inc Methods for making metallocene compounds
US7927658B2 (en) * 2002-10-31 2011-04-19 Praxair Technology, Inc. Deposition processes using group 8 (VIII) metallocene precursors
US6869876B2 (en) 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6893978B1 (en) * 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7648886B2 (en) * 2003-01-14 2010-01-19 Globalfoundries Inc. Shallow trench isolation process
US6743719B1 (en) * 2003-01-22 2004-06-01 Texas Instruments Incorporated Method for forming a conductive copper structure
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
KR100988082B1 (ko) * 2003-05-21 2010-10-18 삼성전자주식회사 스택형 커패시터, 그를 구비한 반도체 메모리 소자 및 그제조방법
JP2004356117A (ja) * 2003-05-26 2004-12-16 Ebara Corp 基板処理方法及びその装置
KR100773537B1 (ko) * 2003-06-03 2007-11-07 삼성전자주식회사 한 개의 스위칭 소자와 한 개의 저항체를 포함하는비휘발성 메모리 장치 및 그 제조 방법
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
KR20060054387A (ko) 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 증착 전 게르마늄 표면 처리 방법
US20050032365A1 (en) * 2003-08-08 2005-02-10 Marsh Eugene P. Atomic layer deposition of metal during the formation of a semiconductor device
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7074719B2 (en) * 2003-11-28 2006-07-11 International Business Machines Corporation ALD deposition of ruthenium
US7037816B2 (en) * 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
FR2868085B1 (fr) * 2004-03-24 2006-07-14 Alchimer Sa Procede de revetement selectif d'une surface composite, fabrication d'interconnexions en microelectronique utilisant ce procede, et circuits integres
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US8256829B2 (en) * 2004-04-02 2012-09-04 GM Global Technology Operations LLC Active material inserts for use with hollow structures
US7041596B1 (en) * 2004-04-08 2006-05-09 Novellus Systems, Inc. Surface treatment using iodine plasma to improve metal deposition
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7338903B2 (en) * 2004-04-24 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
JP2005314713A (ja) * 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude ルテニウム膜またはルテニウム酸化物膜の製造方法
US7696089B1 (en) * 2004-05-11 2010-04-13 Johnson Research & Development Co., Inc. Passivated thin film and method of producing same
US7189287B2 (en) * 2004-06-29 2007-03-13 Micron Technology, Inc. Atomic layer deposition using electron bombardment
US20060019493A1 (en) * 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US7141495B2 (en) * 2004-08-25 2006-11-28 Taiwan Semiconductor Manufacturing Co. Ltd. Methods and forming structures, structures and apparatuses for forming structures
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
KR100612860B1 (ko) * 2004-09-24 2006-08-14 삼성전자주식회사 강유전막 형성방법, 이를 이용한 커패시터 및 반도체메모리 소자의 제조방법
JP2006097044A (ja) * 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 成膜用前駆体、ルテニウム含有膜の成膜方法、ルテニウム膜の成膜方法、ルテニウム酸化物膜の成膜方法およびルテニウム酸塩膜の成膜方法
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
DE102004061094A1 (de) * 2004-12-18 2006-06-22 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe
WO2006073140A1 (en) * 2005-01-06 2006-07-13 Ebara Corporation Substrate processing method and apparatus
US20070271751A1 (en) * 2005-01-27 2007-11-29 Weidman Timothy W Method of forming a reliable electrochemical capacitor
KR100682926B1 (ko) * 2005-01-31 2007-02-15 삼성전자주식회사 저항체를 이용한 비휘발성 메모리 소자 및 그 제조방법
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US7498242B2 (en) 2005-02-22 2009-03-03 Asm America, Inc. Plasma pre-treating surfaces for atomic layer deposition
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20060252254A1 (en) * 2005-05-06 2006-11-09 Basol Bulent M Filling deep and wide openings with defect-free conductor
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7767363B2 (en) * 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
WO2007016218A2 (en) * 2005-07-29 2007-02-08 Applied Materials, Inc. Integrated electroless deposition system
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
KR101124504B1 (ko) * 2005-09-22 2012-03-15 삼성전자주식회사 ALD 공정에 의한 비정질 NiO 박막의 제조방법 및상기 비정질 NiO 박막을 이용한 비휘발성 메모리 소자
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
KR20070049278A (ko) * 2005-11-08 2007-05-11 삼성전자주식회사 배선, 이를 포함하는 박막 트랜지스터 기판과 그 제조 방법
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
JP4816052B2 (ja) * 2005-12-13 2011-11-16 東京エレクトロン株式会社 半導体製造装置及び半導体装置の製造方法
KR100727258B1 (ko) * 2005-12-29 2007-06-11 동부일렉트로닉스 주식회사 반도체 장치의 박막 및 금속 배선 형성 방법
US7405153B2 (en) * 2006-01-17 2008-07-29 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
KR101379015B1 (ko) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
JP2007220882A (ja) * 2006-02-16 2007-08-30 Fujitsu Ltd 埋込配線の形成方法
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20070194450A1 (en) 2006-02-21 2007-08-23 Tyberg Christy S BEOL compatible FET structure
US7666787B2 (en) * 2006-02-21 2010-02-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
JP2007227706A (ja) * 2006-02-24 2007-09-06 Fujitsu Ltd 埋込配線の形成方法
KR20120091397A (ko) * 2006-02-28 2012-08-17 도쿄엘렉트론가부시키가이샤 루테늄막의 성막 방법
JP4741965B2 (ja) * 2006-03-23 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7625814B2 (en) * 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7485561B2 (en) * 2006-03-29 2009-02-03 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7833358B2 (en) 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US7285477B1 (en) * 2006-05-16 2007-10-23 International Business Machines Corporation Dual wired integrated circuit chips
DE102006023998B4 (de) * 2006-05-22 2009-02-19 Infineon Technologies Ag Elektronische Schaltungsanordnung und Verfahren zur Herstellung einer solchen
JP4787073B2 (ja) * 2006-05-31 2011-10-05 東京エレクトロン株式会社 処理方法および処理装置
JP2007324350A (ja) * 2006-05-31 2007-12-13 Tokyo Electron Ltd 熱処理方法および熱処理装置、ならびに基板処理装置
DE102006027932A1 (de) * 2006-06-14 2007-12-20 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
CN101479834B (zh) * 2006-06-30 2011-06-08 应用材料股份有限公司 纳米结晶形成
JP2008034736A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 熱処理方法および熱処理装置
JP2008031541A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd Cvd成膜方法およびcvd成膜装置
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
CA2603458C (en) * 2006-09-21 2015-11-17 Smith International, Inc. Atomic layer deposition nanocoatings on cutting tool powder materials
JP2008091645A (ja) * 2006-10-02 2008-04-17 Tokyo Electron Ltd 半導体製造装置、半導体装置の製造方法及び記憶媒体
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
EP1941950A1 (de) * 2006-12-05 2008-07-09 Linde Aktiengesellschaft Verfahren zur Herstellung poröser Oberflächen auf Metallkomponenten
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
KR100832704B1 (ko) * 2006-12-21 2008-05-28 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
ATE500261T1 (de) * 2006-12-22 2011-03-15 Air Liquide Neue organische rutheniumverbindung, herstellungsverfahren dafür und verwendung als rutheniumvorläufer zur herstellung von filmbeschichteten metallelektroden auf rutheniumbasis
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
CN101617065B (zh) * 2007-02-21 2011-11-23 乔治洛德方法研究和开发液化空气有限公司 在基底上形成钌基薄膜的方法
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
JP2008300652A (ja) * 2007-05-31 2008-12-11 Toshiba Corp 半導体装置の製造方法
US7896988B2 (en) * 2007-06-15 2011-03-01 Spectre Enterprises, Inc. Charge system for destroying chips on a circuit board and method for destroying chips on a circuit board
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
KR100889553B1 (ko) * 2007-07-23 2009-03-23 주식회사 동부하이텍 시스템 인 패키지 및 그 제조 방법
KR101286239B1 (ko) * 2007-08-24 2013-07-15 삼성전자주식회사 산소 포획 패턴을 갖는 반도체 소자의 배선 구조 및 그제조 방법
US20090065365A1 (en) * 2007-09-11 2009-03-12 Asm Nutool, Inc. Method and apparatus for copper electroplating
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
DE102007046851B4 (de) * 2007-09-29 2019-01-10 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterstruktur mit einem elektrisch leitfähigen Strukturelement und Verfahren zum Ausbilden einer Halbleiterstruktur
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US20090121287A1 (en) * 2007-11-14 2009-05-14 Kerry Bernstein Dual wired integrated circuit chips
DE102007058571B4 (de) * 2007-12-05 2012-02-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrat mit einer Kupfer enthaltenden Beschichtung und Verfahren zu deren Herstellung mittels Atomic Layer Deposition und Verwendung des Verfahrens
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20090205538A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090203928A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090202740A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090205968A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
JP4836092B2 (ja) * 2008-03-19 2011-12-14 国立大学法人東北大学 半導体装置の形成方法
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
CN101981226B (zh) * 2008-03-26 2012-09-26 乔治洛德方法研究和开发液化空气有限公司 含有钌和碱土金属的三元氧化物膜的沉积
TWI447256B (zh) * 2008-03-26 2014-08-01 Air Liquide 含有釕及鹼土金屬的三元氧化物膜的沈積
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
KR101540077B1 (ko) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP5778382B2 (ja) * 2008-10-22 2015-09-16 東ソー株式会社 金属膜製造用組成物、金属膜の製造方法及び金属粉末の製造方法
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8168528B2 (en) * 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
SG178267A1 (en) * 2009-08-07 2012-03-29 Sigma Aldrich Co Llc High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
JP5747821B2 (ja) * 2009-09-16 2015-07-15 日立化成株式会社 金属銅膜及びその製造方法、金属銅パターン及びそれを用いた導体配線、金属銅バンプ、熱伝導路、接合材、並びに液状組成物
KR101712040B1 (ko) 2009-10-20 2017-03-03 에이에스엠 인터내셔널 엔.브이. 유전체 막들의 부동태화를 위한 공정들
KR101347197B1 (ko) 2009-12-18 2014-01-03 한국전자통신연구원 도전성 비아홀 및 도전성 비아홀 형성 방법
US8444868B2 (en) * 2010-01-28 2013-05-21 International Business Machines Corporation Method for removing copper oxide layer
WO2011106072A2 (en) 2010-02-23 2011-09-01 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions
US8357614B2 (en) * 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8420476B2 (en) 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP5733561B2 (ja) * 2011-01-07 2015-06-10 日立化成株式会社 金属銅膜の作製方法及び印刷金属銅パターン
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US8658533B2 (en) * 2011-03-10 2014-02-25 International Business Machines Corporation Semiconductor interconnect structure with multi-layered seed layer providing enhanced reliability and minimizing electromigration
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8927748B2 (en) 2011-08-12 2015-01-06 Sigma-Aldrich Co. Llc Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films
US9005705B2 (en) 2011-09-14 2015-04-14 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method for the production of a substrate having a coating comprising copper, and coated substrate and device prepared by this method
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US20140367676A1 (en) * 2012-01-27 2014-12-18 Merck Patent Gmbh Process for the production of electrically semiconducting or conducting metal-oxide layers having improved conductivity
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9041216B2 (en) * 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR102271202B1 (ko) 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9914995B2 (en) 2014-11-21 2018-03-13 Applied Materials, Inc. Alcohol assisted ALD film deposition
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10199266B2 (en) * 2016-12-26 2019-02-05 Intel Corporation Integrated circuit interconnect structure having metal oxide adhesive layer
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10913056B2 (en) 2017-07-31 2021-02-09 Honda Motor Co., Ltd. Method for synthesis of copper/copper oxide nanocrystals
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN110622283A (zh) * 2017-11-22 2019-12-27 应用材料公司 减少或消除钨膜中缺陷的方法
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN112385037A (zh) * 2018-07-11 2021-02-19 丹尼克斯半导体有限公司 半导体器件子组件
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11873566B2 (en) 2019-02-28 2024-01-16 Honda Motor Co., Ltd. Cu/Cu2O interface nanostructures for electrochemical CO2 reduction
US11339487B2 (en) 2019-02-28 2022-05-24 Honda Motor Co., Ltd. Synergistic effects of multi-faceted CU2O nanocrystals for electrochemical CO2 reduction
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11443936B2 (en) 2020-06-19 2022-09-13 Applied Materials, Inc. Methods and apparatus for aluminum oxide surface recovery
WO2023027974A1 (en) * 2021-08-22 2023-03-02 Trustees Of Dartmouth College Functionalization of microscale 3d-printed polymer structures with nanoscale vapor deposited electronic layers

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE410873C (de) 1923-08-18 1925-03-26 Neufeldt & Kuhnke Fa Asynchronmaschine mit Kondensatoren zur Erzeugung des Magnetisierungstromes
GB368850A (en) 1930-06-07 1932-03-14 Westinghouse Brake & Signal Improvements relating to electric current rectifying devices
US3620853A (en) * 1969-11-25 1971-11-16 Anaconda Wire & Cable Co Descaling copper rods
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
BE843167A (fr) * 1975-06-24 1976-10-18 Refroidissement et decapage d'un fil machine lamine en continu
US4477296A (en) * 1982-09-30 1984-10-16 E. I. Du Pont De Nemours And Company Method for activating metal particles
JPH0713304B2 (ja) * 1987-12-14 1995-02-15 日立化成工業株式会社 銅の表面処理法
JPH0445534A (ja) * 1990-06-12 1992-02-14 Sony Corp 銅薄膜の選択的エッチング方法
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE69122573T2 (de) 1990-07-30 1997-03-13 Mitsubishi Gas Chemical Co Verfahren zur Herstellung von Mehrschichtplatinen
US5106454A (en) * 1990-11-01 1992-04-21 Shipley Company Inc. Process for multilayer printed circuit board manufacture
US5865365A (en) * 1991-02-19 1999-02-02 Hitachi, Ltd. Method of fabricating an electronic circuit device
WO1993010652A1 (en) 1991-11-22 1993-05-27 Electrochemicals, Inc. Process for improved adhesion between a metallic oxide and a polymer surface
JPH05206632A (ja) * 1992-01-17 1993-08-13 Tokyo Gas Co Ltd リフローはんだ付け用還元性雰囲気ガスおよびその供給装置
US5561082A (en) 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
NL1003538C2 (nl) 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
JP3150095B2 (ja) * 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
US6124189A (en) 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US6387805B2 (en) 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
KR100269306B1 (ko) * 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
CA2246402C (en) * 1997-09-05 2001-02-06 Premark Feg L.L.C. Door/sill interface for a warewasher
US5983644A (en) 1997-09-29 1999-11-16 Applied Materials, Inc. Integrated bake and chill plate
US6033584A (en) 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
JP3111979B2 (ja) * 1998-05-20 2000-11-27 日本電気株式会社 ウエハの洗浄方法
US6323131B1 (en) 1998-06-13 2001-11-27 Agere Systems Guardian Corp. Passivated copper surfaces
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP3137087B2 (ja) * 1998-08-31 2001-02-19 日本電気株式会社 半導体装置の製造方法
US6108937A (en) * 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
JP2000208627A (ja) * 1999-01-19 2000-07-28 Hitachi Ltd 半導体装置の製造方法
US6303500B1 (en) 1999-02-24 2001-10-16 Micron Technology, Inc. Method and apparatus for electroless plating a contact pad
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
JP2001160558A (ja) 1999-12-02 2001-06-12 Nec Corp 半導体装置の製造方法及び製造装置
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6777331B2 (en) * 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
JP3574383B2 (ja) 2000-07-31 2004-10-06 富士通株式会社 半導体装置及びその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100797499B1 (ko) * 2006-03-24 2008-01-24 후지쯔 가부시끼가이샤 금속의 환원 방법, 다층 배선 및 그 제조 방법 또는 반도체장치 및 그 제조 방법
US8927433B2 (en) 2009-12-18 2015-01-06 Electronics And Telecommunications Research Institute Conductive via hole and method for forming conductive via hole
KR20210117228A (ko) * 2015-02-23 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 표면 패시베이션의 제거

Also Published As

Publication number Publication date
US6482740B2 (en) 2002-11-19
US6887795B2 (en) 2005-05-03
EP1282911A1 (en) 2003-02-12
EP1282911B1 (en) 2018-09-05
AU2001260374A1 (en) 2001-11-26
US6921712B2 (en) 2005-07-26
JP5173101B2 (ja) 2013-03-27
US20030096468A1 (en) 2003-05-22
US20050215053A1 (en) 2005-09-29
US20020004293A1 (en) 2002-01-10
US7241677B2 (en) 2007-07-10
JP2003533880A (ja) 2003-11-11
WO2001088972A1 (en) 2001-11-22
TW508658B (en) 2002-11-01
US20040038529A1 (en) 2004-02-26
KR100775159B1 (ko) 2007-11-12

Similar Documents

Publication Publication Date Title
KR100775159B1 (ko) 집적회로의 생산 공정
US9362231B2 (en) Molecular self-assembly in substrate processing
US8536058B2 (en) Method of growing electrical conductors
US6679951B2 (en) Metal anneal with oxidation prevention
US6878628B2 (en) In situ reduction of copper oxide prior to silicon carbide deposition
US7211512B1 (en) Selective electroless-plated copper metallization
JP5057355B2 (ja) 集積回路内での金属層の選択的形成
JP5489717B2 (ja) 金属堆積のために基板表面を調整する方法および統合システム
US20060019493A1 (en) Methods of metallization for microelectronic devices utilizing metal oxide
US7858525B2 (en) Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
WO2008027205A2 (en) Self assembled monolayer for improving adhesion between copper and barrier layer
JP2007180496A (ja) 金属シード層の製造方法
US20150033980A1 (en) Methods and materials for anchoring gapfill metals
EP3067439A1 (en) Electroless metal deposition on a Mn or MnNx barrier
CN110838466B (zh) 半导体器件和形成半导体器件的方法

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121019

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131017

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141022

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151002

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 10