TW508658B - Process for producing integrated circuits - Google Patents
Process for producing integrated circuits Download PDFInfo
- Publication number
- TW508658B TW508658B TW90111584A TW90111584A TW508658B TW 508658 B TW508658 B TW 508658B TW 90111584 A TW90111584 A TW 90111584A TW 90111584 A TW90111584 A TW 90111584A TW 508658 B TW508658 B TW 508658B
- Authority
- TW
- Taiwan
- Prior art keywords
- metal
- layer
- copper
- metal oxide
- reducing agent
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 105
- 230000008569 process Effects 0.000 title abstract description 18
- 229910052751 metal Inorganic materials 0.000 claims abstract description 139
- 239000002184 metal Substances 0.000 claims abstract description 139
- 150000004706 metal oxides Chemical class 0.000 claims abstract description 84
- 229910044991 metal oxide Inorganic materials 0.000 claims abstract description 83
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims abstract description 57
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 57
- 230000009467 reduction Effects 0.000 claims abstract description 54
- 150000002894 organic compounds Chemical class 0.000 claims abstract description 22
- 238000012545 processing Methods 0.000 claims abstract description 22
- 238000004519 manufacturing process Methods 0.000 claims abstract description 19
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 claims abstract description 15
- 125000000524 functional group Chemical group 0.000 claims abstract description 10
- 150000001732 carboxylic acid derivatives Chemical class 0.000 claims abstract 6
- 125000002485 formyl group Chemical class [H]C(*)=O 0.000 claims abstract 6
- 239000010949 copper Substances 0.000 claims description 109
- 229910052802 copper Inorganic materials 0.000 claims description 100
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 99
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 claims description 80
- 239000005751 Copper oxide Substances 0.000 claims description 61
- 229910000431 copper oxide Inorganic materials 0.000 claims description 61
- 238000011049 filling Methods 0.000 claims description 43
- 239000007789 gas Substances 0.000 claims description 36
- 229910052739 hydrogen Inorganic materials 0.000 claims description 29
- 239000001257 hydrogen Substances 0.000 claims description 29
- 229910052760 oxygen Inorganic materials 0.000 claims description 26
- 239000001301 oxygen Substances 0.000 claims description 25
- 230000004888 barrier function Effects 0.000 claims description 24
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 23
- 238000009792 diffusion process Methods 0.000 claims description 23
- 238000000151 deposition Methods 0.000 claims description 22
- 229910052710 silicon Inorganic materials 0.000 claims description 20
- 239000010703 silicon Substances 0.000 claims description 20
- 229910052799 carbon Inorganic materials 0.000 claims description 19
- 230000002079 cooperative effect Effects 0.000 claims description 18
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 16
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 16
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 14
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 12
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 claims description 12
- 150000001875 compounds Chemical class 0.000 claims description 11
- -1 Poly Chemical group 0.000 claims description 10
- 125000003342 alkenyl group Chemical group 0.000 claims description 10
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 claims description 10
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 claims description 10
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 claims description 9
- 150000001735 carboxylic acids Chemical class 0.000 claims description 9
- 239000003795 chemical substances by application Substances 0.000 claims description 9
- 238000007254 oxidation reaction Methods 0.000 claims description 9
- 229920002120 photoresistant polymer Polymers 0.000 claims description 9
- 150000001298 alcohols Chemical class 0.000 claims description 8
- 125000000217 alkyl group Chemical group 0.000 claims description 8
- 238000001465 metallisation Methods 0.000 claims description 8
- 230000003647 oxidation Effects 0.000 claims description 8
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 claims description 8
- 239000011135 tin Substances 0.000 claims description 8
- 239000003990 capacitor Substances 0.000 claims description 7
- 150000003333 secondary alcohols Chemical class 0.000 claims description 7
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 7
- 229910052721 tungsten Inorganic materials 0.000 claims description 7
- 239000010937 tungsten Substances 0.000 claims description 7
- 238000000137 annealing Methods 0.000 claims description 6
- 239000010931 gold Substances 0.000 claims description 6
- 229910052759 nickel Inorganic materials 0.000 claims description 6
- 229910052763 palladium Inorganic materials 0.000 claims description 6
- 229910052697 platinum Inorganic materials 0.000 claims description 6
- 239000010948 rhodium Substances 0.000 claims description 6
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 claims description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 5
- 125000004432 carbon atom Chemical group C* 0.000 claims description 5
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 5
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims description 5
- 229910052737 gold Inorganic materials 0.000 claims description 5
- 229910052707 ruthenium Inorganic materials 0.000 claims description 5
- 229910052718 tin Inorganic materials 0.000 claims description 5
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 claims description 4
- 229910017052 cobalt Inorganic materials 0.000 claims description 4
- 239000010941 cobalt Substances 0.000 claims description 4
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims description 4
- 150000003138 primary alcohols Chemical class 0.000 claims description 4
- 229910052709 silver Inorganic materials 0.000 claims description 4
- 239000004332 silver Substances 0.000 claims description 4
- 229910052741 iridium Inorganic materials 0.000 claims description 3
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 3
- 229910052703 rhodium Inorganic materials 0.000 claims description 3
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 claims description 3
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 2
- 125000003158 alcohol group Chemical group 0.000 claims description 2
- 125000003172 aldehyde group Chemical group 0.000 claims description 2
- 229910052787 antimony Inorganic materials 0.000 claims description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 claims description 2
- 229910052732 germanium Inorganic materials 0.000 claims description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 2
- 235000003642 hunger Nutrition 0.000 claims description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 claims description 2
- 229910052750 molybdenum Inorganic materials 0.000 claims description 2
- 239000011733 molybdenum Substances 0.000 claims description 2
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 claims description 2
- 229920006395 saturated elastomer Polymers 0.000 claims description 2
- 229930195734 saturated hydrocarbon Natural products 0.000 claims description 2
- 230000037351 starvation Effects 0.000 claims description 2
- 150000005846 sugar alcohols Polymers 0.000 claims description 2
- 229930195735 unsaturated hydrocarbon Natural products 0.000 claims description 2
- ZSLUVFAKFWKJRC-IGMARMGPSA-N 232Th Chemical compound [232Th] ZSLUVFAKFWKJRC-IGMARMGPSA-N 0.000 claims 1
- 229910052776 Thorium Inorganic materials 0.000 claims 1
- 229910052797 bismuth Inorganic materials 0.000 claims 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 claims 1
- 229910052793 cadmium Inorganic materials 0.000 claims 1
- BDOSMKKIYDKNTQ-UHFFFAOYSA-N cadmium atom Chemical compound [Cd] BDOSMKKIYDKNTQ-UHFFFAOYSA-N 0.000 claims 1
- 229910052738 indium Inorganic materials 0.000 claims 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 claims 1
- 238000005406 washing Methods 0.000 claims 1
- 239000000758 substrate Substances 0.000 description 84
- 238000006243 chemical reaction Methods 0.000 description 77
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 60
- 238000006722 reduction reaction Methods 0.000 description 55
- 239000010408 film Substances 0.000 description 46
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 39
- 229910052757 nitrogen Inorganic materials 0.000 description 29
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 27
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 27
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 26
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 25
- 239000000203 mixture Substances 0.000 description 25
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 23
- 238000002474 experimental method Methods 0.000 description 23
- 239000012212 insulator Substances 0.000 description 20
- WSFSSNUMVMOOMR-UHFFFAOYSA-N Formaldehyde Chemical compound O=C WSFSSNUMVMOOMR-UHFFFAOYSA-N 0.000 description 19
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 19
- 238000004070 electrodeposition Methods 0.000 description 19
- 239000000126 substance Substances 0.000 description 19
- 229910001868 water Inorganic materials 0.000 description 18
- 238000000576 coating method Methods 0.000 description 15
- 235000019253 formic acid Nutrition 0.000 description 15
- ZTQSAGDEMFDKMZ-UHFFFAOYSA-N Butyraldehyde Chemical compound CCCC=O ZTQSAGDEMFDKMZ-UHFFFAOYSA-N 0.000 description 14
- 239000011248 coating agent Substances 0.000 description 14
- 239000000463 material Substances 0.000 description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 13
- 150000001299 aldehydes Chemical class 0.000 description 12
- 238000001678 elastic recoil detection analysis Methods 0.000 description 12
- 229960004592 isopropanol Drugs 0.000 description 12
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 11
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 11
- 239000000047 product Substances 0.000 description 10
- 229910052581 Si3N4 Inorganic materials 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 8
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 8
- BERDEBHAJNAUOM-UHFFFAOYSA-N copper(I) oxide Inorganic materials [Cu]O[Cu] BERDEBHAJNAUOM-UHFFFAOYSA-N 0.000 description 8
- 239000007788 liquid Substances 0.000 description 8
- 239000007791 liquid phase Substances 0.000 description 8
- 150000002736 metal compounds Chemical class 0.000 description 8
- 239000010409 thin film Substances 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 8
- 239000012298 atmosphere Substances 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 7
- 230000009257 reactivity Effects 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- HGBOYTHUEUWSSQ-UHFFFAOYSA-N valeric aldehyde Natural products CCCCC=O HGBOYTHUEUWSSQ-UHFFFAOYSA-N 0.000 description 7
- IKHGUXGNUITLKF-UHFFFAOYSA-N Acetaldehyde Chemical compound CC=O IKHGUXGNUITLKF-UHFFFAOYSA-N 0.000 description 6
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 150000001721 carbon Chemical group 0.000 description 6
- 230000006378 damage Effects 0.000 description 6
- 239000011261 inert gas Substances 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 5
- 239000006227 byproduct Substances 0.000 description 5
- 229910002092 carbon dioxide Inorganic materials 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 239000012535 impurity Substances 0.000 description 5
- 238000005259 measurement Methods 0.000 description 5
- 150000002739 metals Chemical class 0.000 description 5
- 238000005498 polishing Methods 0.000 description 5
- 230000035484 reaction time Effects 0.000 description 5
- 238000011946 reduction process Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 4
- 239000002253 acid Substances 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 229910052681 coesite Inorganic materials 0.000 description 4
- 230000000875 corresponding effect Effects 0.000 description 4
- 229910052906 cristobalite Inorganic materials 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 239000012071 phase Substances 0.000 description 4
- 238000007747 plating Methods 0.000 description 4
- 239000004576 sand Substances 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- NDVLTYZPCACLMA-UHFFFAOYSA-N silver oxide Chemical compound [O-2].[Ag+].[Ag+] NDVLTYZPCACLMA-UHFFFAOYSA-N 0.000 description 4
- 229910052682 stishovite Inorganic materials 0.000 description 4
- 229910052905 tridymite Inorganic materials 0.000 description 4
- 239000012808 vapor phase Substances 0.000 description 4
- QPRQEDXDYOZYLA-UHFFFAOYSA-N 2-methylbutan-1-ol Chemical compound CCC(C)CO QPRQEDXDYOZYLA-UHFFFAOYSA-N 0.000 description 3
- WVDDGKGOMKODPV-UHFFFAOYSA-N Benzyl alcohol Chemical compound OCC1=CC=CC=C1 WVDDGKGOMKODPV-UHFFFAOYSA-N 0.000 description 3
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 description 3
- 229910052770 Uranium Inorganic materials 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 3
- BTANRVKWQNVYAZ-UHFFFAOYSA-N butan-2-ol Chemical compound CCC(C)O BTANRVKWQNVYAZ-UHFFFAOYSA-N 0.000 description 3
- 239000001569 carbon dioxide Substances 0.000 description 3
- 239000003054 catalyst Substances 0.000 description 3
- 238000007233 catalytic pyrolysis Methods 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 229910000428 cobalt oxide Inorganic materials 0.000 description 3
- IVMYJDGYRUAWML-UHFFFAOYSA-N cobalt(ii) oxide Chemical compound [Co]=O IVMYJDGYRUAWML-UHFFFAOYSA-N 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000004049 embossing Methods 0.000 description 3
- 239000003822 epoxy resin Substances 0.000 description 3
- 230000002349 favourable effect Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- LEQAOMBKQFMDFZ-UHFFFAOYSA-N glyoxal Chemical compound O=CC=O LEQAOMBKQFMDFZ-UHFFFAOYSA-N 0.000 description 3
- 238000007689 inspection Methods 0.000 description 3
- 238000009413 insulation Methods 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- 150000002576 ketones Chemical class 0.000 description 3
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Natural products C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 3
- 239000002052 molecular layer Substances 0.000 description 3
- HBEQXAKJSGXAIQ-UHFFFAOYSA-N oxopalladium Chemical compound [Pd]=O HBEQXAKJSGXAIQ-UHFFFAOYSA-N 0.000 description 3
- 229910003445 palladium oxide Inorganic materials 0.000 description 3
- 229920000647 polyepoxide Polymers 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- JFALSRSLKYAFGM-UHFFFAOYSA-N uranium(0) Chemical compound [U] JFALSRSLKYAFGM-UHFFFAOYSA-N 0.000 description 3
- FERIUCNNQQJTOY-UHFFFAOYSA-N Butyric acid Chemical compound CCCC(O)=O FERIUCNNQQJTOY-UHFFFAOYSA-N 0.000 description 2
- 239000004593 Epoxy Substances 0.000 description 2
- OPKOKAMJFNKNAS-UHFFFAOYSA-N N-methylethanolamine Chemical compound CNCCO OPKOKAMJFNKNAS-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- RHQDFWAXVIIEBN-UHFFFAOYSA-N Trifluoroethanol Chemical compound OCC(F)(F)F RHQDFWAXVIIEBN-UHFFFAOYSA-N 0.000 description 2
- 150000007513 acids Chemical class 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 239000012634 fragment Substances 0.000 description 2
- DDYSHSNGZNCTKB-UHFFFAOYSA-N gold(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Au+3].[Au+3] DDYSHSNGZNCTKB-UHFFFAOYSA-N 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- RLSSMJSEOOYNOY-UHFFFAOYSA-N m-cresol Chemical compound CC1=CC=CC(O)=C1 RLSSMJSEOOYNOY-UHFFFAOYSA-N 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- HDZGCSFEDULWCS-UHFFFAOYSA-N monomethylhydrazine Chemical compound CNN HDZGCSFEDULWCS-UHFFFAOYSA-N 0.000 description 2
- 229910000480 nickel oxide Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- KQXXODKTLDKCAM-UHFFFAOYSA-N oxo(oxoauriooxy)gold Chemical compound O=[Au]O[Au]=O KQXXODKTLDKCAM-UHFFFAOYSA-N 0.000 description 2
- GNRSAWUEBMWBQH-UHFFFAOYSA-N oxonickel Chemical compound [Ni]=O GNRSAWUEBMWBQH-UHFFFAOYSA-N 0.000 description 2
- IWDCLRJOBJJRNH-UHFFFAOYSA-N para-hydroxytoluene Natural products CC1=CC=C(O)C=C1 IWDCLRJOBJJRNH-UHFFFAOYSA-N 0.000 description 2
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 2
- 238000000197 pyrolysis Methods 0.000 description 2
- 229920005989 resin Polymers 0.000 description 2
- 239000011347 resin Substances 0.000 description 2
- 229910052702 rhenium Inorganic materials 0.000 description 2
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 description 2
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(IV) oxide Inorganic materials O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 2
- 229910001923 silver oxide Inorganic materials 0.000 description 2
- 229910000108 silver(I,III) oxide Inorganic materials 0.000 description 2
- 229910000679 solder Inorganic materials 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 150000003509 tertiary alcohols Chemical class 0.000 description 2
- UUFQTNFCRMXOAE-UHFFFAOYSA-N 1-methylmethylene Chemical compound C[CH] UUFQTNFCRMXOAE-UHFFFAOYSA-N 0.000 description 1
- BOCQEKBKBUOBCR-UHFFFAOYSA-N 4-(2-methyliminohydrazinyl)benzoic acid Chemical compound CN=NNC1=CC=C(C(O)=O)C=C1 BOCQEKBKBUOBCR-UHFFFAOYSA-N 0.000 description 1
- 239000005749 Copper compound Substances 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 102100022260 Killin Human genes 0.000 description 1
- 101710193777 Killin Proteins 0.000 description 1
- 229910019603 Rh2O3 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910052771 Terbium Inorganic materials 0.000 description 1
- DKGAVHZHDRPRBM-UHFFFAOYSA-N Tert-Butanol Chemical compound CC(C)(C)O DKGAVHZHDRPRBM-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- UYYVHMCMPIPUEE-UHFFFAOYSA-N [Ba].[Os] Chemical compound [Ba].[Os] UYYVHMCMPIPUEE-UHFFFAOYSA-N 0.000 description 1
- JBQCQPOKAIVLIF-UHFFFAOYSA-N [Cu]=O.[Si] Chemical compound [Cu]=O.[Si] JBQCQPOKAIVLIF-UHFFFAOYSA-N 0.000 description 1
- IKHGUXGNUITLKF-XPULMUKRSA-N acetaldehyde Chemical compound [14CH]([14CH3])=O IKHGUXGNUITLKF-XPULMUKRSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 239000012190 activator Substances 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 230000002776 aggregation Effects 0.000 description 1
- 238000004220 aggregation Methods 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 235000019445 benzyl alcohol Nutrition 0.000 description 1
- 230000002457 bidirectional effect Effects 0.000 description 1
- 238000010504 bond cleavage reaction Methods 0.000 description 1
- 230000000711 cancerogenic effect Effects 0.000 description 1
- 231100000315 carcinogenic Toxicity 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000003421 catalytic decomposition reaction Methods 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000005234 chemical deposition Methods 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- UBEWDCMIDFGDOO-UHFFFAOYSA-N cobalt(II,III) oxide Inorganic materials [O-2].[O-2].[O-2].[O-2].[Co+2].[Co+3].[Co+3] UBEWDCMIDFGDOO-UHFFFAOYSA-N 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 150000001880 copper compounds Chemical class 0.000 description 1
- 239000011889 copper foil Substances 0.000 description 1
- QUQFTIVBFKLPCL-UHFFFAOYSA-L copper;2-amino-3-[(2-amino-2-carboxylatoethyl)disulfanyl]propanoate Chemical compound [Cu+2].[O-]C(=O)C(N)CSSCC(N)C([O-])=O QUQFTIVBFKLPCL-UHFFFAOYSA-L 0.000 description 1
- KRFJLUBVMFXRPN-UHFFFAOYSA-N cuprous oxide Chemical compound [O-2].[Cu+].[Cu+] KRFJLUBVMFXRPN-UHFFFAOYSA-N 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 125000000219 ethylidene group Chemical group [H]C(=[*])C([H])([H])[H] 0.000 description 1
- 239000008246 gaseous mixture Substances 0.000 description 1
- 150000002334 glycols Chemical class 0.000 description 1
- 229940015043 glyoxal Drugs 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 125000001183 hydrocarbyl group Chemical group 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- CBOIHMRHGLHBPB-UHFFFAOYSA-N hydroxymethyl Chemical compound O[CH2] CBOIHMRHGLHBPB-UHFFFAOYSA-N 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- HTXDPTMKBJXEOW-UHFFFAOYSA-N iridium(IV) oxide Inorganic materials O=[Ir]=O HTXDPTMKBJXEOW-UHFFFAOYSA-N 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 125000002510 isobutoxy group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])O* 0.000 description 1
- 150000002605 large molecules Chemical class 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229920002521 macromolecule Polymers 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- VUZPPFZMUPKLLV-UHFFFAOYSA-N methane;hydrate Chemical compound C.O VUZPPFZMUPKLLV-UHFFFAOYSA-N 0.000 description 1
- WSFSSNUMVMOOMR-NJFSPNSNSA-N methanone Chemical compound O=[14CH2] WSFSSNUMVMOOMR-NJFSPNSNSA-N 0.000 description 1
- 125000001570 methylene group Chemical group [H]C([H])([*:1])[*:2] 0.000 description 1
- 125000000325 methylidene group Chemical group [H]C([H])=* 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 1
- 239000012299 nitrogen atmosphere Substances 0.000 description 1
- 238000011017 operating method Methods 0.000 description 1
- 150000002927 oxygen compounds Chemical class 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229910021426 porous silicon Inorganic materials 0.000 description 1
- 125000000075 primary alcohol group Chemical group 0.000 description 1
- 230000001698 pyrogenic effect Effects 0.000 description 1
- 238000010405 reoxidation reaction Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 230000007017 scission Effects 0.000 description 1
- 125000003198 secondary alcohol group Chemical group 0.000 description 1
- 239000013049 sediment Substances 0.000 description 1
- 150000003384 small molecules Chemical class 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- GZCRRIHWUXGPOV-UHFFFAOYSA-N terbium atom Chemical compound [Tb] GZCRRIHWUXGPOV-UHFFFAOYSA-N 0.000 description 1
- 125000001650 tertiary alcohol group Chemical group 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- 150000004072 triols Chemical class 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76823—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76862—Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76873—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L2224/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
- H01L2224/321—Disposition
- H01L2224/32151—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/32221—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/32245—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/42—Wire connectors; Manufacturing methods related thereto
- H01L2224/44—Structure, shape, material or disposition of the wire connectors prior to the connecting process
- H01L2224/45—Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
- H01L2224/45001—Core members of the connector
- H01L2224/45099—Material
- H01L2224/451—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
- H01L2224/45138—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/45147—Copper (Cu) as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/42—Wire connectors; Manufacturing methods related thereto
- H01L2224/47—Structure, shape, material or disposition of the wire connectors after the connecting process
- H01L2224/48—Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
- H01L2224/481—Disposition
- H01L2224/48151—Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/48221—Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/48245—Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
- H01L2224/48247—Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic connecting the wire to a bond pad of the item
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/00011—Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/10—Details of semiconductor or other solid state devices to be connected
- H01L2924/11—Device type
- H01L2924/12—Passive devices, e.g. 2 terminal devices
- H01L2924/1204—Optical Diode
- H01L2924/12044—OLED
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Semiconductor Memories (AREA)
Description
經濟部智慧財產局8工消費合作社印製 508658 A7 B7 ___ 五、發明説明(彳) 發明領域 本發明有關一種製造積體電路(1C)之方法’尤其有關一* 種在積體電路ICs製造期間將·位於基材上之金屬氧化物還原 成元素金屬之方法。 相關技藝描挑 積體電路(1C)中之互連物、電容器電極及閘極金屬係由 金屬製成。尤其是銅,因爲較佳之電性質諸如低電阻係數 ’而成爲互連物極具吸引力之備擇物。 在處理積體電路期間,金屬表面可變成氧化,而增加 金屬表面及其互連物的電阻係數。位於金屬互連物上之氧 化物層限制電子通經該互連物之流動,而損壞積體電路ICs 之高速應用。 另一方面,積體電路需要絕緣體以使金屬線路彼此分 隔。可作爲絕緣體之低介電常數材料一般不耐高溫,即約 400 °C或更高之溫度。 所謂嵌紋(damascene)及雙嵌紋結構(圖8)係爲一般用以 製造積體電路ICs之薄膜結構。製造嵌紋(damascene)及雙嵌 紋結構時,製造貫穿絕緣層之開口(通道),以通達底層銅金 屬層。因此,絕緣體表面曝露於氣體氛圍下。破壞絕緣體 之電性質’自開口底部蒸發任何揮發性金屬化合物,於絕 緣體表面上化學吸附單一分子層之銅化合物。嵌紋處理需 要位於該絕緣體表面上之擴散障壁,以防止銅擴散至該絕 緣體。沉積擴散障壁層之後,無法自位於該通道底部之銅 ^紙張尺度適用中國國家標準(〇奶)八4規格(210父297公釐‘) 一 I 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) -4- 508658 A7 B7 五、發明説明(2 ) 表面上移除氧化物層。顯然需要一種方法,其中可移除金 屬氧化物,而不形成任何揮發性金屬化合物。 根據先前技藝,位於金屬層上之氧化物層可被移除或 還原。美國專利第5 9 3 9 3 34號及EP公告第0 880 1 68號揭 示一種藉/3-二酮移除金屬氧化物層之方法。/3-二酮蒸汽係 與例如銅氧化物表面接觸,於該處形成/3 -二酮酸銅及水。 兩反應產物皆藉蒸發自該表面移除,得到金屬表面。該公 告之方法無法成功地應用於產製積體電路,因爲該固體材 料之金屬污染而發生可信度之問題。揮發性金屬化合物分 子自汽相化.學吸附於環繞該導體之基材表面上,自該表面 擴散至該絕緣體內。. 根據美國專利第6,033,584號所揭示之方法,可使 用H:電漿處理以還原氧化銅層。雖該方法甚至可使用於低 溫,但電漿易於損壞積體電路1C之絕緣體材料。此外,電 漿生成器增加了整體積體電路1C製程的成本及複雜性。 已知氧化銅可藉氫氣及一氧化碳還原。藉氫或一氧化 碳還原金屬氧化物之製造半導體金屬線路的方法係描述於 美國專利第5 73 1 634號中。非活性氫具有較強之H-H鍵結 ,需要增加處理溫度或附加能量…例如電漿形式。 M.Utriainen等人硏究使用氫還原氧化鎳之方法(Applied Surface Science 1 57 (2000) pp. 15 1-1 58)。鎳可催化 H-H 鍵結 之斷鏈,因此活化氫氣。根據該公告,NiO之還原可於230 °C下於30分鐘內完成。然而,作者陳述所述之還原步驟誘 發薄膜之結構塌陷,而形成針孔。 本紙張尺度適用中國國家標準(CNS ) A4規格(210父297公釐.) I----r——^11¾衣 — I (請先閲讀背面之注意事項再填寫本頁) 訂 -線 經濟部智慧財產局員工消費合作社印製 -5- 508658 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(3 ) 小分子諸如Η 2及C〇迅速擴散進入金屬氧化物薄膜內部 ’於該薄膜內部形成副產物(Η 2 〇及C〇2 )。因爲增加之尺寸 ’此等副產物對於表面之擴散性低於還原性Η2及CO分子對 該薄膜者。增高之內部壓力可能破壞該薄膜,而產生針孔 。因此,使用Η2或CO作爲還原劑較不利於本發明之應用。 本發明者使用氫及氨在無觸媒下進行比對實驗。氨被 選擇進行實驗,因爲其富含氫。結果顯示氫在450 °C下於 一小時內未能還原氧化銅(芬蘭專利申請案編號FI20001 1 63) 。本發明者使用氣態氨得到相同結果:氧化銅無法在低於 5 00 °C的溫度下被還原。本發明單純還原方法有利於積體電 路1C處理,在低於400 °C下迅速地進行(< 5分鐘),且不污 染絕緣體表面。 銅氧化物(等)與醇類、醛類及竣酸鋤之間的化學反應係 由文獻中得知(Gmelin Handbuch der Anorganischen Chemie, Kupfer,Teil B-Lieferung 1,Sustem-Nummer 60,Verlag Chemie,GMBH.,1 958)。然而,該公告中描述之實驗係使用氧 化銅粉末進行,其因粗糙表面而具有高値表面-對-體積比例 ,因此較致密光滑層形式之氧化銅較易還原成銅金屬。未 出示反應條件。而且,該公告未討論使用該還原反應以製 造金屬薄膜或使用於製造積體電路之程序中的可能性。 EP 0 469 470 A1揭示一種還原位於印刷電路板上之氧 化銅的方法。該申請案係有關位於印刷電路板上之銅箔導 體。使用氫、一氧化碳或其混合物或肼作爲還原劑。鉑金 屬族金屬觸媒係固定於氧化銅層上。該觸媒於低溫下活化 本紙張尺度逍用中國國家標準(CNS ) A4規格(210X297公釐·) I-----r--^--裝丨------訂------線 (請先閲讀背面之注意事項再填寫本頁) -6- 508658 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(4 ) 該還原劑。氧化銅係用以改善銅金屬與絕緣層積(基質樹脂) 層之間的黏著性。藉還原而自銅表面上移除氧化銅。 EP 0 469 470所示之還原銅的方法之缺點係將活化劑金 屬(鉑族)沉積於該表面上。該鉑族金屬係包括、釕(Ru)、铑 (Rh)、鈀(Pd)、餓(〇s)、銥(Ir)及鉑(Pt)。使用物理氣相沉積 PVD及化學氣相沉積CVD方法在嵌紋結構之通道及渠溝上 沉積均勻鉑族金屬塗層係爲複雜且昂貴之方法。 EP 0 4 69 470 A1描述經由醇類、醛類、羧酸類、氨及 肼之催化熱解而生成還原氣體(氫或一氧化碳)之方法。該還 原氣體隨之與金屬氧化物接觸。該方法之優點係催化熱解 可自污染表面之有機化合物形成非揮發性富含碳之片段。 此等非揮發性片段不傷害印刷電路板中巨觀接觸點(直徑約 0.1-1毫米)之功能性,但此等功能傷害積體電路中之微觀接 觸點(直徑約0.18-0.25微米)。 E P 0 4 6 9 4 5 6 A 1揭不一種自使用於印刷電路板中之銅 包膜層積物上移除氧化銅的方法。還原性氣體係經由肼及 甲基肼之催化熱解生成。肼及甲基胼係爲具有致癌性及腐 蝕性之有害化學物質,熱解可自污染積體電路ICs之敏感性 表面的甲基肼生成可縮合化合物。由印刷電路板之銅表面 所製得之接觸面積係爲巨觀大小(直徑爲0.1 -1毫米大小)。 該公告未教示如何應用該發明以製造微觀嵌紋結構。 自銅表面液相移除氧化銅之方法係由W〇93/1 0652 A 1 及DE 4 1 0 8 073得知。基於液相之方法的缺點係爲金屬氧 化物反應成爲可溶性金屬化合物形式,以分子層形式黏著 本紙張尺度逍用中國國家標準(CNS ) A4規格(210X297公釐·) ' 辦 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 508658 A7 ________B7 _ 五、發明説明(5 ) 於曝露於溶液之所有表面上。此外,金屬氧化物之液相移 除法應用於嵌紋方法時極爲昂貴。例如,在液相移除氧化 銅之後,該基材需小心地保護,防止在沉積擴散障壁之前 ,曝露於任何含有氧及反應性氧化合物之氛圍下。許多嵌 紋程序步驟皆以於聚集工具--僅由蒸汽相處理單元組成…中 進行爲佳。液相處理期間所提供之銅金屬表面可於該基材 達到蒸汽相處理單元中之前,輕易地再氧化成爲氧化銅。 結合液相及氣相單元係複雜且昂貴。此外,難以施加液相 處理以自嵌紋及雙嵌紋結構中通道底部去除金屬氧化物, 因爲該積體.電路1C基材應經於不含氧之氛圍中謹慎地淋洗 及乾燥,並於較佳不含氧的氛圍中或高度真空環境中輸送 至聚集工具,以避免該銅表面之再氧化。 .發明槪要. 本發明之目的係消除先前技藝之問題,提出一種新穎 之方法,在製造積體電路之過程中將金屬氧化物還原成元 素金屬。 本發明係基於在處理積體電路之過程中,可使用反應 性有機化合物作爲還原劑以將金屬氧化物還原成元素金屬 之發現。本發明所使用之有機化合物係含有至少一種官能 基,選自醇(-OH)、醒(-CHO)、及殘酸(-C〇〇H)之群。 本發明有關一種汽相方法,其可輕易地整合於用以製 造積體電路(1C)晶片的處理設備中。較佳該汽相方法係整合 於嵌紋方法或雙嵌紋方法中。亦可應用於製造電容器電極 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐.) " 裝 訂 ^ 線 (請先閱讀背面之注意事項再填寫本頁) 508658 A7 B7 五、發明説明(6 )及閘極金屬。本發明方法可用以使以下金屬氧化物薄膜回復成元素 金屬薄膜,如表1所示。 經濟部智慧財產局員工消費合作社印製 表1 元素周期表之族數 氧化物 金屬 第6族 Mo〇2,Mo〇3 鉬Mo 第6族 W〇2,W〇3 鎢W 第7族 Re〇2,Re2〇5,Re〇3 錬Re 第8族 FeO,Fe2〇3 鐵Fe 第8族 RU〇2 釕Ru 第S族 〇S〇2 餓〇s 第9族 C〇〇,C〇3〇4 鈷Co 第9族 Rh2〇3,Rh〇2 铑Rh 第9族 Ir〇2 銥Ir 第10族 NiO 鎳Ni 第10族 Pd〇 鈀Pd 第10族 Pt〇2 鈾Pt 第11族 Cu2〇,Cu〇 銅Cu 第11族 Ag2〇(dec,23〇〇C ) 銀Ag 第11族 Au2〇3(dec,i6〇〇C ) 金Au 第12族 Zn〇 鋅Zn 第1 2族 Cd〇 鎘Cd 第13族 In2〇3 銦In 第14族 G e 0, G e 0 2 鍺Ge 第14族 Sn〇,Sn〇2 錫Sn 第14族 Pb〇,Pb〇2 鉛Pb 第15族 Sb2〇3,Sb2〇4,Sb2〇5 銻Sb 第1 5族 B i 2 〇 3 鉍Bi I ·—裝-- (請先閱讀背面之注意事項再填寫本頁) 訂 線 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29?公釐) -9 - 508658 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(7 ) 表1所列之金屬氧化物可無意地形成或可藉各種方法 如物理氣相沉積PVD或化學氣相沉積CVD而有意地沉積於 基材上。欲還原之金屬氧化物的蒸汽壓需於還原溫度下保 持低値,以避免金屬氧化物之蒸發。根據本發明,該還原 溫度可低於400 °C,其大幅擴大適當之金屬氧化物的族群 。某些情況下,使用於積體電路1C製造之材料例如作爲低 k絕緣體之多孔性二氧化矽,承受高於400 °C之加工溫度。 此等情況下,還原溫度上限可延伸至高於400 °C,甚至高 達 500 〇C 〇 根據本發明,欲回復成金屬薄膜之金屬氧化物薄膜基 本上係由金屬氧化物或金屬氧化物之混合物所組成。轉化 步驟係使用氣體還原劑進行,該還原劑可在金屬氧化物層 中配置一個對氧之鍵結較該氧化物層中金屬對氧之鍵結強 的鍵結。該氣體還原劑可帶走鍵結於金屬氧化物上之氧, 因此元素金屬保留於基材表面上。 表2及表3顯示金屬氧化物較佳係根據本發明還原成較 低氧化物或金屬。較佳係使用一或多種以下還原劑:甲醇、 甲醛、甲酸、乙醇、乙醛、異丙醇。當同時使用至少兩種 還原劑化學物質時,可使用蒸汽混合物。實驗顯示較重金 屬氧化物之還原比等定氧化物之較輕金屬氧化物的還原容 易。 本發明僅有最具攻擊性之還原劑可將表3所列之氧化 物還原成金屬或較低氧化物。 本紙張尺度適用中.國國家標準(CNS ) A4規格(21〇x297公釐) 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) -10- 508658 A7 B7 五、發明説明(8 ) 經濟部智慧財產局員工消費合作社印製 表2 .欲根據本發明還原之金屬氧化物 未還原 已還原 A g 2〇 A g A U 2 0 3 A u C ο 0 Co C 0 3 0 4 C o〇 C u 2 0 C u C u〇 C U 2〇 F e〇 F e F 6 2 0 3 F e〇 I r 0 2 I r Μ o〇2 Mo Μ o 0 3 Μ o 0 2 N i 0 N i 0 s 0 2 0 s 0 S〇4 〇S〇2 P d P P d P t〇 P t R e〇2 Re R e 0 3 R e 0 2 R 6 2 0 7 R e〇3 R h 2 〇 3 R h R u〇2 R u R u 0 4 R u〇2 W 0 2 W W 0 3 W〇2 — -裝-- (請先閱讀背面之注意事項再填寫本頁) 訂 線 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -11 - _ B7 ___ 五、發明説明(9 ) $ 3 ·欲使用本發明最具攻擊性之還原劑還原之金屬氧化 广、_ 物 一_^_„ 未還原 已還原 ^__ B i 2 0 3 __B i C _ ..._C d sG e 〇 -..- G e ___ G e 0 2 — G e〇 ____ I n 2 0 3 — In __ P b 0 2 P b〇 P b 〇 —— P b S b 2 〇 3 S b ___S b 2 〇 4 S b 2 0 3 S b 2 〇 5 S b 2 0 4 S n 0 —----- S n S n〇2 S n 0 Z n〇 Z n I 裝-- (請先閱讀背面之注意事項再填寫本頁) 、11 線 經濟部智慧財產局員工消費合作社印製 詳言之’本發明方法之特徵爲申請專利範圍第1項之 特性部分所陳述。 使用本發明得到數項重要之優點。 本發明方法採用低價之還原化學物質。處理溫度相對 低,不需要附加能量,例如電漿形式。 因爲低處理溫度,故本發明方法極有利於產生積體電 路。金屬氧化物還原成元素金屬之速率高。還原速率視欲 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐·) -12- 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(1〇 ) 還原之金屬氧化物層的厚度而定。實驗顯示400-毫微米厚 之銅氧化物層在低達3 1 0 °C之溫度下於五分鐘內還原成純 銅金屬。 碳及氫雜質及氧於經還原金屬薄膜中之含量低。因此 本發明提出一種低處理溫度、短操作時間及低碳及氫雜 質於所製薄膜中之低含量的獨特組合。 本發明係基於金屬氧化物成爲元素金屬之還原。使用 於本發明之來源化學物質不形成揮發性金屬化合物;其與金 屬氧化物反應,僅形成元素金屬及揮發性不含金屬副產物 ,其自基材表面被沖除或泵除。因此,本發明方法不產生 積體電路1C裝置之絕緣體表面的金屬化合物污染。 本發明提出一種簡單之單一步驟還原方法,用以消除 金屬氧化物,且採用低價市售來源化學物質,不需附加之 物理或化學預處理諸如熱解或催化分解,即可蒸發。此外 ,不需要進行基材之複雜液相處理。 本發明採用簡單之液體來源化學物質,其可安全地操 作,且在發生金屬氧化物還原的反應空間之前,輕易地蒸 發成氣體形式。 根據本發明,使用具有相對龐大分子(醇類、醛類及羧 酸類)之還原劑。龐大來源化學分子不會輕易擴散至金屬氧 化物薄膜內。該還原反應僅發生於金屬氧化物層表面。預 測在還原過程中,氧離子向著氧因還原化學物質而消耗之 表面而擴散。薄膜內不形成氣體副產物,而僅形成於該表 面上。保持形成之金屬薄膜的結構完整性,而不於薄膜上 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度逍用中.國國家標準(CNS ) A4規格(210X297公釐·) -13- 508658 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(μ ) 形成針孔。 與使用氫作爲還原劑之方法比較時,發現在與採用分 子氫氣體的方法比較之下,本發明方法在大幅較低之溫度 下’於較短處理時間內,提供所需之還原。 本發明可用以還原位於導電性表面上之絕緣或半導體 金屬氧化物層,尤其是積體電路中之嵌紋或雙嵌紋結構中 者。因此,形成於通道及/或渠溝底部中之銅金屬層表面上 的金屬氧化物層根據本發明使用有機還原劑,在沉積擴散 障壁之前,至少部分還原成金屬。該金屬以銅爲佳。 此外,本發明提出一種裝置,用以沉積在積體電路之 嵌紋或雙嵌紋結構中供電化學沉積使用的種晶層。藉任何 適當之方法(例如ALD方法)沉積之銅或其他金屬氧化物薄 層至少部分還原成銅或其他金屬,之後作爲用以沉積銅的 種晶層,充塡基材上之渠溝及通道。本發明亦提出一種用 以形成電容器電極及閘極金屬的裝置,該電極及金屬對於 周圍材料具有良好黏著性。 下文中,參照詳述及附圖更詳細地揭示本發明。 圖式簡單說明 圖1係說明由經電化學沉積(以下稱爲ECD)之銅金屬測 得之瞬時反衝偵測分析(以下稱爲TOF-ERDA)結果。 圖2表示由經氧化ECD銅所測得之TOF-ERDA結果。 圖3表示由經氧化ECD銅所測得之T0F_ERDA結果,該 銅已於385 °C下使用甲醇蒸汽還原5分鐘° 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐·) 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) -14- 經濟部智慧財產局員工消費合作社印製 508658 A 7 B7
- - - - .....- 1 " " " — ~ 111 M 五、發明説明(彳2 ) 圖4表示由經氧化ECD銅所測得之TOF-ERDA結果,該 銅已於360 °C下使用乙醇蒸汽還原5分鐘。 圖5表示由經氧化ECD銅所測得之T0F-ERDA結果,該 銅已於360 °C下使用異丙醇蒸汽還原5分鐘。 圖6表示由經氧化ECD銅所測得之T0F-ERDA結果’該 銅已於3 1 0 °C下使用甲酸蒸汽還原5分鐘。 圖7表示銅試樣之電阻係數。 圖8係爲雙嵌紋結構之示意圖。 圖9係爲電容器結構之示意圖。 圖10係爲適於CMOS結構之NM0S電晶體的示意圖。 元件對照表 2 先前金屬化層 4 絕緣層 6 通道蝕刻終止層 8 通道層絕緣體 10 渠溝鈾刻終止層 12 渠溝層絕緣體 14 擴散障壁 16 種晶層 18 通道/渠溝充塡金屬 30 接觸栓 32 絕緣體 34 視情況使用之擴散障壁 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐·) 批衣 訂 n 線 (請先閱讀背面之注意事項再填寫本頁) -15- 經濟部智慧財產局員工消費合作社印製 508658 A7 __ B7 -------------—-_^_ 五、發明説明(彳3 ) 36 底電極 38 高k介電薄膜 40 頂電極 60 基材 62 η-型井洞 64 Ρ-型擴散區 66 淺渠溝隔離氧化物 68 閘極介電質 70 視情況使用之障壁層 72 閘極金屬 74 閘極隔離間隔物 76 鶴检 既式簡單說明 圖1至7係詳細描述於實驗中。 圖8所示之雙嵌紋結構係由預先金屬化層2例如Cu、 絕緣層4例如S1O2、由Si3N4所製得之通道蝕刻終止層6、 通道層絕緣體8例如SiOv、由Si3N4製得之渠溝蝕刻終止層 10、渠溝層絕緣體12例如Si〇2、擴散障壁14例如TaN、種 晶層1 6及通道/渠溝充塡金屬1 8例如Cu所構成。 圖9所示之電容器結構係由接觸栓30例如鎢W或多晶 矽、絕緣體32、視情況使用之擴散障壁34例如TiN、底層 電極36例如Ru、Pt或Ru〇2 .、高k介電薄膜.38例如鈦酸鋇 緦(BST)及頂層電極40例如Ru或Pt所構成。 本紙張尺度通用中國國家標準(€\5)人4規格(210'乂297公釐.〉 ~~ ~ -16- 1 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) 508658 A7 B7 五、發明説明(14 ) 圖1 〇所示之部分電晶體結構係由基材60、η型井洞62 、ρ型擴散區64(右汲極,左源極)、淺渠溝隔離氧化物66 、閘極介電質68、視情況使用之障壁層70、閘極金屬72 、閘極隔離間隔物74及供鎢栓76使用之接觸區。該接觸區 係爲虛線,因其與其他編號零件不在同以垂直平面。CMOS 結構同時含有PM0S及NM0S電晶體。針對ρ型半導體之接 觸區可由例如Ni及Ru◦製得。針對N型半導體之接觸區可 由例如Ru製得。鉑亦可施加於W栓底層。金屬或導電性金 屬化合物之選擇係視底層之功函數及周圍材料與該金屬或 導電性金屬化合物之反應性而定。 發明詳述 積體電路之產製係爲具有數個可依不同方式進行之步 驟的複雜方法。若形成之積體電路由至少一個導電性金屬 層--其於積體電路1C處理期間至少部分係爲金屬氧化物形 式一構成,則本發明方法可與各種方法結合使用。 通常,積體電路1C之結構係包括具有不同性質、不同 面積及因此亦具有不同結構功能之不同材料層。該層係藉 任何已知之沉積方法所產製。較佳沉積方法係包括原子層 沉積(ALD)、化學氣相沉積(CVD)、及尤其是沉積銅金屬層 時,電化學沉積(ECD)。積體電路1C結構中薄層之實例有 介電層及擴散障壁層。該絕緣層係使導電性層彼此絕緣所 必需。導電性之擴散障壁層係防止銅或其他金屬自互連物 擴散至絕緣體或該裝置之主動零件中所必需。另一方面, 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) 身-- (請先閲讀背面之注意事項再填寫本頁) 、-=-口 經濟部智慧財產局員工消費合作社印製 -17- 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(15 ) 該擴散障壁層防止氧或其他有害之元素擴散至該金屬層中 〇 根據本發明,製造積體電路之方法一般係至少包括以 下步驟: -於含有至少一金屬層之基材上沉積至少一第二層,其 可終止移除介電質之處理,使其不致再深入該結構中, -於該層上沉積至少一第一介電層, -沉積至少一第二層,其可終止移除介電質之處理,使 其不致再深入該結構中, -於該層上沉積至少一第二介電層, -在所形成之結構中形成通道及/或渠溝,.以使位於該基 材上之金屬層至少部分曝露且氧化, -使用還原劑將經氧化之金屬層至少部分還原成金屬, 該還原劑係.選自含有至少一或多個以下官能基之有機化合 物之群:醇(-0H)、醛(-CHO)、及羧酸(-COOH), -較佳係於該經脫氧化金屬表面沉積至少一擴散障壁層 ,及 -較佳係於該擴散障壁層上沉積具有所需厚度之金屬層 〇 重複前述步驟,直至形成具有所需性質之結構。積體 電路1C結構中,前述周期一般係重複5-6次。在開始後續 周期之前,經沉積之金屬層表面係經平面化至第二介電層 之頂表面標準,較佳係於該經平面化之銅層上沉積擴散障 壁或鈾刻終止層。 弗-- (請先閱讀背面之注意事項再填寫本頁) 、τ 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -18- 經濟部智慧財產局員工消費合作社印製 508658 A7 __ B7 五、發明説明(16 ) ”金屬(氧化物)層"及薄層於本發明中通常意指由元素或 化合物生長之層,其係以個別離子、原子或分子形式經由 真空、氣相或液相由來源輸送至該基材。用以製造薄層之 沉積方法不限制本發明還原方法之應用性。該層厚度係大 幅變化,例如由單分子層至800毫微米至1000毫微米及更 大。 最進步之積體電路1C結構中之一係所謂之雙嵌紋結構 ,其係由上層具有電晶體(源極、閘極及汲極)之矽基材所構 成。僅第一金屬化層係使用鎢栓及鋁互連物進行,以防止 閘極被銅所污染。其餘金屬化層係由銅所製得。 下文出示使用雙嵌紋方法形成金屬化層及互連物之更 詳細實例。細節及程序步驟數目可改變,但整體觀念約略 相同。 步驟1 ·於先前金屬化表面上生長氮化矽蝕刻終止層 〇 步驟2 ·沉積通道層介電質。 步驟3 ·沉積另一氮化砂蝕刻終止層。 步驟4 ·沉積渠溝層介電質。Si〇2係爲較佳之介電材 料。使用低k -材料諸如經氮化之二氧化砂及聚合物及多孔 性絕緣體作爲備擇介電材料。 步驟5 ·介電質藉微影術進行圖型化。 -光阻層沉積於介電質表面上。 -該光阻層經圖型化,自通道區移除該光姐。 -使用雙向電漿自通道區域蝕刻移除介電質。蝕刻係於 本紙張尺度適用中周國家標準(CNS ) A4規格( 210X297公釐) 一 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) -19- 經濟部智慧財產局員工消費合作社印製 508658 A 7 _____B7 五、發明説明(17 ) 熱化妙表面上終止。 -自該表面剝除光阻。 步驟6 ·鈾刻終止層藉微影術進行圖型化。 -於該表面上沉積第二光阻層。 -該光阻層經圖型化,並自渠溝區域移除。 -使用短電漿氮化物蝕刻自孔洞底部…由第一電漿氧化 物蝕刻所製--移除氮化矽。 -該第二電漿氧化物蝕刻移除所曝露通道及渠溝區域之 二氧化矽,直至到達氮化矽蝕刻終止層。 -使用短電漿氮化物蝕刻自通道底部移除第一氮化矽蝕 刻終止層,且自渠溝底部移除第二氮化矽蝕刻終止層。 -自該基材剝除光阻。 步驟7 ·擴散障壁層生長於所有曝露表面上’。 步驟8 .供銅沉積使用之種晶層係使用於化學氣相沉 積CVD或物理氣相沉積PVD生長於該擴散障壁層上。 步驟9 .通道及渠溝藉電鍍方法充塡銅。 步驟10 ·該基材表面使用化學機械拋光(CMP)方法平 面化。該表面經拋光直至銅及障壁層僅保留於渠溝及通道 中〇 步驟1 1 .該表面罩上氮化矽蝕刻終止層。 步驟1 2 ·針對所有殘留之金屬化層重複該金屬化方法 〇 該結構所形成之渠溝及通道的形式及數量係經選擇, 以符合產製目的。 ϋ張尺度適用中國國家標準(CNS ) A4規格(210X297公釐〇 ~ I 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) -20- 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(18 ) 因爲光阻層係如前述般地被移除,該銅層--位於進行臭 氧處理之對應點上--至少部分經氧化,因此形成氧化銅。根 據本發明,該氧化銅層基本上係完全或部分還原成銅金屬 。該金屬不需要之氧化可發生於積體電路1C結構產製之不 同程序階段中,本發明方法有利於在任何或所有此等階段 中,將氧化銅層至少部分還原成銅金屬。 視所需之結構而定,積體電路1C結構中金屬層數目可 由一或兩層、三層、四層或甚至六層或更多層。例如,銅 層(銅栓)一般係沉積於通道及渠溝底部中之擴散障壁層的表 面上。本發朋還原方法可與積體電路1C結構之任何或所有 金屬層的沉積一起使用。 根據本發明第一具體實例,積體電路1C結構中作爲互 連所需之銅層係藉著於基材上使用任何適當之方法生長具 有所需之厚度的氧化銅層,之後使用本發明方法所使用之 還原劑還原成銅金屬層而沉積。 根據本發明第二較佳具體實例,用以於基材上沉積銅 層(比較程序步驟8)之種晶層係藉著先使用任何適當之方法 沉積厚度一般介於0.1-10毫微米範圍內之氧化銅薄層而形 成。該氧化銅層隨後至少部分還原成銅金屬,.之後,使用 任何適當之方法沉積銅金屬層。因此,用於沉積氧化銅層 及銅金屬層之方法可個別地選擇。 本發明方法所使用之基材一般係爲矽,唯亦可使用其 他備擇物。已知若沉積第二、第三等金屬層或金屬氧化物 層’則"基材"一般係爲先前沉積之擴散障壁層。因此,該 (請先閲讀背面之注意事項再填寫本頁)
T 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐.) -21 - 508658 A7 _ B7 五、發明説明(19 ) 基材亦包括材料如非晶過渡金屬氮化物,諸如TiN、TaN 及WN。 如前文所討論,欲還原之金屬氧化物層可爲例如金屬 氧化物之故意沉積層,或其可爲天然氧化物形式之不期望 的金屬氧化結果。因此,欲還原之層中的化學結構及氧含 量可大幅變化。一般係介於5至75原子百分比範圍內,尤 其是由3 3至5 0原子百分比。 欲還原之層的厚度一般係介於0.1至1000毫微米範圍 內。可輕易理解若欲還原之層係爲因表面金屬不期望之氧 化所致之天,然氧化物層,則該層之厚度一般小於沉積於基 材上且隨之還原成金屬之氧化物相對厚層。形成於金屬層 上之天然氧化物層的厚度一般係介於0.1至100毫微米範圍 內,尤其是0.1至50毫微米。另一方面,可將100至1000 毫微米之金屬氧化物層,尤其是300至5 00毫微米還原成金 屬。 還原過程較佳係於可控制溫度、壓力及氣流條件之反 應空間中進行。低壓及大氣壓反應器兩者皆用於還原過程 中。 含有欲速原之金屬層的基材係置於反應空間中,而該 反應空間以抽至真空爲佳。有機還原劑經蒸發,進料至該 反應空間中,視情況借助惰性載體氣體諸如氮。該還原劑 係與該基材接觸,以使該金屬氧化物層至少部分還原成金 屬,而該還原劑經氧化。一般,該反應空間係使用惰性氣 體沖洗,以屬除未反應之有機還原劑及反應產物。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐.) 5 (請先閱讀背面之注意事項再填寫本頁) -l-ti 經濟部智慧財產局員工消費合作社印製 -22- 508658 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明説明(2〇 ) 本發明還原方法係於低溫下進行。理論上,氧化物(等) 與本發明方法所使用之還原劑之間的反應較有利於寬幅溫 度範圍,即使低達室溫亦然。氧對薄膜表面之動力因數及 擴散速率對於可成功使用之實際處理溫度設定下限。該反 應空間中之溫度一般係介於250至400 °C之範圍內,某些情 況下,基材可容許高達500 °C,較佳係300至400 °C,尤其 是3 10 °C至3 90 °C。若爲極薄金屬氧化物薄膜,約0.1-10毫 微米之大小,則該還原甚至可於低於250 °C下達成。 該反應空間中之壓力一般係〇.〇1至20毫巴,以1至1〇 毫巴爲佳。· 處理時間視欲還原之層的厚度而定。厚度高達300-400 毫微米之氧化銅層可於約3至5分鐘內還原。厚度約0·卜1 〇 毫微米之層的處理時間係爲數秒鐘之大小。 適當之配置的實例係爲用以藉ALD及/或CVD沉積薄膜 之反應器。該還原方法亦可於聚集工具中完成,其中基材 係來自先前處理步驟,使用還原劑處理該基材,之後輸送 至後續處理步驟。聚集工具中,該反應空間溫度可保持定 値,與在每一程之前加熱至處理溫度之反應器比較之下’ 大幅改善通量。 單獨架設之反應器可具有裝載鎖。該情況下,程間之 反應溫度並非必要改變。 ' 經還原銅層中的雜質含量低。Η及C雜質之含量一般 爲0.1至0.2原子百分比之大小。殘留氧之含量一般係介於 0.1至1原子百分比範圍內,尤其是0.2至〇·5原子百分比。 I-------—ti------ΐτ_-----# (請先閲讀背面之注意事項存填寫本頁) 本紙張尺度適用中國國家標準(CNS ) Α4規格(21〇χ297公釐) -23- 508658 A7 B7 五、發明説明(21 ) US, (請先閱讀背面之注意事項再填寫本頁) 根據本發明第三具體實例,形成用以電化學沉積金屬 層之種晶層。在ALD或CVD反應艙中提供具有開口渠溝及 通道之積體電路1C基材。上層具有金屬氧化物層16之擴散 障壁層1 4係於之前提供於該基材上。該金屬氧化物1 6可選 自Re〇2 ’ Re2〇7’ Re〇3 , Ru〇2 ,〇s〇2 ’ c〇〇,C〇3〇4,Rh2〇3,Rh〇2, Ir〇2, ^ i o , Pd〇,Pt〇2, Cu2〇,Cu〇,Ag2〇 及A u 2 〇 3之群,或其混合物。熟習該項技術者已知還原 方不限於該金屬氧化物,因爲金屬氧化物中理想配比可變 化。 反應艙之壓力係使用真空泵及流動之氮氣調整至約5 至10毫巴。該金屬氧化物薄膜係轉化成金屬層,而作爲供 電鍍方法使用之種晶層1 6。 經濟部智慧財產局員工消費合作社印製 根據本.發明第四具體實例,該金屬層係於化學機械拋 光(CMP)之前於含有氣體形式之還原劑的氛圍中退火,該還 原劑係選自含有至少一種-〇H、-CH◦及/或-C〇〇H官能基的 化學物質。在CMP步驟之前所進行之退火係稱爲CMP前退 火。該還原劑可於至少兩種含有至少一個-OH、-CHO及/或 -C〇OH官能基之化學物質的氣體混合物。該還原劑氣體可 使用惰性氣體例如氮氣稀釋。 在含有積體電路1C之通道及渠溝之基材充塡以電化學 電鍍銅1 8之後,進行標準退火方法。退火改善銅層之物理 及化學性質,因此增加拋光速率,且改善拋光之均勻性。 因爲溶於銅層中之氧干擾銅之晶粒生長,故較佳係根據本 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公* ·) -24- 508658 A7 B7 五、發明説明(22 ) 發明於還原氛圍中使銅層退火。該還原劑氣體可使用惰性 氣體例如氮或氬稀釋。該還原溫度較佳係低於4〇〇。(:。 根據本發明第五具體實例,金屬氧化物係於CMP時淸 洗之後還原成元素金屬。在化學機械拋光之後,次微米粒 子自該裝置表面淸除,此過程稱爲CMP後。然而,部分氧 化銅仍殘留於銅金屬表面上。位於金屬表面上之金屬氧化 物塗層損壞該金屬層與該薄膜例如蝕刻終止層一沉積於該金 屬層頂上一之間的黏著性。因此,較佳係於CMP後過程之 後進行本發明還原步驟。氣體還原劑與該基材較佳於低於 400 °C下接觸,該還原劑係選自含有至少一個_〇h、-CH〇 及/或-COOH官能基之有機化合物或選自該有機化合物之氣 體混合物。 根據本發明第六具體實例,無意地形成於通道底部之 金屬氧化物層被還原。自該通道底部移除氮化矽蝕刻終止 層之後’光阻自該基材剝除,金屬氧化物可形成於該通道 底部之曝露金屬上。該金屬氧化物需藉還原消除,以於銅 及相鄰擴散障壁薄膜之間提供低接觸電阻。氣體還原劑係 與基材接觸,較佳係低於40CTC,該還原劑係選自含有至 少一個-〇H、-CH0或-C〇〇H官能基之有機化合物或選自該 有機化合物之氣體混合物。 根據本發明第七具體實例,表面上具有可還原金屬氧 化物層之積體電路1C基材係提供於CVD反應器之反應艙中 。該基材加熱至沉積溫度。該金屬氧化物轉化成對應之金 屬,而作爲第一電極36。之後,於該第一電極36上生長高 本纸張尺度通用中.國國家標準(CNS ) A4規格(210X297公釐·) 澤-- (請先閲讀背面之注意事項再填寫本頁) 、τ 經濟部智慧財產局員工消費合作社印製 -25- 508658 A7 B7 五、發明説明(23 ) 0¾ (請先閱讀背面之注意事項再填寫本頁) k -介電材料3 8。該高k層3 8視情況經退火。金屬氧化物薄 膜使用已知之沉積方法生長於該高k層上,例如根據共待 審專利申請案係使用PVD或CVD或使用ALD。根據本發明 ’該金屬氧化物薄膜係使用氣體還原劑轉化成對應之元素 金屬,該還原劑係選自含有至少一個-〇H、-CHO或-C〇〇H 官能基之有機化合物或兩種或多種該還原劑之氣體化合物 的混合物,視情況使用惰性氣體如氮氣稀釋。形成於上層 之金屬層係作爲電容器之第二電極40。 根據本發明第八具體實例,表面上具有可還原金屬氧 化物之基材,係提供於CVD反應器之反應艙中。可還原金屬 氧化物可爲例如電晶體閘極氧化物6 8或位於源極及汲極區 64上之經摻雜之矽。該基材加熱至還原溫度,較佳係低於 400 °C。該金屬氧化物使用還原劑還原至對應之金屬,該還 原劑係選自含有至少一個-〇H、-CHO或-COOH官能基之有 機化合物或該有機化合物的氣體混合物。形成於上層之金 屬係作爲電晶體之閘極。該金屬亦可作爲介於矽與位於該 電晶體之源極及汲極區上的鎢栓之間之中間層7 6。 經濟部智慧財產局員工消費合作社印製 根據本發明第九具體實例,應用於一種採用銅線及/或 銅結合片之積體電路ICs的背端組合件。因爲位於晶圓標準 的多層銅互連變成更普遍,故需要更有成本效率之方法及 操作方法,以於該結合片及封裝之間提供固定、高可信度 互連’而與所採用之結合線路無關。 在積體電路1C製程中,銅結合片曝露於該製程下,促 進表面上氧化銅之形成。該製程係爲例如晶圓切片、晶粒 本紙張尺度逍用中國國家標準(CNS ) A4規格(210X297公楚) -26- 508658 A7 B7 五、發明説明(24 ) 連接環氧樹脂固化及線路連接。所形成之金屬氧化物藉本 發明回復成金屬形式。 晶圓切片係包括在高速切割操作中將整體晶圓表面浸 於水中,其中使用於高速旋轉之鑲有鑽石粒子的轉輪。切 割線生熱,砂之溫度升高,促使於銅片表面上形成氧化物 〇 有機材料諸如充塡銀片或銀粉之環氧樹脂係用以將個 別晶粒黏合於該基材之晶粒連接片上。需固化該以環氧樹 脂爲底質之材料,並採用各種熱曲線。所用之最高溫度一 般不超過25,0 °C。該固化氛圍可爲氮或爲淸潔之乾燥空氣 。該裝置一般不在曝露於室內空氣之前進行任何明顯之冷 卻。此時所形成之氧化物亦摻有部分之碳,因爲溶劑在固 化過程中脫氣’可在氧化過程中再沉積於該表面上。此情 況下,未充,分定義該再沉積物之組成。 該線路黏合之預熱步驟中,該基材曝露於由1 3 0 °C至 1 7 5 °c之溫度下歷經各種不同之時間周期。黏合劑之整體軌 跡系統可或不可裝置惰氣環境。因此,可在此黏合過程時 期中生成氧化物。 使用各種類型之材料作爲積體電路1C裝置之基材。該 基材經常係由銅合金(A194、7025C、EFTECC64T)所構成 ’具有電鍍於明確界定區域中之銀紋。該電鍍亦可係在鎳 上之鈀上完全電鍍金。其他基材係爲有機性,經常由BT樹 脂所製得。此等基材上,有用於信號處理之金屬軌跡的圖 S系列。該基材係爲覆有鎳及金的銅。大部分覆有鎳及金 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐.) ---骜-- (請先閱讀背面之注意事項再填寫本頁) 、1' 經濟部智慧財產局員工消費合作社印製 -27- 508658 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(25 ) 之銅係覆以焊劑罩幕形式之有機材料。 重要的是確定晶粒連接環氧樹脂、電鍍、有機基材及 焊劑罩幕不因任何淸潔程序而降解。 目前僅有有機基材於氧電漿中例行淸潔,以自金電鍍 去除殘留物。由 http://www.semiconductorfabtech.com公開 之部分文章指出氫/氬電漿適於自銅結合片淸除氧。實際淸 潔程序之細節係揭示於文獻中(Nico 〇nda,Zeno Stossel等人 .,、、Hydrogen plasma cleaning a novel process for IC-packaging”)。 由本發,明專有化學所衍生之方法應不僅可自銅片上移 除氧化物,亦可由其引線框上移除氧化物。引線框上有鍍 銀區域,其金屬表面含有氧化銀。若接合程序中使用銅線 ,則工業上極需放棄於引線框上電鍍。此係考慮成本因素 。此種情況.同時需要強力之氧化物移除方法及可防止再氧 化之線路接合系統。後者部分現可已可達成。該方法及硬 體需提供每一框架少於1分鐘之周期時間,以完成同等電 漿方法。該系統成本亦需較低,而MTBA/MTTR需與其他組 合設備連線。例行維修之間亦需有長間隔。維修時間需短 ’且亦需低成本。 根據本發明第十具體實例,位於鍍鎳表面上之氧化鎳 、位於鍍銀表面上之氧化銀、及位於銅表面上之氧化銅--與 晶粒上之線路結合片及引線框有關一係由本發明還原。施加 環氧樹脂於該晶粒之後,應避免高溫;因此,所施加之還原 溫度需極低,約1 5 0 °C。 本紙張尺度適用中U國家標準(CNS ) A4規格(210X297公釐·) '' 批衣 訂 線 (請先閲讀背面之注意事項再填寫本頁) -28- 508658A7B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(26 ) 金屬片上之氧化物層的厚度係逐批晶圓而異。本發明 第十一具體實例之還原方法係二階還原方法。第一個還原 步驟係藉著消除位於結合片上金屬氧化物層之厚度的變化 而使晶圓批料均質化。該第一還原步驟可於低於400 °C的 溫度下完成。當晶圓切片,而晶粒接合於引線框中心時, 進行第二還原步驟。結合片上金屬氧化物(一般爲氧化銅)之 殘留薄層係於約1 5 0 °C下還原成元素金屬。還原劑係爲含 有至少一個-〇H、-CHO及/或-C〇〇H官能基之有機化合物或 該有機化合物之混合物。該還原經蒸發,視情況以導入反 應空間中之惰性氣體例如氮氣稀釋,與含有可還原金屬氧 化物之基材表面接觸。 還原劑 還原劑係選自具有充分蒸汽壓、在基材溫度下具有充 分之熱安定性、及與欲還原之金屬氧化物具有充分反應性 之材料。 充分之蒸汽壓意指應在基材表面附近之氣相中有足以 進行快速還原反應之來源化學分子。 實際上,充分之熱安定性意指還原劑本身應不在基材 上形成長晶干擾性可冷凝相或經由熱分解於基材表面上留 下有害處理之雜質。因此,一目的係避免分子於基材上不 受控制之冷凝。 進一步選擇標準係包括該化學物質於充分高純度下之 可用性,及在不需嚴格警戒措施下的操作簡易性, (請先閲讀背面之注意事項再填寫本頁) 、言 本紙張尺度適用中.國國家標準(CNS ) A4規格(210 X 297公釐) -29- 508658 A7 B7 五 '發明説明(27 ) 根據本發明,該還原劑係選自滿足前述標準之有機化 合物。使用於本發明還原中之有機化合物具有至少一個選 自醇(-0H)、醛(-CHO)、及羧酸(-C〇〇H)之群的官能基。 含有至少一個醇基之適當還原劑較佳係選自包括以下 化合物之群 -一級醇,具有鍵結於或鍵結氫(如CH3〇H)或鍵結於另 一碳原子之碳原子上的-0H基團,尤其是具有通式(I)之一 級醇 r!-oh (I) 其中R1係爲直鏈或分枝鏈G-C20烷基或烯基之群,以 甲基、乙基、丙基、丁基、戊基或己基爲佳, 較佳一.級醇之實例有甲醇(CH3〇H)、乙醇(CH3CH2〇H)、 丙醇(CH3CH2CH2〇H)、丁醇(CH3CH2CH2CH2〇H)、2-甲基丙氧 基((CH3)2CHCH2〇H)及 2-甲基丁醇(CH3CH2CH(CH3)CH2〇H), -二級醇,具有鍵結於鍵結於兩個其他碳原子之碳原子 上的-0H基屬,尤其是具有式(II)之二級醇 秦-- (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製
0H R1 - CH - R1 (Π) 中R1係爲直鏈或分枝鏈Ci-C2〇烷基或烯基之群,以甲 基、乙基、丙基、丁基、戊基或己基爲佳, 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -30- 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(28 ) 適當之二級醇之實例有2-丙醇((CH小CHOH)及2-丁醇 (CH3CH(〇H)CH2CH3), -三級醇,具有鍵結於鍵結於三個其他碳原子之碳原子 上的-0H基團,尤其是具有式(ΠΙ)之二級醇 丨0H R丨」一 R1 (ΙΠ) I R1 中R1係爲直鏈或分枝鏈Ci-Czo烷基或烯基之群,以甲 基、乙基、丙基、丁基、戊基或己基爲佳, 適當之三級醇之實例有第三丙醇((CH3)3C〇H), -多羥基醇,諸如二醇及三醇,其可具有前述一級、二 級及/或三級醇基,例如乙二醇(H〇C2CH2〇H)及甘油 (H〇CH2CH(〇H)CH2〇H), -環醇,具有鍵結於至少一個碳原子上之_0H基團,其 係環之一部分,具有1-10,一般5-6個碳原子, -芳族醇,具有至少一個鍵結於苯環或位於側鏈之碳原 子上的-0H基團,諸如苄醇(C6H5CH2〇H)、鄰-、對-及間-甲 酚及間苯二酉分, -經鹵化之醇,以具有通式(IV)爲佳 CHnX3-n-R2.〇H (IV) 其中X係爲F、Cl、Br或I,以F或Cl爲佳, (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -31 - 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(29 ) η係爲由0至2之整數,且 R2係選自直鏈或分枝鏈(:卜〇20伸烷基及伸烯基之群, 以亞甲基、伸乙基、伸丙基、伸丁基、伸戊基及伸己基爲 佳,尤其是亞甲基及伸乙基’ 適當之化合物的實例有2,2,2-三氟乙醇(CF3CH2〇H),及 -其他醇之衍生物’例如胺類,諸如甲基乙醇胺 (CH3NHCH2CH2〇H)。 含有至少一個-CHO基團之適當還原劑較佳係選自包括 以下化合物之群 -具有通式(V)之化合物 . R3-CH〇 (V) 其中R3係爲氫或直鏈或分枝鏈CMC20烷基或烯基.,以 甲基、乙基、丙基、丁基、戊基或己基爲佳,尤其是甲基 或乙基, 適當之式(V)化合物的實例有甲醛(HCHO)、乙醛 (CH3CH〇)及丁醛(CH3CH2CH2H〇), -具有通式(VI)之烷二醛化合物 ' 〇HC-R4-CH〇 (VI) 其中R4係爲直鏈或分枝鏈Ci-C2。飽和或不飽和烴,但 R4亦可爲零,即醛基彼此鍵結, 本紙張尺度適用中.國國^標準( CNS ) A4規格(210X297公釐) " _ (請先閱讀背面之注意事項再填寫本頁) -32- 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(30 ) -經鹵化之醛,及 -其他醛之衍生物。 含有至少一個-COOH基團之適當還原劑較佳係選自包 括以下化合物之群 -具有通式(VII)之化合物 R5-C〇〇H (VII) 其中R5係爲氫或直鏈或分枝鏈Ci-Czo烷基或烯基,以 甲基、乙基,、丙基、丁基、戊基或己基爲佳,尤其是甲基 或乙基, 適當之式(VI)化合物的實例係爲甲酸(HC〇〇H)及乙酸 (CH3C〇〇H), -多羧酸, -經鹵化之羧酸,及 -其他羧酸之衍生物。 金屬氧化物之還原中,該還原劑自然氧化。因此,本 發明所使用之還原中,醇係氧化成醛及酮,醛係氧化成羧 酸,而羧酸氧化成二氧化碳。水係於某些反應.中形成爲氣 體副產物。重要的是選擇還原劑,使得經氧化之還原劑具 揮發性,因此,可輕易地在反應之後自反應空間移除。 下文中,借助實施例進一步說明本發明。 實施例 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐.) I 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) -33- 508658 A7 B7 五、發明説明(31 ) 薄膜之性質係使用以下方法測定: ί-- (請先閲讀背面之注意事項再填寫本頁) 電阻係使用H e w 1 e 11 P a c k a r d 3 4 4 0 1 A萬用表測量。將四 條導線連接於兩個鍍金之接觸栓。該配置可消除導線電阻 。栓頭間距係爲13.5毫米。測量期間,該栓使用190克質 量小心地壓於試樣表面上。使用該配置作爲試樣間之對照 分析。 圖7係說明銅試樣之電阻。每個試樣之電阻値皆爲1〇 個測量値之平均値。所有實驗皆使用沉積於矽上之ECD銅 金屬。ECD-1及ECD-2係爲純ECD銅金屬,而不使用任何 附加處理。0-ECD-1及0-ECD-2試樣具有氧化銅塗層(約 350毫微米)。其餘試樣在還原實驗之前具有氧化銅塗層。
I 係爲聲稱純度99.9999百分比之氮氣,MeOH係爲甲醇, Et〇H係爲乙醇,2-Ρι·〇Η係爲異丙醇,tert-Bu〇H係爲第三 丁醇,PrCHO係爲丁醛,Me2C〇係爲丙酮,HC〇〇H係爲甲 酸,CHsCOOH係爲乙酸,而H2係爲氫。試劑名稱後之數字 係爲反應溫度,以°C表示。括弧中之數字係爲反應時間, 以分鐘表示。 經濟部智慧財產局員工消費合作社印製 薄膜組成係使用T0F-ERDA測定。該試樣係使用53 MeV丨2T° +離子定靶。 圖1表示由電化學沉積(以下稱爲ECD)銅金屬測量之 TOF^RDA結果。氧、碳及氧濃度係低於0.1原子百分比。 圖2係表示由氧化ECD銅測量之TOF-ERDA結果。經預 先氧化之試樣係於350-3 80 °C下於氮氛圍中加熱一小時。該 350毫微米氧化物層係具有Cii2〇組成。薄膜有低於於0.1原 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -34- 經濟部智慧財產局員工消費合作社印製 508658 A7 B7 五、發明説明(32 ) 子百分比之碳及氫。 該反應式之Gibb氏自由能(△ Gf)係使用Outokumpu HSC Chemistry for Windows program 第 3 ·02 版(Outokumpu Research Ltd.,Pori,Finland)言十算。 實施例1:使用甲醇蒸汽還原 銅金屬上具有氧化銅塗層之矽基材係裝載於F-1 20 ALCVDTM反應器之反應艙中。該反應艙抽至真空,力D熱至 25 0 °C、3 10 °C、360 °C或3 85 °C,視實驗而定。反應艙之 產物使用流,動之氮氣調至約5-10毫巴。 甲醇係爲在20 °C下具有128蒸汽壓之液體。甲醇自保 持於21 t的外源瓶蒸發。甲醇蒸汽與氮氣(400標準厘米3/ 分鐘,聲稱純度99.9999百分比)混合。氣體混合物導入反 應艙中,與該基材接觸。反應時間係爲300秒。甲醇之消 耗量係爲0.55克。反應器在試樣拆卸於室內空氣之前冷卻 至低於100 °C。 根據反應式R1及R2推論甲醇被氧化銅氧化成甲醛及 水,而氧化銅還原成銅金屬。甲醛與氧化銅之間的進一步 反應可能導致形成二氧化碳。
CuO(s) + CH3OH(g) Cu(s) + HCHO(g) + H20(g) AG(350 °C) - -100 kJ [Rl]
Cu20(s) +CH3〇H(g) 2Cii⑻ + HCHO(g) + H2〇(g) ΔΟ(350 〇C) = -75 kJ [R2] 圖3說明由氧化之ECD銅測量之TOF-ERDA結果,其已 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐.) — . 批衣 訂 I 線 (請先閲讀背面之注意事項再填寫本頁) -35- 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(33 ) 於3 8 5 °C下經甲醇蒸汽還原5分鐘。薄膜中有0.1原子百分 比之碳及氫和0.5原子百分比之氧。 實施例2:使用乙醇蒸汽還原 銅金屬上具有氧化銅塗層之矽基材係裝載於F-1 20 ALCVDTM反應器之反應艙中。該反應艙抽至真空,加熱至 3 10 °C、3 60 °C或3 85 °C,視實驗而定。反應艙之產物使用 流動之氮氣調至約5 -1 0毫巴.。 乙醇係爲在20 t下具有59蒸汽壓之液體。乙醇(BA14 級,聲稱純度99.5百分比)自保持於21 °C的外源瓶蒸發。乙 醇蒸汽與氮氣(400標準厘米3/分鐘,聲稱純度99.9999百分 比)混合。氣體混合物導入反應艙中,與該基材接觸。反應 時間係爲300秒。乙醇之消耗量係爲0.60克。反應器在試 樣拆卸於室內空氣之前冷卻至低於100 °C。 結果氧化銅薄膜於310 °C下部分還原成銅。在360及 3 8 5 °C下,皆於五分鐘內完成該還原。 根據反應式R3及R4推論乙醇被氧化銅氧化成乙醛及 水,而氧化銅還原成銅金屬。甲醛與氧化銅之間的進一步 反應可能導致形成乙酸。 CuO(s) + CH3CH2OH(g) Cu(s) + CH3CHO(g) + H20(g) AG(350 °C) =-117 kJ [R3] Cu20(s) +CH3CH2OH(g) 2Cu(s) + CH3CHO(g) + H20(g) AG(350 °C) = -93 kj [R4] (請先閲讀背面之注意事項再填寫本頁) -裝 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 29*7公釐) -36- 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(34 ) 圖4說明由氧化之ECD銅測量之TOF-ERDA結果,其已 於360 °C下經乙醇還原5分鐘。薄膜中有〇· 1-0.2原子百分 比之氫及碳。在150毫微米深度以下有0.2原子百分比之氧 。薄膜中150毫微米以下有高達2.5原子百分比之氧。 實施例3:使用2-丙醇蒸汽還原 銅金屬上具有氧化銅塗層之矽基材係裝載於F-1 20 ALCVDTM反應器之反應舟倉中。該反應臆抽至真空,力口熱至 3 10t、3 60 °C或3 8 5 °C,視實驗而定。反應艙之產物使用 流動之氮氣,調至約5-10毫巴。 異丙醇…亦稱爲2-丙醇一係爲在20 °C下具有43蒸汽壓 之液體。異丙醇(Merck VLSI SelecUpur)自保持於21 °C的外 源瓶蒸發。異丙醇蒸汽與氮氣(400標準厘米3/分鐘,聲稱 純度99.9 999百分比)混合。氣體混合物導入反應艙中,與 該基材接觸。反應時間係爲300秒。異丙醇之消耗量係爲 0.70克。反應器在試樣拆卸於室內空氣之前冷卻至低於100 V。 結果氧化銅薄膜於在360及3 85 °C下,皆於五分鐘內完 全還原成銅金屬。310 °C下則部分還原。 根據反應式R5及R6推論異丙醇被氧化銅氧化成丙酮 及水,而氧化銅還原成銅金屬。 CuO(s) + CH3CHOHCH3(g) ^ Cu(s) + CH3COCH3(g) + H20(g) ΔΘ(350 °C) = -133kJ [R5] Cu20⑻ + CH3CH〇HCH3(g) + 2Cu(s) + CH3COCH3(g)+H2〇(g) AG(350。〇 = -108 kJ [R6] _-- (請先閱讀背面之注意事項再填寫本頁) 、τ 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐·) -37- 508658 經濟部智慧財產局員工消費合作社印製 A 7 B7 五、發明説明(35 ) 圖5說明由氧化之ECD銅測量之TOF-ERDA結果,其已 於360 °C下經異丙醇還原5分鐘。薄膜中有0.1-0.2原子百 分比之氫及碳。在120毫微米深度以下有0.2原子百分比之 氧。薄膜中150毫微米以下有高達20原子百分比之氧。 實施例4:使用第三丁醇蒸汽還原 銅金屬上具有氧化銅塗層之矽基材係裝載於F-1 20 ALCVDTM反應器之反應艙中。該反應艙抽至真空,加熱至 3 8 5 °C。反應艙之產物使用流動之氮氣調至約5-10毫巴。 第三丁醇--亦稱爲2-甲基-2-丙醇--係爲在室溫下爲固體 ,但在+24- + 25 °C下熔化之固體。在20 °C下之蒸汽壓係爲 36毫巴。自保持於21 °C的外源瓶昇華。第三丁醇蒸汽與氮 氣(400標準厘米V分鐘,聲稱純度99.9999百分比)混合。氣 體混合物導入反應艙中,與該基材接觸。反應時間係爲5 至60分鐘,視實驗而定。反應器在試樣拆卸於室內空氣之 前冷卻至低於100 °C。 結果第三丁醇與氧化銅之反應性遠低於二級及三級醇 。反應溫度不高於3 85 °C時,氧化銅在60分鐘內仍未能完 全還原成銅金屬。 實施例5:使用丁醛蒸汽還原 銅金屬上具有氧化銅塗層之矽基材係裝載於F-120 ALCVD丁M反應器之反應艙中。該反應艙抽至真空,力口熱至 310 °C、3 60 °C或3 85 °C,視實驗而定。反應艙之產物使用 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐〕 批衣 訂 I線 (請先閱讀背面之注意事項再填寫本頁) -38- 經濟部智慧財產局員工消費合作社印製 508658 A7 五、發明説明(36 ) 流動之氮氣調至約5 -1 0毫巴。 丁醛係爲在20t下具有148蒸汽壓之液體。其自保持 於21 °C的外源瓶蒸發。丁醛蒸汽與氮氣(400標準厘米3/分 J 鐘,聲稱純度99.9999百分比)混合。氣體混合物導入反應 艙中,與該基材接觸。還原實驗係於310、360及3 85 °C下 進行。反應時間係爲300秒。丁醛之消耗量係爲1.3克。反 應器在試樣拆卸於室內空氣之前冷卻至低於1 00 °C。 結果氧化銅薄膜於在360及385 °C下,皆於五分鐘內部 分還原成銅金屬。電阻測量顯示基材側面殘留有部分氧化 物,而基材中間具有極低之電阻。基於醇一OH基團鍵結於 不同尺寸烴上…實驗,預測使用較小醛分子可得到較高之反 應性,諸如曱醛HCHO或乙二醛C2H2〇2比較丁醛。根據反 應式R7及R8,推論丁醛被氧化銅氧化成丁酸,具有明顯 可辨之氣味,而氧化銅還原成銅金屬。
CuO(s) + CH3CH2CH2CHO(g) -> Cu(s) + CH3CH2CH2COOH(g) ΔΘ(350°〇 = -113 kJ [R7]
Cu20(s) + CH3CH2CH2CHO(g) 2Cu(s)+ CH3CH2CH2COOH(g) AG(350°C) = -88 kJ [R8] 實施例6:使用丙酮蒸汽還原 銅金屬上具有氧化銅塗層之矽基材係裝載於F-1 20 ALCVDTM反應器之反應艙中。該反應艙抽至真空,加熱至 3 8 5 °C。反應艙之產物使用流.動之氮氣調至約5-10毫巴。 丙酮係爲最簡單之酮。其係爲在20 °C下具有233蒸汽 本紙張又度適用中ΐΐ國家標準(CNS ) A4規格(210X297公釐) : ^ I------_丨丨裝------訂------線 (請先閲讀背面之注意事項再填寫本頁) -39- 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(37 ) 壓之液體。其自保持於2 1 °C的外源瓶蒸發。丙酮蒸汽與氮 氣(400標準厘米V分鐘,聲稱純度99.9999百分比)混合。氣 體混合物導入反應艙中,與該基材接觸。還原實驗係於385 °C下進行。丙酮蒸汽與基材接觸5或60分鐘,視實驗而定 。丙酮之消耗量係爲0.8克(5分鐘)及9.3克(60分鐘)。反應 器在試樣拆卸於室內空氣之前冷卻至低於1 00 t。 發現酮之反應性遠低於醛之反應性。 實施例7:使用甲酸蒸汽還原 銅金屬上具有氧化銅塗層之矽基材係裝載於F-120 ALCVDTM反應器之反應艙中。該反應艙抽至真空,加熱至 250、3 10或3 8 5 °C,視實驗而定。反應艙之產物使用流動 之氮氣調至約5-10毫巴。 甲酸係爲最簡單之酸。其係爲在20 °C下具有42蒸汽壓 之液體。其自保持於2 1 t的外源瓶蒸發。甲酸蒸汽與氮氣 (4 00標準厘米V分鐘,聲稱純度99.9999百分比)混合。氣體 混合物導入反應艙中,與該基材接觸300秒。甲酸之消耗 量係爲0.5克。反應器在試樣拆卸於室內空氣之前冷卻至低 於 100 V。 結果,氧化銅在310及385 °C下皆於5分鐘內完全還原 成銅金屬。根據反應式R9及R 1 0,推論甲酸被氧化銅氧化 成二氧化碳及水,而氧化銅還原成銅金屬。 CuO(s) + HCOOH(g) Cu(s) + C02(g) + H20(g) Δ〇(350。〇 = -191 kJ [R9] I 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -40- 508658 A7 B7 經濟部智慧財產局員工消費合.作社印製 五、發明説明(38 ) Cu20(s) + HCOOH(g) ^ 2Cu(s) + C02(g) + H20(g) AG(350 °C) = -166 kJ [R!〇] 圖6說明由氧化之ECD銅測量之TOF-ERDA結果,其已 於310 °C下經甲酸還原5分鐘。薄膜中有0.2-0.3原子百分 比之氧及氫與0.1原子百分比之碳。 實施例8:使用乙酸蒸汽還原 銅金屬上具有氧化銅塗層之矽基材係裝載於F-1 20 ALCVDTM反應器之反應艙中。該反應艙抽至真空,加熱至 3 60或3 8 5 °C,視實驗而定。反應艙之產物使用流動之氮氣 調至約5 -1 0毫巴。 乙酸CH3C00H係爲在20°C下具有15蒸汽壓之液體。 其自保持於21 °C的外源瓶蒸發。乙酸蒸汽與氮氣(400標準 厘米3/分鐘.,聲稱純度99.9999百分比)混合。氣體混合物導 入反應艙中,與該基材接觸300秒。乙酸CH^COOH之消耗 量係爲0.8克。反應器在試樣拆卸於室內空氣之前冷卻至低 於1 00/C。發現乙酸之反應性遠低於甲酸之反應性。 實施例9(對照例):使用氫氣還原 銅金屬上具有氧化銅塗層之矽基材係裝載於反應艙中 。該反應艙抽至真空,加熱至385、450或50(TC,視實驗 而定。反應艙之產物使用流動之氮氣調至約5-10毫巴。 使用質量流速爲300標準厘米3/分鐘之氫/氮氣體混合 物(10體積百分比之H2)作爲還原劑。氣體混合物與附加之 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 一 -41- 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(39 ) 400標準厘米3/分鐘之氮一起導入該反應艙中,並與基材接 觸。該反應係爲5至60分鐘,視實驗而定。 結果’氫於低溫下具有更低之反應性。氧化銅層幾乎 完全還原需要在5 0 0 °C下使用Η 2處理一小時。 根據反應式R11及R12推論氫被氧化銅氧化成水,而 氧化銅被還原成銅金屬。 CuO⑻ + H2(g) + Cu(s) + H20(g) AG(350 °C) = -114 kJ [Rll] Cu20(s) + H2(g) 2Cu(s) + H20(g) AG(350 °C) =-90 kJ [R12] 實施例10:使用乙醇還原氧化銅(II) 表面上含有銅之矽基材先於F-120流動型ALCVDTM反應 器中使用臭氧氣體處理,產生CuO薄膜,此時銅具有2 +之 氧化態。〇3處理之後,卸下該基材以進行檢測。基林上有 暗灰色塗層。薄膜使用Hewlett Packard 34401 A萬用表測量 時具有百萬歐姆大小之高電阻。 具有氧化銅塗層之基材再裝載於F-120流動型ALCVDtm 反應器之反應艙中。該反應艙抽至真空,加熱至310或360 t,視實驗而定。反應艙之產物使用流動之氮氣調至約5-10毫巴。 使用純度爲99.5百分比之乙醇作爲還原劑。乙醇蒸汽 與400標準厘米V分鐘之氮氣(聲稱純度99.9999百分比)混 合。氣體混合物導入反應艙中,與該基材接觸300秒。經 還原程序之後,反應冷卻至低於1 00 °C,卸下基材以供檢 (請先閲讀背面之注意事項再填寫本頁) 、言 本紙張尺度通用中國國家標準(CNS ) A4規格(210X297公釐) -42- 508658 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(40 ) 測。 結果,有一銅金屬聚集於矽基材上的薄膜。該薄膜對 於砂具有良好之黏著性,未發現薄膜剝離。薄膜之電阻由 百萬歐姆範圍降低歐姆範圍,即6倍。實驗顯示Cu〇可成 功地還原成銅金屬。 實施例11:使用乙醇還原氧化鈷 位於矽基材上之氧化鈷薄膜係加熱至400 °C,在F-120 流動型應器中曝露於乙醇蒸汽歷經1〇分鐘。反 應艙之壓力係使用機械真空泵及流動氮氣調整至約5 -1 0毫 巴。根據EDS測量,在曝露於乙醇之前,64毫微米薄膜係 含有比例爲1.1之氧及鈷,顯示存有含部分C〇3〇4之Co〇。 曝露於乙醇蒸汽之後,基材上之薄膜係爲100百分比之Co ,厚度因爲自氧化鈷移除氧而縮小至39毫微米。該鈷金屬 薄膜對於矽基材具有優越之黏著性。 實施例12:使用乙醇還原氧化鈀 位於矽基材及塗佈有.Si〇2、TiN、WxN及WyC之基材 上之氧化鈀Pd〇薄膜係裝載於F-120流動型ALCVDTM反應器 中。反應艙之壓力係使用機械真空泵及流動氮氣調整至約 5-10毫巴。試樣加熱至400 t。形成之鈀金屬薄膜的厚度係 爲10-30毫微米,視原始氧化鈀薄膜之厚度而定。根據EDS 測量,經還原之薄膜係爲1 00百分比之Pd,氧含量無法偵 測。在還原程序之後,該鈀金屬薄膜對於矽、Si〇2、ΤιΝ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐·) I 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) -43- 508658 A7 B7 五、發明説明(41 WXN及WyC表面具有優越之黏著性 I 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐,) -44-
Claims (1)
- 508658 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 1、 一種製造積體電路之方法,該積體電路含有至少 -層元素金屬,其於積體電路處理期間至少部分係爲金屬 氧化物形式’其特徵爲該金屬氧化物層使用氣體還原劑至 少部分還原成元素金屬,該還原劑係選自含有一或多種以 下官能基之有機化合物:醇(-〇H)、醛(-CHO)、及羧酸(-C〇〇Η)。 2、 一種製造積體電路之方法,該積體電路含有至少 一層元素銅,其於積體電路處理期間至少部分係爲氧化銅 $式’其特徵爲該氧化銅層使用氣體還原劑至少部分還原 成元素銅,該還原劑係選自含有一或多種以下官能基之有 機化合物:醇(-ΟΗ)、醛(-CHO)、及羧酸(-COOH)。 3、 如申請專利範圍第1項之方法,其中該金屬係爲鉬 (Mo)、鎢(W)、銶(Re)、鐵(Fe)、釕(Ru)、餓(〇s)、鈷(Co)、 铑(Rh)、銥(Ir)、鎳(Ni)、鈀(Pd)、鉑(Pt)、銀(Ag)、金(Au) 、鋅(Zn)、鎘(Cd)、銦(In)、鍺(Ge)、錫(Sn)、鉛(Pb)、銻 (Sb)或鉍(Bi)。 4、 如申請專利範圍第1或2項之方法,其中該金屬氧 化物層係藉著沉積金屬氧化物而形成。 5、 如申請專利範圍第1或2項之方法,其中該金屬氧 化物層係藉著金屬層不受期望之氧化而形成。 6、 如申請專利範圍第1或2項之方法,其中該金屬氧 化物層之厚度係0.1至1000毫微米,以0.1至400毫微米爲 佳。 7、 如申請專利範圍第1或2項之方法,其中該還原係 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐·) -45- -----------------I---訂.-------- (請先閱讀背面之注意事項再填寫本頁) 508658 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 於400 °C或較低之溫度下進行。 8、 如申請專利範圍第1或2項之方法,其中該經還原 之金屬氧化物係爲供電化學沉積金屬層之種晶層。 9、 如申請專利範圍第丨或2項之方法,其中該位於金 屬化層上或金屬化層內側之金屬氧化物係在CMP前退火期 間至少部分還原成元素金屬。 1 0、如申請專利範圍第1或2項之方法,其中該位於 金屬互連物上之金屬氧化物層係於CMP後淸洗之程序步驟 之後,至少部分還原成元素金屬。 1 1、如申請專利範圍第1或2項之方法,其中該位於 曝露通道底部金屬上的金屬氧化物係於沉積擴散障壁之前 ,至少部分還原成元素金屬。 1 2、如申請專利範圍第1或2項之方法,其中該經還 原之金屬氧北物係爲電容器電極。 1 3、如申請專利範圍第1或2項之方法,其中該經還 原之金屬氧化物係爲電晶體閘極金屬。 14、如申請專利範圍第1或2項之方法,其中該經還 原之金屬氧化物係爲位於電晶體之源極及汲極區上介於矽 與鎢栓之間的中間層(76)。 1 5、如申請專利範圍第1或2項之方法,其中該經還 原金屬氧化物係位於線路結合片上。 1 6、如申請專利範圍第1或2項之方法,其中該金屬 氧化物係於積體電路1C裝置製造之光阻剝除步驟之後或之 間形成。 本紙張尺度適用中國國家標準(CNS)A4規袼(210 X 297公釐) -46- ---------------------訂-----I--- (請先閱讀背面之注意事項再填寫本頁) 508658 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 i 7、如申請專利範圍第1或2項之方法,其中該還原 劑係爲含有至少一個醇(-OH)基團之有機化合物,該還原劑 係選自以下化合物之群 -一級醇,尤其是具有通式(I)之一級醇 Rl-〇H (I) 其中R1係爲直鏈或分枝鏈匕-(:2。烷基或烯基之群,以 甲基、乙基、丙基、丁基、戊基或己基爲佳, -二級醇,尤其是具有式(II)之二級醇 OH | (Π) Rl-CH-Rl 中R1係爲直鏈或分枝鏈Ci-C^烷基或烯基之群,以甲 基、乙基、丙基、丁基、戊基或己基爲佳,‘ -三級醇,尤其是具有式(III)之二級醇 OHI R'-C-R1 (ΠΙ)I R1 中R1係爲直鏈或分枝鏈Ci-Czo烷基或烯基之群,以甲 基、乙基、丙基、丁基、戊基或己基爲佳, -多羥基醇, -環醇,具有鍵結於至少一個碳原子上之-OH基團,其 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐·) -47- 508658 A8 B8 C8 D8 t、申請專利範圍 係環之一部分,具有1 -1 0,一般5 - 6個碳原子, -芳族醇,具有至少一個鍵結於苯環或位於側鏈之碳原 子上的-0Η基團, -經鹵化之醇,及 -其他醇之衍生物。 1 8、如申請專利範圍第1或2項之方法,其中該還原 劑係爲含有至少一個醛(-CHO)基團之有機化合物,該還原 劑係選自包括以下化合物之群 -具有通式(V)之化合物 R3-CH〇 (V) 其中R3係爲氫或直鏈或分枝鏈Ci-Cn烷基或烯基,以 甲基、乙基,、丙基、丁基、戊基或己基爲佳, -具有通式(VI)之烷二醛化合物 〇HC-R、CH〇 (VI) 其中R4係爲直鏈或分枝鏈C〃C2。飽和或不飽和烴,但 R4亦可爲零,即醛基彼此鍵結, -經鹵化之醛,及 -其他醛之衍生物。 1 9、如申請專利範圍第1或2項之方法,其中該還原 劑係爲含有至少一個羧酸(-COOH)基團之有機化合物,該還 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 言 矣·-48- 508658 A8 B8 C8 D8 t、申請專利範圍 原劑係選自包括以下化合物之群 -具有通式(VII)之化合物 R5-COOH (VII) 其中R5係爲氫或直鏈或分枝鏈c!-c2。烷基或烯基,以 甲基、乙基、丙基、丁基、戊基或己基爲佳, -多狻酸, -經鹵化之羧酸,及 -其他羧酸之衍生物。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印制衣 -49- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
FI20001163 | 2000-05-15 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW508658B true TW508658B (en) | 2002-11-01 |
Family
ID=8558397
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW90111584A TW508658B (en) | 2000-05-15 | 2001-05-15 | Process for producing integrated circuits |
Country Status (7)
Country | Link |
---|---|
US (4) | US6482740B2 (zh) |
EP (1) | EP1282911B1 (zh) |
JP (1) | JP5173101B2 (zh) |
KR (1) | KR100775159B1 (zh) |
AU (1) | AU2001260374A1 (zh) |
TW (1) | TW508658B (zh) |
WO (1) | WO2001088972A1 (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102498238A (zh) * | 2009-09-16 | 2012-06-13 | 日立化成工业株式会社 | 金属铜膜及其制造方法、金属铜图案及使用了其的导体布线、金属铜凸块、导热路径、粘合材料及液状组合物 |
TWI501927B (zh) * | 2008-10-22 | 2015-10-01 | Tosoh Corp | 金屬膜製造用組成物、金屬膜之製造方法及金屬粉末之製造方法 |
Families Citing this family (376)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6974766B1 (en) * | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
JP2002527886A (ja) * | 1998-10-05 | 2002-08-27 | キューリック、アンド、ソファー、インベストメンツ、インコーポレーテッド | 半導体の銅ボンドパッドの表面保護 |
JP2000349285A (ja) * | 1999-06-04 | 2000-12-15 | Hitachi Ltd | 半導体集積回路装置の製造方法および半導体集積回路装置 |
US7554829B2 (en) | 1999-07-30 | 2009-06-30 | Micron Technology, Inc. | Transmission lines for CMOS integrated circuits |
US6475276B1 (en) | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
US6503330B1 (en) * | 1999-12-22 | 2003-01-07 | Genus, Inc. | Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition |
TW508658B (en) * | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
US7494927B2 (en) * | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US6878628B2 (en) * | 2000-05-15 | 2005-04-12 | Asm International Nv | In situ reduction of copper oxide prior to silicon carbide deposition |
EP2293322A1 (en) * | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
US20040224504A1 (en) | 2000-06-23 | 2004-11-11 | Gadgil Prasad N. | Apparatus and method for plasma enhanced monolayer processing |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7217615B1 (en) * | 2000-08-31 | 2007-05-15 | Micron Technology, Inc. | Capacitor fabrication methods including forming a conductive layer |
US20020036780A1 (en) * | 2000-09-27 | 2002-03-28 | Hiroaki Nakamura | Image processing apparatus |
WO2002045167A2 (en) * | 2000-11-30 | 2002-06-06 | Asm International N.V. | Thin films for magnetic devices |
US9139906B2 (en) | 2001-03-06 | 2015-09-22 | Asm America, Inc. | Doping with ALD technology |
US7563715B2 (en) | 2005-12-05 | 2009-07-21 | Asm International N.V. | Method of producing thin films |
US7491634B2 (en) | 2006-04-28 | 2009-02-17 | Asm International N.V. | Methods for forming roughened surfaces and applications thereof |
JP2002329682A (ja) * | 2001-04-27 | 2002-11-15 | Anelva Corp | Cu薄膜作製方法 |
US6759081B2 (en) | 2001-05-11 | 2004-07-06 | Asm International, N.V. | Method of depositing thin films for magnetic heads |
JP2002343790A (ja) * | 2001-05-21 | 2002-11-29 | Nec Corp | 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法 |
US7037574B2 (en) * | 2001-05-23 | 2006-05-02 | Veeco Instruments, Inc. | Atomic layer deposition for fabricating thin films |
US6828218B2 (en) * | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6849545B2 (en) * | 2001-06-20 | 2005-02-01 | Applied Materials, Inc. | System and method to form a composite film stack utilizing sequential deposition techniques |
US6656835B2 (en) * | 2001-06-21 | 2003-12-02 | Micron Technology, Inc. | Process for low temperature atomic layer deposition of Rh |
KR100414871B1 (ko) * | 2001-06-30 | 2004-01-13 | 주식회사 하이닉스반도체 | 원자층증착법에 의한 9족 금속막의 형성 방법 |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US20080268635A1 (en) * | 2001-07-25 | 2008-10-30 | Sang-Ho Yu | Process for forming cobalt and cobalt silicide materials in copper contact applications |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US20090004850A1 (en) | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
KR100414872B1 (ko) * | 2001-08-29 | 2004-01-13 | 주식회사 하이닉스반도체 | 반도체소자 및 그 제조 방법 |
US6844203B2 (en) * | 2001-08-30 | 2005-01-18 | Micron Technology, Inc. | Gate oxides, and methods of forming |
US8026161B2 (en) | 2001-08-30 | 2011-09-27 | Micron Technology, Inc. | Highly reliable amorphous high-K gate oxide ZrO2 |
US6756318B2 (en) * | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US9708707B2 (en) * | 2001-09-10 | 2017-07-18 | Asm International N.V. | Nanolayer deposition using bias power treatment |
WO2003025243A2 (en) | 2001-09-14 | 2003-03-27 | Asm International N.V. | Metal nitride deposition by ald using gettering reactant |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US6936906B2 (en) * | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
TW589684B (en) * | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
US6743474B1 (en) * | 2001-10-25 | 2004-06-01 | Lsi Logic Corporation | Method for growing thin films |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
KR100760291B1 (ko) * | 2001-11-08 | 2007-09-19 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
US6821891B2 (en) | 2001-11-16 | 2004-11-23 | Applied Materials, Inc. | Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors |
US6620956B2 (en) | 2001-11-16 | 2003-09-16 | Applied Materials, Inc. | Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing |
US6703308B1 (en) | 2001-11-26 | 2004-03-09 | Advanced Micro Devices, Inc. | Method of inserting alloy elements to reduce copper diffusion and bulk diffusion |
US7696092B2 (en) * | 2001-11-26 | 2010-04-13 | Globalfoundries Inc. | Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect |
US6835655B1 (en) | 2001-11-26 | 2004-12-28 | Advanced Micro Devices, Inc. | Method of implanting copper barrier material to improve electrical performance |
US6703307B2 (en) | 2001-11-26 | 2004-03-09 | Advanced Micro Devices, Inc. | Method of implantation after copper seed deposition |
KR100418569B1 (ko) * | 2001-12-10 | 2004-02-14 | 주식회사 하이닉스반도체 | 단원자층증착을 이용한 고유전체 박막 형성방법 |
US6953730B2 (en) | 2001-12-20 | 2005-10-11 | Micron Technology, Inc. | Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
KR100805843B1 (ko) * | 2001-12-28 | 2008-02-21 | 에이에스엠지니텍코리아 주식회사 | 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템 |
KR100442963B1 (ko) * | 2001-12-29 | 2004-08-04 | 주식회사 하이닉스반도체 | 고순도의 금속막 제조방법 |
US6767795B2 (en) | 2002-01-17 | 2004-07-27 | Micron Technology, Inc. | Highly reliable amorphous high-k gate dielectric ZrOXNY |
US6911391B2 (en) * | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6824816B2 (en) | 2002-01-29 | 2004-11-30 | Asm International N.V. | Process for producing metal thin films by ALD |
US6713373B1 (en) * | 2002-02-05 | 2004-03-30 | Novellus Systems, Inc. | Method for obtaining adhesion for device manufacture |
US6827978B2 (en) | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US7323422B2 (en) * | 2002-03-05 | 2008-01-29 | Asm International N.V. | Dielectric layers and methods of forming the same |
US6812100B2 (en) | 2002-03-13 | 2004-11-02 | Micron Technology, Inc. | Evaporation of Y-Si-O films for medium-k dielectrics |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
KR100476556B1 (ko) * | 2002-04-11 | 2005-03-18 | 삼성전기주식회사 | 압전트랜스 장치, 압전트랜스 하우징 및 그 제조방법 |
US7279432B2 (en) * | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US7105065B2 (en) * | 2002-04-25 | 2006-09-12 | Micron Technology, Inc. | Metal layer forming methods and capacitor electrode forming methods |
KR100472730B1 (ko) * | 2002-04-26 | 2005-03-08 | 주식회사 하이닉스반도체 | 원자층증착법을 이용한 반도체 소자의 금속전극 형성방법 |
US7160577B2 (en) | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
US7045430B2 (en) | 2002-05-02 | 2006-05-16 | Micron Technology Inc. | Atomic layer-deposited LaAlO3 films for gate dielectrics |
US6861349B1 (en) * | 2002-05-15 | 2005-03-01 | Advanced Micro Devices, Inc. | Method of forming an adhesion layer with an element reactive with a barrier layer |
US20040009665A1 (en) * | 2002-06-04 | 2004-01-15 | Applied Materials, Inc. | Deposition of copper films |
US7910165B2 (en) * | 2002-06-04 | 2011-03-22 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US7404985B2 (en) | 2002-06-04 | 2008-07-29 | Applied Materials, Inc. | Noble metal layer formation for copper film deposition |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US7264846B2 (en) * | 2002-06-04 | 2007-09-04 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
KR100455297B1 (ko) * | 2002-06-19 | 2004-11-06 | 삼성전자주식회사 | 무기물 나노튜브 제조방법 |
US6881260B2 (en) * | 2002-06-25 | 2005-04-19 | Micron Technology, Inc. | Process for direct deposition of ALD RhO2 |
US7221586B2 (en) | 2002-07-08 | 2007-05-22 | Micron Technology, Inc. | Memory utilizing oxide nanolaminates |
US6838125B2 (en) | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US6921702B2 (en) | 2002-07-30 | 2005-07-26 | Micron Technology Inc. | Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics |
US20040036129A1 (en) * | 2002-08-22 | 2004-02-26 | Micron Technology, Inc. | Atomic layer deposition of CMOS gates with variable work functions |
US6967154B2 (en) | 2002-08-26 | 2005-11-22 | Micron Technology, Inc. | Enhanced atomic layer deposition |
US7199023B2 (en) | 2002-08-28 | 2007-04-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed |
US6861355B2 (en) * | 2002-08-29 | 2005-03-01 | Micron Technology, Inc. | Metal plating using seed film |
US6984478B2 (en) * | 2002-09-16 | 2006-01-10 | E.I. Du Pont De Nemours And Company | Print control for flexographic printing |
US7001641B2 (en) * | 2002-09-23 | 2006-02-21 | Intel Corporation | Seed layer treatment |
US20040065255A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US7252773B2 (en) * | 2002-10-11 | 2007-08-07 | Texas Instruments Incorporated | Clean for high density capacitors |
US6905737B2 (en) | 2002-10-11 | 2005-06-14 | Applied Materials, Inc. | Method of delivering activated species for rapid cyclical deposition |
WO2004035858A2 (en) * | 2002-10-15 | 2004-04-29 | Rensselaer Polytechnic Institute | Atomic layer deposition of noble metals |
US6933011B2 (en) * | 2002-10-17 | 2005-08-23 | Aviza Technology, Inc. | Two-step atomic layer deposition of copper layers |
WO2004040642A1 (en) * | 2002-10-29 | 2004-05-13 | Asm America, Inc. | Oxygen bridge structures and methods |
US7927658B2 (en) * | 2002-10-31 | 2011-04-19 | Praxair Technology, Inc. | Deposition processes using group 8 (VIII) metallocene precursors |
TWI274082B (en) * | 2002-10-31 | 2007-02-21 | Praxair Technology Inc | Methods for making metallocene compounds |
US6869876B2 (en) | 2002-11-05 | 2005-03-22 | Air Products And Chemicals, Inc. | Process for atomic layer deposition of metal films |
US7122414B2 (en) * | 2002-12-03 | 2006-10-17 | Asm International, Inc. | Method to fabricate dual metal CMOS devices |
US7045406B2 (en) * | 2002-12-03 | 2006-05-16 | Asm International, N.V. | Method of forming an electrode with adjusted work function |
US6858524B2 (en) * | 2002-12-03 | 2005-02-22 | Asm International, Nv | Method of depositing barrier layer for metal gates |
US6893978B1 (en) * | 2002-12-03 | 2005-05-17 | Silicon Magnetic Systems | Method for oxidizing a metal layer |
US7101813B2 (en) | 2002-12-04 | 2006-09-05 | Micron Technology Inc. | Atomic layer deposited Zr-Sn-Ti-O films |
US6958302B2 (en) | 2002-12-04 | 2005-10-25 | Micron Technology, Inc. | Atomic layer deposited Zr-Sn-Ti-O films using TiI4 |
US7244683B2 (en) | 2003-01-07 | 2007-07-17 | Applied Materials, Inc. | Integration of ALD/CVD barriers with porous low k materials |
US7648886B2 (en) * | 2003-01-14 | 2010-01-19 | Globalfoundries Inc. | Shallow trench isolation process |
US6743719B1 (en) * | 2003-01-22 | 2004-06-01 | Texas Instruments Incorporated | Method for forming a conductive copper structure |
US9121098B2 (en) | 2003-02-04 | 2015-09-01 | Asm International N.V. | NanoLayer Deposition process for composite films |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US7192892B2 (en) | 2003-03-04 | 2007-03-20 | Micron Technology, Inc. | Atomic layer deposited dielectric layers |
US7135369B2 (en) | 2003-03-31 | 2006-11-14 | Micron Technology, Inc. | Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9 |
US7183186B2 (en) | 2003-04-22 | 2007-02-27 | Micro Technology, Inc. | Atomic layer deposited ZrTiO4 films |
US20040211357A1 (en) * | 2003-04-24 | 2004-10-28 | Gadgil Pradad N. | Method of manufacturing a gap-filled structure of a semiconductor device |
US7371427B2 (en) * | 2003-05-20 | 2008-05-13 | Applied Materials, Inc. | Reduction of hillocks prior to dielectric barrier deposition in Cu damascene |
US7723228B2 (en) * | 2003-05-20 | 2010-05-25 | Applied Materials, Inc. | Reduction of hillocks prior to dielectric barrier deposition in Cu damascene |
KR100988082B1 (ko) * | 2003-05-21 | 2010-10-18 | 삼성전자주식회사 | 스택형 커패시터, 그를 구비한 반도체 메모리 소자 및 그제조방법 |
JP2004356117A (ja) * | 2003-05-26 | 2004-12-16 | Ebara Corp | 基板処理方法及びその装置 |
KR100773537B1 (ko) * | 2003-06-03 | 2007-11-07 | 삼성전자주식회사 | 한 개의 스위칭 소자와 한 개의 저항체를 포함하는비휘발성 메모리 장치 및 그 제조 방법 |
JP2007523994A (ja) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
US7049192B2 (en) | 2003-06-24 | 2006-05-23 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectrics |
US7192824B2 (en) | 2003-06-24 | 2007-03-20 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectric layers |
US6905958B2 (en) * | 2003-07-25 | 2005-06-14 | Intel Corporation | Protecting metal conductors with sacrificial organic monolayers |
US7067407B2 (en) * | 2003-08-04 | 2006-06-27 | Asm International, N.V. | Method of growing electrical conductors |
WO2005017963A2 (en) * | 2003-08-04 | 2005-02-24 | Asm America, Inc. | Surface preparation prior to deposition on germanium |
US20050032365A1 (en) * | 2003-08-08 | 2005-02-10 | Marsh Eugene P. | Atomic layer deposition of metal during the formation of a semiconductor device |
US7169706B2 (en) * | 2003-10-16 | 2007-01-30 | Advanced Micro Devices, Inc. | Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition |
US7071118B2 (en) * | 2003-11-12 | 2006-07-04 | Veeco Instruments, Inc. | Method and apparatus for fabricating a conformal thin film on a substrate |
US7074719B2 (en) * | 2003-11-28 | 2006-07-11 | International Business Machines Corporation | ALD deposition of ruthenium |
US7037816B2 (en) * | 2004-01-23 | 2006-05-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for integration of HfO2 and RTCVD poly-silicon |
US20050181226A1 (en) * | 2004-01-26 | 2005-08-18 | Applied Materials, Inc. | Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber |
US20050233477A1 (en) * | 2004-03-05 | 2005-10-20 | Tokyo Electron Limited | Substrate processing apparatus, substrate processing method, and program for implementing the method |
US20050210455A1 (en) * | 2004-03-18 | 2005-09-22 | International Business Machines Corporation | Method for generating an executable workflow code from an unstructured cyclic process model |
FR2868085B1 (fr) * | 2004-03-24 | 2006-07-14 | Alchimer Sa | Procede de revetement selectif d'une surface composite, fabrication d'interconnexions en microelectronique utilisant ce procede, et circuits integres |
US7405143B2 (en) * | 2004-03-25 | 2008-07-29 | Asm International N.V. | Method for fabricating a seed layer |
US8256829B2 (en) * | 2004-04-02 | 2012-09-04 | GM Global Technology Operations LLC | Active material inserts for use with hollow structures |
US7041596B1 (en) * | 2004-04-08 | 2006-05-09 | Novellus Systems, Inc. | Surface treatment using iodine plasma to improve metal deposition |
US20050253268A1 (en) * | 2004-04-22 | 2005-11-17 | Shao-Ta Hsu | Method and structure for improving adhesion between intermetal dielectric layer and cap layer |
US7338903B2 (en) * | 2004-04-24 | 2008-03-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer |
JP2005314713A (ja) * | 2004-04-27 | 2005-11-10 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | ルテニウム膜またはルテニウム酸化物膜の製造方法 |
US7696089B1 (en) * | 2004-05-11 | 2010-04-13 | Johnson Research & Development Co., Inc. | Passivated thin film and method of producing same |
US7189287B2 (en) * | 2004-06-29 | 2007-03-13 | Micron Technology, Inc. | Atomic layer deposition using electron bombardment |
US20060019493A1 (en) * | 2004-07-15 | 2006-01-26 | Li Wei M | Methods of metallization for microelectronic devices utilizing metal oxide |
US7141495B2 (en) * | 2004-08-25 | 2006-11-28 | Taiwan Semiconductor Manufacturing Co. Ltd. | Methods and forming structures, structures and apparatuses for forming structures |
US7081421B2 (en) | 2004-08-26 | 2006-07-25 | Micron Technology, Inc. | Lanthanide oxide dielectric layer |
US7588988B2 (en) | 2004-08-31 | 2009-09-15 | Micron Technology, Inc. | Method of forming apparatus having oxide films formed using atomic layer deposition |
US7494939B2 (en) | 2004-08-31 | 2009-02-24 | Micron Technology, Inc. | Methods for forming a lanthanum-metal oxide dielectric layer |
KR100612860B1 (ko) * | 2004-09-24 | 2006-08-14 | 삼성전자주식회사 | 강유전막 형성방법, 이를 이용한 커패시터 및 반도체메모리 소자의 제조방법 |
JP2006097044A (ja) * | 2004-09-28 | 2006-04-13 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | 成膜用前駆体、ルテニウム含有膜の成膜方法、ルテニウム膜の成膜方法、ルテニウム酸化物膜の成膜方法およびルテニウム酸塩膜の成膜方法 |
US7476618B2 (en) * | 2004-10-26 | 2009-01-13 | Asm Japan K.K. | Selective formation of metal layers in an integrated circuit |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7235501B2 (en) | 2004-12-13 | 2007-06-26 | Micron Technology, Inc. | Lanthanum hafnium oxide dielectrics |
DE102004061094A1 (de) * | 2004-12-18 | 2006-06-22 | Aixtron Ag | Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe |
WO2006073140A1 (en) * | 2005-01-06 | 2006-07-13 | Ebara Corporation | Substrate processing method and apparatus |
US20070271751A1 (en) * | 2005-01-27 | 2007-11-29 | Weidman Timothy W | Method of forming a reliable electrochemical capacitor |
KR100682926B1 (ko) * | 2005-01-31 | 2007-02-15 | 삼성전자주식회사 | 저항체를 이용한 비휘발성 메모리 소자 및 그 제조방법 |
US20060177601A1 (en) * | 2005-02-10 | 2006-08-10 | Hyung-Sang Park | Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof |
EP1851794A1 (en) | 2005-02-22 | 2007-11-07 | ASM America, Inc. | Plasma pre-treating surfaces for atomic layer deposition |
US7265048B2 (en) * | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
US7666773B2 (en) * | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US7651934B2 (en) | 2005-03-18 | 2010-01-26 | Applied Materials, Inc. | Process for electroless copper deposition |
US20060246699A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Process for electroless copper deposition on a ruthenium seed |
US20060216548A1 (en) * | 2005-03-22 | 2006-09-28 | Ming Mao | Nanolaminate thin films and method for forming the same using atomic layer deposition |
US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
US20060252254A1 (en) * | 2005-05-06 | 2006-11-09 | Basol Bulent M | Filling deep and wide openings with defect-free conductor |
US20060272577A1 (en) * | 2005-06-03 | 2006-12-07 | Ming Mao | Method and apparatus for decreasing deposition time of a thin film |
US7767363B2 (en) * | 2005-06-24 | 2010-08-03 | Micron Technology, Inc. | Methods for photo-processing photo-imageable material |
US20070014919A1 (en) * | 2005-07-15 | 2007-01-18 | Jani Hamalainen | Atomic layer deposition of noble metal oxides |
US7927948B2 (en) | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
US7473637B2 (en) | 2005-07-20 | 2009-01-06 | Micron Technology, Inc. | ALD formed titanium nitride films |
WO2007016218A2 (en) * | 2005-07-29 | 2007-02-08 | Applied Materials, Inc. | Integrated electroless deposition system |
US8110469B2 (en) | 2005-08-30 | 2012-02-07 | Micron Technology, Inc. | Graded dielectric layers |
KR101124504B1 (ko) * | 2005-09-22 | 2012-03-15 | 삼성전자주식회사 | ALD 공정에 의한 비정질 NiO 박막의 제조방법 및상기 비정질 NiO 박막을 이용한 비휘발성 메모리 소자 |
US7582562B2 (en) | 2005-10-06 | 2009-09-01 | Micron Technology, Inc. | Atomic layer deposition methods |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US20070099422A1 (en) * | 2005-10-28 | 2007-05-03 | Kapila Wijekoon | Process for electroless copper deposition |
US20070119371A1 (en) | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
KR20070049278A (ko) * | 2005-11-08 | 2007-05-11 | 삼성전자주식회사 | 배선, 이를 포함하는 박막 트랜지스터 기판과 그 제조 방법 |
US7658802B2 (en) * | 2005-11-22 | 2010-02-09 | Applied Materials, Inc. | Apparatus and a method for cleaning a dielectric film |
JP4816052B2 (ja) * | 2005-12-13 | 2011-11-16 | 東京エレクトロン株式会社 | 半導体製造装置及び半導体装置の製造方法 |
KR100727258B1 (ko) * | 2005-12-29 | 2007-06-11 | 동부일렉트로닉스 주식회사 | 반도체 장치의 박막 및 금속 배선 형성 방법 |
US7405153B2 (en) * | 2006-01-17 | 2008-07-29 | International Business Machines Corporation | Method for direct electroplating of copper onto a non-copper plateable layer |
KR101379015B1 (ko) * | 2006-02-15 | 2014-03-28 | 한국에이에스엠지니텍 주식회사 | 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층 |
US7709402B2 (en) | 2006-02-16 | 2010-05-04 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride films |
JP2007220882A (ja) * | 2006-02-16 | 2007-08-30 | Fujitsu Ltd | 埋込配線の形成方法 |
US20070194450A1 (en) * | 2006-02-21 | 2007-08-23 | Tyberg Christy S | BEOL compatible FET structure |
US7666787B2 (en) * | 2006-02-21 | 2010-02-23 | International Business Machines Corporation | Grain growth promotion layer for semiconductor interconnect structures |
JP2007227706A (ja) * | 2006-02-24 | 2007-09-06 | Fujitsu Ltd | 埋込配線の形成方法 |
WO2007102333A1 (ja) * | 2006-02-28 | 2007-09-13 | Tokyo Electron Limited | ルテニウム膜の成膜方法およびコンピュータ読取可能な記憶媒体 |
JP4741965B2 (ja) * | 2006-03-23 | 2011-08-10 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
JP4579181B2 (ja) * | 2006-03-24 | 2010-11-10 | 富士通セミコンダクター株式会社 | 多層配線における配線の還元方法、多層配線の製造方法、並びに、半導体装置の製造方法 |
US7625814B2 (en) * | 2006-03-29 | 2009-12-01 | Asm Nutool, Inc. | Filling deep features with conductors in semiconductor manufacturing |
US7485561B2 (en) * | 2006-03-29 | 2009-02-03 | Asm Nutool, Inc. | Filling deep features with conductors in semiconductor manufacturing |
US7833358B2 (en) | 2006-04-07 | 2010-11-16 | Applied Materials, Inc. | Method of recovering valuable material from exhaust gas stream of a reaction chamber |
US7285477B1 (en) * | 2006-05-16 | 2007-10-23 | International Business Machines Corporation | Dual wired integrated circuit chips |
DE102006023998B4 (de) * | 2006-05-22 | 2009-02-19 | Infineon Technologies Ag | Elektronische Schaltungsanordnung und Verfahren zur Herstellung einer solchen |
JP4787073B2 (ja) * | 2006-05-31 | 2011-10-05 | 東京エレクトロン株式会社 | 処理方法および処理装置 |
JP2007324350A (ja) * | 2006-05-31 | 2007-12-13 | Tokyo Electron Ltd | 熱処理方法および熱処理装置、ならびに基板処理装置 |
DE102006027932A1 (de) * | 2006-06-14 | 2007-12-20 | Aixtron Ag | Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen |
WO2008005892A2 (en) * | 2006-06-30 | 2008-01-10 | Applied Materials, Inc. | Nanocrystal formation |
JP2008031541A (ja) * | 2006-07-31 | 2008-02-14 | Tokyo Electron Ltd | Cvd成膜方法およびcvd成膜装置 |
JP2008034736A (ja) * | 2006-07-31 | 2008-02-14 | Tokyo Electron Ltd | 熱処理方法および熱処理装置 |
US8916232B2 (en) * | 2006-08-30 | 2014-12-23 | Lam Research Corporation | Method for barrier interface preparation of copper interconnect |
US7563730B2 (en) | 2006-08-31 | 2009-07-21 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
US7435484B2 (en) * | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
GB2445218B (en) | 2006-09-21 | 2011-05-25 | Smith International | Atomic layer deposition nanocoating on cutting tool powder materials |
JP2008091645A (ja) * | 2006-10-02 | 2008-04-17 | Tokyo Electron Ltd | 半導体製造装置、半導体装置の製造方法及び記憶媒体 |
JP2010506408A (ja) | 2006-10-05 | 2010-02-25 | エーエスエム アメリカ インコーポレイテッド | 金属シリケート膜のald |
US8268409B2 (en) * | 2006-10-25 | 2012-09-18 | Asm America, Inc. | Plasma-enhanced deposition of metal carbide films |
US7611751B2 (en) | 2006-11-01 | 2009-11-03 | Asm America, Inc. | Vapor deposition of metal carbide films |
US20080124484A1 (en) * | 2006-11-08 | 2008-05-29 | Asm Japan K.K. | Method of forming ru film and metal wiring structure |
EP1941950A1 (de) * | 2006-12-05 | 2008-07-09 | Linde Aktiengesellschaft | Verfahren zur Herstellung poröser Oberflächen auf Metallkomponenten |
US8026605B2 (en) * | 2006-12-14 | 2011-09-27 | Lam Research Corporation | Interconnect structure and method of manufacturing a damascene structure |
KR100832704B1 (ko) * | 2006-12-21 | 2008-05-28 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조 방법 |
EP1935897B1 (en) * | 2006-12-22 | 2011-03-02 | L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | New organo-Ruthenium compound, the process for its preparation and its use as a ruthenium precursor to manufacture ruthenium based film coated metal electrodes |
US20080171436A1 (en) * | 2007-01-11 | 2008-07-17 | Asm Genitech Korea Ltd. | Methods of depositing a ruthenium film |
US8821637B2 (en) * | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
JP5313171B2 (ja) * | 2007-02-21 | 2013-10-09 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | ルテニウムベースの膜を基板上に形成するための方法 |
US20080237048A1 (en) * | 2007-03-30 | 2008-10-02 | Ismail Emesh | Method and apparatus for selective electrofilling of through-wafer vias |
US7713874B2 (en) | 2007-05-02 | 2010-05-11 | Asm America, Inc. | Periodic plasma annealing in an ALD-type process |
JP2008300652A (ja) * | 2007-05-31 | 2008-12-11 | Toshiba Corp | 半導体装置の製造方法 |
US7896988B2 (en) * | 2007-06-15 | 2011-03-01 | Spectre Enterprises, Inc. | Charge system for destroying chips on a circuit board and method for destroying chips on a circuit board |
EP2011898B1 (en) * | 2007-07-03 | 2021-04-07 | Beneq Oy | Method in depositing metal oxide materials |
KR100889553B1 (ko) * | 2007-07-23 | 2009-03-23 | 주식회사 동부하이텍 | 시스템 인 패키지 및 그 제조 방법 |
KR101286239B1 (ko) * | 2007-08-24 | 2013-07-15 | 삼성전자주식회사 | 산소 포획 패턴을 갖는 반도체 소자의 배선 구조 및 그제조 방법 |
US20090065365A1 (en) * | 2007-09-11 | 2009-03-12 | Asm Nutool, Inc. | Method and apparatus for copper electroplating |
US7867900B2 (en) | 2007-09-28 | 2011-01-11 | Applied Materials, Inc. | Aluminum contact integration on cobalt silicide junction |
US20090087339A1 (en) * | 2007-09-28 | 2009-04-02 | Asm Japan K.K. | METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR |
US7737028B2 (en) | 2007-09-28 | 2010-06-15 | Applied Materials, Inc. | Selective ruthenium deposition on copper materials |
DE102007046851B4 (de) * | 2007-09-29 | 2019-01-10 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Halbleiterstruktur mit einem elektrisch leitfähigen Strukturelement und Verfahren zum Ausbilden einer Halbleiterstruktur |
KR101544198B1 (ko) | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | 루테늄 막 형성 방법 |
US20090121287A1 (en) * | 2007-11-14 | 2009-05-14 | Kerry Bernstein | Dual wired integrated circuit chips |
DE102007058571B4 (de) | 2007-12-05 | 2012-02-16 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Substrat mit einer Kupfer enthaltenden Beschichtung und Verfahren zu deren Herstellung mittels Atomic Layer Deposition und Verwendung des Verfahrens |
US7655564B2 (en) | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
KR20090067505A (ko) * | 2007-12-21 | 2009-06-25 | 에이에스엠지니텍코리아 주식회사 | 루테늄막 증착 방법 |
US7776740B2 (en) * | 2008-01-22 | 2010-08-17 | Tokyo Electron Limited | Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device |
US20090205968A1 (en) * | 2008-01-24 | 2009-08-20 | Thompson David M | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
US20090202740A1 (en) * | 2008-01-24 | 2009-08-13 | Thompson David M | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
US20090203928A1 (en) * | 2008-01-24 | 2009-08-13 | Thompson David M | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
US20090205538A1 (en) * | 2008-01-24 | 2009-08-20 | Thompson David M | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
US7799674B2 (en) | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
US7767572B2 (en) * | 2008-02-21 | 2010-08-03 | Applied Materials, Inc. | Methods of forming a barrier layer in an interconnect structure |
US7618893B2 (en) * | 2008-03-04 | 2009-11-17 | Applied Materials, Inc. | Methods of forming a layer for barrier applications in an interconnect structure |
US7993462B2 (en) | 2008-03-19 | 2011-08-09 | Asm Japan K.K. | Substrate-supporting device having continuous concavity |
JP4836092B2 (ja) | 2008-03-19 | 2011-12-14 | 国立大学法人東北大学 | 半導体装置の形成方法 |
TWI447256B (zh) * | 2008-03-26 | 2014-08-01 | Air Liquide | 含有釕及鹼土金屬的三元氧化物膜的沈積 |
CN101981226B (zh) * | 2008-03-26 | 2012-09-26 | 乔治洛德方法研究和开发液化空气有限公司 | 含有钌和碱土金属的三元氧化物膜的沉积 |
US8545936B2 (en) | 2008-03-28 | 2013-10-01 | Asm International N.V. | Methods for forming carbon nanotubes |
JP5551681B2 (ja) * | 2008-04-16 | 2014-07-16 | エーエスエム アメリカ インコーポレイテッド | アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
US20090269507A1 (en) * | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
US7666474B2 (en) | 2008-05-07 | 2010-02-23 | Asm America, Inc. | Plasma-enhanced pulsed deposition of metal carbide films |
US8945675B2 (en) | 2008-05-29 | 2015-02-03 | Asm International N.V. | Methods for forming conductive titanium oxide thin films |
US8084104B2 (en) | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
US8133555B2 (en) * | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US20100151676A1 (en) * | 2008-12-16 | 2010-06-17 | Applied Materials, Inc. | Densification process for titanium nitride layer for submicron applications |
US7927942B2 (en) | 2008-12-19 | 2011-04-19 | Asm International N.V. | Selective silicide process |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US8557702B2 (en) * | 2009-02-02 | 2013-10-15 | Asm America, Inc. | Plasma-enhanced atomic layers deposition of conductive material over dielectric layers |
US7884016B2 (en) * | 2009-02-12 | 2011-02-08 | Asm International, N.V. | Liner materials and related processes for 3-D integration |
US9127340B2 (en) * | 2009-02-13 | 2015-09-08 | Asm International N.V. | Selective oxidation process |
US20110020546A1 (en) * | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
US8168528B2 (en) * | 2009-06-18 | 2012-05-01 | Kabushiki Kaisha Toshiba | Restoration method using metal for better CD controllability and Cu filing |
US8329569B2 (en) | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
WO2011017068A1 (en) * | 2009-08-07 | 2011-02-10 | Sigma-Aldrich Co. | High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films |
JP5809152B2 (ja) | 2009-10-20 | 2015-11-10 | エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. | 誘電体膜をパッシベーションする方法 |
US8927433B2 (en) * | 2009-12-18 | 2015-01-06 | Electronics And Telecommunications Research Institute | Conductive via hole and method for forming conductive via hole |
KR101347197B1 (ko) | 2009-12-18 | 2014-01-03 | 한국전자통신연구원 | 도전성 비아홀 및 도전성 비아홀 형성 방법 |
US8444868B2 (en) * | 2010-01-28 | 2013-05-21 | International Business Machines Corporation | Method for removing copper oxide layer |
US8859047B2 (en) | 2010-02-23 | 2014-10-14 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Use of ruthenium tetroxide as a precursor and reactant for thin film depositions |
US8357614B2 (en) * | 2010-04-19 | 2013-01-22 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Ruthenium-containing precursors for CVD and ALD |
US8420476B2 (en) | 2010-05-27 | 2013-04-16 | International Business Machines Corporation | Integrated circuit with finFETs and MIM fin capacitor |
TWI509695B (zh) | 2010-06-10 | 2015-11-21 | Asm Int | 使膜選擇性沈積於基板上的方法 |
JP5733561B2 (ja) * | 2011-01-07 | 2015-06-10 | 日立化成株式会社 | 金属銅膜の作製方法及び印刷金属銅パターン |
JP5986591B2 (ja) | 2011-03-04 | 2016-09-06 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | コンタクト洗浄のための方法 |
US8658533B2 (en) * | 2011-03-10 | 2014-02-25 | International Business Machines Corporation | Semiconductor interconnect structure with multi-layered seed layer providing enhanced reliability and minimizing electromigration |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US8912096B2 (en) | 2011-04-28 | 2014-12-16 | Applied Materials, Inc. | Methods for precleaning a substrate prior to metal silicide fabrication process |
US8927748B2 (en) | 2011-08-12 | 2015-01-06 | Sigma-Aldrich Co. Llc | Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films |
US9005705B2 (en) | 2011-09-14 | 2015-04-14 | Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. | Method for the production of a substrate having a coating comprising copper, and coated substrate and device prepared by this method |
US9218961B2 (en) | 2011-09-19 | 2015-12-22 | Applied Materials, Inc. | Methods of forming a metal containing layer on a substrate with high uniformity and good profile control |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US8927423B2 (en) | 2011-12-16 | 2015-01-06 | Applied Materials, Inc. | Methods for annealing a contact metal layer to form a metal silicidation layer |
US8586479B2 (en) | 2012-01-23 | 2013-11-19 | Applied Materials, Inc. | Methods for forming a contact metal layer in semiconductor devices |
EP2807670A1 (de) * | 2012-01-27 | 2014-12-03 | Merck Patent GmbH | Verfahren zur herstellung elektrisch halbleitender oder leitender schichten mit verbesserter leitfähigkeit |
US9330939B2 (en) | 2012-03-28 | 2016-05-03 | Applied Materials, Inc. | Method of enabling seamless cobalt gap-fill |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US9412602B2 (en) | 2013-03-13 | 2016-08-09 | Asm Ip Holding B.V. | Deposition of smooth metal nitride films |
US9041216B2 (en) | 2013-03-14 | 2015-05-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method of forming the same |
US8841182B1 (en) | 2013-03-14 | 2014-09-23 | Asm Ip Holding B.V. | Silane and borane treatments for titanium carbide films |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US9543163B2 (en) | 2013-08-20 | 2017-01-10 | Applied Materials, Inc. | Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process |
TWI720422B (zh) | 2013-09-27 | 2021-03-01 | 美商應用材料股份有限公司 | 實現無縫鈷間隙填充之方法 |
TWI686499B (zh) | 2014-02-04 | 2020-03-01 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沉積 |
US9394609B2 (en) | 2014-02-13 | 2016-07-19 | Asm Ip Holding B.V. | Atomic layer deposition of aluminum fluoride thin films |
US9508561B2 (en) | 2014-03-11 | 2016-11-29 | Applied Materials, Inc. | Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US10643925B2 (en) | 2014-04-17 | 2020-05-05 | Asm Ip Holding B.V. | Fluorine-containing conductive films |
US9528185B2 (en) | 2014-08-22 | 2016-12-27 | Applied Materials, Inc. | Plasma uniformity control by arrays of unit cell plasmas |
US20160064275A1 (en) * | 2014-08-27 | 2016-03-03 | Applied Materials, Inc. | Selective Deposition With Alcohol Selective Reduction And Protection |
US10002936B2 (en) | 2014-10-23 | 2018-06-19 | Asm Ip Holding B.V. | Titanium aluminum and tantalum aluminum thin films |
US9914995B2 (en) | 2014-11-21 | 2018-03-13 | Applied Materials, Inc. | Alcohol assisted ALD film deposition |
KR102185458B1 (ko) | 2015-02-03 | 2020-12-03 | 에이에스엠 아이피 홀딩 비.브이. | 선택적 퇴적 |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
US9540729B1 (en) | 2015-08-25 | 2017-01-10 | Asm Ip Holding B.V. | Deposition of titanium nanolaminates for use in integrated circuit fabrication |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US10343186B2 (en) | 2015-10-09 | 2019-07-09 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US9941425B2 (en) | 2015-10-16 | 2018-04-10 | Asm Ip Holdings B.V. | Photoactive devices and materials |
US9786492B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US10121655B2 (en) | 2015-11-20 | 2018-11-06 | Applied Materials, Inc. | Lateral plasma/radical source |
US9981286B2 (en) | 2016-03-08 | 2018-05-29 | Asm Ip Holding B.V. | Selective formation of metal silicides |
US10204782B2 (en) | 2016-04-18 | 2019-02-12 | Imec Vzw | Combined anneal and selective deposition process |
WO2017184357A1 (en) | 2016-04-18 | 2017-10-26 | Asm Ip Holding B.V. | Method of forming a directed self-assembled layer on a substrate |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
KR102378021B1 (ko) | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US10014212B2 (en) | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US10186420B2 (en) | 2016-11-29 | 2019-01-22 | Asm Ip Holding B.V. | Formation of silicon-containing thin films |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
US10199266B2 (en) * | 2016-12-26 | 2019-02-05 | Intel Corporation | Integrated circuit interconnect structure having metal oxide adhesive layer |
JP7169072B2 (ja) | 2017-02-14 | 2022-11-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
US10504901B2 (en) | 2017-04-26 | 2019-12-10 | Asm Ip Holding B.V. | Substrate processing method and device manufactured using the same |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US11158500B2 (en) | 2017-05-05 | 2021-10-26 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of oxygen containing thin films |
JP7183187B2 (ja) | 2017-05-16 | 2022-12-05 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
US10913056B2 (en) | 2017-07-31 | 2021-02-09 | Honda Motor Co., Ltd. | Method for synthesis of copper/copper oxide nanocrystals |
CN111095513B (zh) | 2017-08-18 | 2023-10-31 | 应用材料公司 | 高压高温退火腔室 |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
CN117936417A (zh) | 2017-11-11 | 2024-04-26 | 微材料有限责任公司 | 用于高压处理腔室的气体输送系统 |
WO2019099255A2 (en) | 2017-11-17 | 2019-05-23 | Applied Materials, Inc. | Condenser system for high pressure processing system |
KR102709945B1 (ko) * | 2017-11-22 | 2024-09-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 텅스텐 막에서의 결함들을 감소시키거나 제거하는 방법들 |
US10991573B2 (en) | 2017-12-04 | 2021-04-27 | Asm Ip Holding B.V. | Uniform deposition of SiOC on dielectric and metal surfaces |
WO2019173006A1 (en) | 2018-03-09 | 2019-09-12 | Applied Materials, Inc. | High pressure annealing process for metal containing materials |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US11574894B2 (en) * | 2018-07-11 | 2023-02-07 | Dynex Semiconductor Limited | Semiconductor device sub-assembly |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
US11339487B2 (en) | 2019-02-28 | 2022-05-24 | Honda Motor Co., Ltd. | Synergistic effects of multi-faceted CU2O nanocrystals for electrochemical CO2 reduction |
CN113454269A (zh) | 2019-02-28 | 2021-09-28 | 本田技研工业株式会社 | 用于电化学co2还原的cu/cu2o界面纳米结构 |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
KR20210048408A (ko) | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 증착 반응기 매니폴드 |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
TW202136571A (zh) | 2020-02-10 | 2021-10-01 | 荷蘭商Asm Ip 控股公司 | 高深寬比孔內的氧化鉿之沉積 |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
TW202204658A (zh) | 2020-03-30 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 在兩不同表面上同時選擇性沉積兩不同材料 |
TW202140833A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
US11443936B2 (en) * | 2020-06-19 | 2022-09-13 | Applied Materials, Inc. | Methods and apparatus for aluminum oxide surface recovery |
WO2023027974A1 (en) * | 2021-08-22 | 2023-03-02 | Trustees Of Dartmouth College | Functionalization of microscale 3d-printed polymer structures with nanoscale vapor deposited electronic layers |
Family Cites Families (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE410873C (de) | 1923-08-18 | 1925-03-26 | Neufeldt & Kuhnke Fa | Asynchronmaschine mit Kondensatoren zur Erzeugung des Magnetisierungstromes |
GB368850A (en) | 1930-06-07 | 1932-03-14 | Westinghouse Brake & Signal | Improvements relating to electric current rectifying devices |
US3620853A (en) * | 1969-11-25 | 1971-11-16 | Anaconda Wire & Cable Co | Descaling copper rods |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
BE843167A (fr) * | 1975-06-24 | 1976-10-18 | Refroidissement et decapage d'un fil machine lamine en continu | |
US4477296A (en) * | 1982-09-30 | 1984-10-16 | E. I. Du Pont De Nemours And Company | Method for activating metal particles |
JPH0713304B2 (ja) * | 1987-12-14 | 1995-02-15 | 日立化成工業株式会社 | 銅の表面処理法 |
JPH0445534A (ja) * | 1990-06-12 | 1992-02-14 | Sony Corp | 銅薄膜の選択的エッチング方法 |
JPH0485024A (ja) * | 1990-07-30 | 1992-03-18 | Mitsubishi Gas Chem Co Inc | 銅張積層板の製造法 |
DE69122573T2 (de) | 1990-07-30 | 1997-03-13 | Mitsubishi Gas Chemical Co | Verfahren zur Herstellung von Mehrschichtplatinen |
US5382333A (en) * | 1990-07-30 | 1995-01-17 | Mitsubishi Gas Chemical Company, Inc. | Process for producing copper clad laminate |
US5106454A (en) * | 1990-11-01 | 1992-04-21 | Shipley Company Inc. | Process for multilayer printed circuit board manufacture |
US5865365A (en) * | 1991-02-19 | 1999-02-02 | Hitachi, Ltd. | Method of fabricating an electronic circuit device |
WO1993010652A1 (en) | 1991-11-22 | 1993-05-27 | Electrochemicals, Inc. | Process for improved adhesion between a metallic oxide and a polymer surface |
JPH05206632A (ja) * | 1992-01-17 | 1993-08-13 | Tokyo Gas Co Ltd | リフローはんだ付け用還元性雰囲気ガスおよびその供給装置 |
US5561082A (en) * | 1992-07-31 | 1996-10-01 | Kabushiki Kaisha Toshiba | Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide |
FI97731C (fi) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
US6006763A (en) * | 1995-01-11 | 1999-12-28 | Seiko Epson Corporation | Surface treatment method |
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
NL1003538C2 (nl) | 1996-07-08 | 1998-01-12 | Advanced Semiconductor Mat | Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat. |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5923056A (en) * | 1996-10-10 | 1999-07-13 | Lucent Technologies Inc. | Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials |
JP3150095B2 (ja) * | 1996-12-12 | 2001-03-26 | 日本電気株式会社 | 多層配線構造の製造方法 |
US6124189A (en) | 1997-03-14 | 2000-09-26 | Kabushiki Kaisha Toshiba | Metallization structure and method for a semiconductor device |
US6387805B2 (en) | 1997-05-08 | 2002-05-14 | Applied Materials, Inc. | Copper alloy seed layer for copper metallization |
US5939334A (en) | 1997-05-22 | 1999-08-17 | Sharp Laboratories Of America, Inc. | System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides |
KR100269306B1 (ko) * | 1997-07-31 | 2000-10-16 | 윤종용 | 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법 |
CA2246402C (en) * | 1997-09-05 | 2001-02-06 | Premark Feg L.L.C. | Door/sill interface for a warewasher |
US5983644A (en) | 1997-09-29 | 1999-11-16 | Applied Materials, Inc. | Integrated bake and chill plate |
US6033584A (en) * | 1997-12-22 | 2000-03-07 | Advanced Micro Devices, Inc. | Process for reducing copper oxide during integrated circuit fabrication |
JP3111979B2 (ja) * | 1998-05-20 | 2000-11-27 | 日本電気株式会社 | ウエハの洗浄方法 |
US6323131B1 (en) * | 1998-06-13 | 2001-11-27 | Agere Systems Guardian Corp. | Passivated copper surfaces |
US6130123A (en) | 1998-06-30 | 2000-10-10 | Intel Corporation | Method for making a complementary metal gate electrode technology |
US6461675B2 (en) | 1998-07-10 | 2002-10-08 | Cvc Products, Inc. | Method for forming a copper film on a substrate |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
JP3137087B2 (ja) * | 1998-08-31 | 2001-02-19 | 日本電気株式会社 | 半導体装置の製造方法 |
US6108937A (en) * | 1998-09-10 | 2000-08-29 | Asm America, Inc. | Method of cooling wafers |
JP2000208627A (ja) * | 1999-01-19 | 2000-07-28 | Hitachi Ltd | 半導体装置の製造方法 |
US6303500B1 (en) * | 1999-02-24 | 2001-10-16 | Micron Technology, Inc. | Method and apparatus for electroless plating a contact pad |
US6136163A (en) * | 1999-03-05 | 2000-10-24 | Applied Materials, Inc. | Apparatus for electro-chemical deposition with thermal anneal chamber |
US6305314B1 (en) * | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6297539B1 (en) * | 1999-07-19 | 2001-10-02 | Sharp Laboratories Of America, Inc. | Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same |
US6040243A (en) * | 1999-09-20 | 2000-03-21 | Chartered Semiconductor Manufacturing Ltd. | Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion |
US6593653B2 (en) * | 1999-09-30 | 2003-07-15 | Novellus Systems, Inc. | Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications |
US6576053B1 (en) * | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
JP2001160558A (ja) | 1999-12-02 | 2001-06-12 | Nec Corp | 半導体装置の製造方法及び製造装置 |
NL1013984C2 (nl) | 1999-12-29 | 2001-07-02 | Asm Int | Werkwijze en inrichting voor het behandelen van substraten. |
US6777331B2 (en) * | 2000-03-07 | 2004-08-17 | Simplus Systems Corporation | Multilayered copper structure for improving adhesion property |
US20020013487A1 (en) * | 2000-04-03 | 2002-01-31 | Norman John Anthony Thomas | Volatile precursors for deposition of metals and metal-containing films |
TW508658B (en) * | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
JP3574383B2 (ja) * | 2000-07-31 | 2004-10-06 | 富士通株式会社 | 半導体装置及びその製造方法 |
-
2001
- 2001-05-15 TW TW90111584A patent/TW508658B/zh not_active IP Right Cessation
- 2001-05-15 US US09/858,820 patent/US6482740B2/en not_active Expired - Lifetime
- 2001-05-15 KR KR1020027015225A patent/KR100775159B1/ko active IP Right Grant
- 2001-05-15 US US10/276,663 patent/US6921712B2/en not_active Expired - Lifetime
- 2001-05-15 AU AU2001260374A patent/AU2001260374A1/en not_active Abandoned
- 2001-05-15 JP JP2001584473A patent/JP5173101B2/ja not_active Expired - Lifetime
- 2001-05-15 WO PCT/FI2001/000473 patent/WO2001088972A1/en active Application Filing
- 2001-05-15 EP EP01934057.9A patent/EP1282911B1/en not_active Expired - Lifetime
-
2002
- 2002-11-19 US US10/300,169 patent/US6887795B2/en not_active Expired - Lifetime
-
2005
- 2005-04-19 US US11/110,345 patent/US7241677B2/en not_active Expired - Lifetime
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI501927B (zh) * | 2008-10-22 | 2015-10-01 | Tosoh Corp | 金屬膜製造用組成物、金屬膜之製造方法及金屬粉末之製造方法 |
CN102498238A (zh) * | 2009-09-16 | 2012-06-13 | 日立化成工业株式会社 | 金属铜膜及其制造方法、金属铜图案及使用了其的导体布线、金属铜凸块、导热路径、粘合材料及液状组合物 |
US9457406B2 (en) | 2009-09-16 | 2016-10-04 | Hitachi Chemical Company, Ltd. | Copper metal film, method for producing same, copper metal pattern, conductive wiring line using the copper metal pattern, copper metal bump, heat conduction path, bonding material, and liquid composition |
Also Published As
Publication number | Publication date |
---|---|
US6887795B2 (en) | 2005-05-03 |
WO2001088972A1 (en) | 2001-11-22 |
US20020004293A1 (en) | 2002-01-10 |
US20030096468A1 (en) | 2003-05-22 |
JP5173101B2 (ja) | 2013-03-27 |
US20040038529A1 (en) | 2004-02-26 |
EP1282911A1 (en) | 2003-02-12 |
EP1282911B1 (en) | 2018-09-05 |
US7241677B2 (en) | 2007-07-10 |
JP2003533880A (ja) | 2003-11-11 |
AU2001260374A1 (en) | 2001-11-26 |
KR20030007612A (ko) | 2003-01-23 |
KR100775159B1 (ko) | 2007-11-12 |
US6921712B2 (en) | 2005-07-26 |
US6482740B2 (en) | 2002-11-19 |
US20050215053A1 (en) | 2005-09-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW508658B (en) | Process for producing integrated circuits | |
US9362231B2 (en) | Molecular self-assembly in substrate processing | |
US7405143B2 (en) | Method for fabricating a seed layer | |
CN101911266B (zh) | 半导体装置的制造方法、半导体制造装置及存储介质 | |
US7858525B2 (en) | Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill | |
US20080067679A1 (en) | Semiconductor Device and Method for Manufacturing the Same, and Processing Liquid | |
WO2008027205A2 (en) | Self assembled monolayer for improving adhesion between copper and barrier layer | |
TW200400567A (en) | Electroless plating method and semiconductor wafer on which metal plating layer is formed | |
TW201123350A (en) | Deposition method | |
WO2005122230A1 (ja) | 銅表面の処理方法及び銅パターン配線形成方法、並びに該方法を用いて作成された半導体装置 | |
CN112969813B (zh) | 使用钌前体和还原气体的化学气相沉积方法 | |
TWI470696B (zh) | 半導體製造之表面處理技術 | |
KR20070001195A (ko) | 기판에의 금속층의 부착 및 관련 구조물 | |
JP2007180496A (ja) | 金属シード層の製造方法 | |
Mao | Plasma Enhanced Atomic Layer Deposition of Cooper Seed Layers at Low Process Temperatures | |
Mao | Plasma enhanced atomic layer deposition of Cu seed layers at low process temperatures | |
JP2010114193A (ja) | プリント配線基板の製造方法およびプリント配線基板 | |
WO2007011380A2 (en) | Substrate processing using molecular self-assembly |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MK4A | Expiration of patent term of an invention patent |