JPH09174420A - ケミカルメカニカルポリシングの連続処理システム - Google Patents

ケミカルメカニカルポリシングの連続処理システム

Info

Publication number
JPH09174420A
JPH09174420A JP32207596A JP32207596A JPH09174420A JP H09174420 A JPH09174420 A JP H09174420A JP 32207596 A JP32207596 A JP 32207596A JP 32207596 A JP32207596 A JP 32207596A JP H09174420 A JPH09174420 A JP H09174420A
Authority
JP
Japan
Prior art keywords
wafer
polishing
head
platen
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP32207596A
Other languages
English (en)
Inventor
Ilya Perlov
ペルロフ イリア
Eugene Gantvarg
ギャントヴァーグ ユージーン
Harry Q Lee
キュー. リー ハリー
Robert D Tolles
ディー. トレス ロバート
Norm Shendon
シェンドン ノーム
Sasson Somekh
サムク サソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09174420A publication Critical patent/JPH09174420A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • B08B1/50
    • B08B1/52
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B27/00Other grinding machines or devices
    • B24B27/0023Other grinding machines or devices grinding machines with a plurality of working posts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/34Accessories
    • B24B37/345Feeding, loading or unloading work specially adapted to lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B41/00Component parts such as frames, beds, carriages, headstocks
    • B24B41/005Feeding or manipulating devices specially adapted to grinding machines
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/12Dressing tools; Holders therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B57/00Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents
    • B24B57/02Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents for feeding of fluid, sprayed, pulverised, or liquefied grinding, polishing or lapping agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing

Abstract

(57)【要約】 【課題】 基板の汚染や破損のリスクを最小にしつつ、
研磨のスループット、平坦性及び仕上の最適化を可能に
する。 【解決手段】 本発明に従った構成では、多数の、例え
ば4つの、同一のウエハヘッドが、カルーセル支持板の
中心支持体の周りに均等に分布するように設置される。
中心で支持されるカルーセルフレームは、回転している
ときは、ウエハヘッド及び基板を配置させる。ヘッドそ
れぞれは、独立して回転でき、また独立して、ヘッド板
に形成されたスロットの中に、放射方向往復運動をする
ことが可能である。ウエハヘッドを保持するカルーセル
組立体が縦に固定されているためポリシングパッドの表
面からウエハを昇降させるためには、ウエハヘッドのウ
エハ受け面とカルーセルアームの縦固定支持体との間に
相対運動をさせる必要がある。構成の1つでは、ウエハ
ヘッドのウエハ受け面とウエハヘッドの頂部部材との間
の相対運動により、必要な縦方向の運動が与えられる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、連続処理又はバッ
チ処理による半導体基板のケミカルメカニカルポリシン
グ(化学機械的研磨)の装置及び方法に関する。本発明
の特徴は様々であるが、同時に且つ連続的に、基板をウ
エハヘッドに移送してウエハヘッドの上に搬入し、且つ
/又は、クリーニングを行って基板をウエハヘッドから
搬出する準備を行うことを、1つ以上の他の基板のポリ
シング(研磨)を同時に行いつつ行うことが含まれる。
基板の移動、クリーニング及びポリシングが、完全に自
動化されるような構成とすることが可能である。
【0002】
【従来の技術】集積回路は、典型的には、導電膜層、半
導体膜層及び絶縁膜層を連続して堆積及びエッチングす
ることにより、基板、最も一般的には半導体基板の上に
形成される。堆積物層の堆積及びエッチングを連続して
行う際は、基板の最も上の面、即ち基板の最上層の露出
面には次第に、凹凸の多い立体形状が現れる。これは、
最上膜層の高さ、即ちこの層の上面とこの層の下の基板
の表面との間の距離が、エッチングが一番なされなかっ
た場所では最も大きく、エッチングが一番なされた場所
では最も小さいために生じるものである。
【0003】このような表面の非平坦性が、集積回路の
製造者には問題となる。エッチングのステップは、典型
的には、基板の露出面にレジスト層を配設した後、レジ
ストの一部を選択的に除去して係る層にエッチングパタ
ーンを与えることによりなされる。層が平坦でなけれ
ば、レジスト層をパターニングするフォトリソグラフィ
ーの技術は適切ではなくなり、何故なら、基板表面が、
層の表面全体にリソグラフィー装置のフォーカス合せを
妨げるほど非平坦であるだろうからである。従って、基
板表面を定期的に平坦化し、リソグラフィーのための層
表面の平坦性を復活させる必要性がある。
【0004】ケミカルメカニカルポリシング(CMP)
は、平坦化のためのものして認められている方法の1つ
である。この平坦化の方法では、典型的には、基板をウ
エハヘッドに、基板の研磨しようとする面が露出するよ
うに設置することが必要である。このヘッドに支持され
た基板は、回転するポリシングパッドに対して配置され
る。基板を保持するヘッドも回転させて、基板とポリシ
ングパッド表面との間に更に運動を加えてもよい。更
に、研磨スラリ(典型的には、研磨材及び少なくとも1
つの化学反応性剤とを有し、これらは基板の最上膜層の
研磨を促進するように選択される)をパッドに供給し、
パッドと基板の境界面に研磨性の化学品溶液を提供す
る。酸化物層の研磨に対しては、スラリは、直径50n
m程度のシリカグリットで構成されている。このグリッ
トは、発煙により形成した後、pH10.5程度の塩基
溶液の中に入れられる。そして、この溶液は、コロイダ
ルサスペンション(コロイド懸濁液)を長期に維持する
ように、ブレンドして強いシェアをかけられる。メタル
の研磨のためには、グリットは、シリカ又はアルミナの
いずれかにより形成される。
【0005】ポリシングパッドの特性と、特定のスラリ
の混合物と、その他の研磨のパラメータにより、特定の
研磨特性を与えることができる。従って、研磨しようと
するいかなる材料に対しても、パッドとスラリの組合わ
せは、理論的には、研磨面の特定の仕上と平坦性を与え
ることが可能である。基板とパッドの相対速度や基板を
パッドへ押圧する力を始めとする、その他の研磨パラメ
ータが、研磨速度や仕上り、平坦性に影響を与えること
が理解されるべきである。従って、望ましい仕上りが知
られている材料が与えられれば、パッドとスラリの最適
な組合わせが選択できる。典型的には、所定の材料に対
して選択される実際のポリシングパッドとスラリの組合
わせは、装置におけるウエハスループットのかなりの部
分を決めてしまう研磨速度と、基板表面に特に望まれる
仕上と平坦性を与える必要性との間の兼合いをもとに決
められる。
【0006】続いて行われる製造工程の処理条件で研磨
済みの面の仕上と平坦性が要求されるため、スループッ
トの研磨速度に関する部分が、しばしば、上記の兼合い
により犠牲となる。それでも、研磨の装置のコストは、
処理するウエハの数により償還されるため、商業市場で
はスループットが高うことが必須である。無論、高いス
ループットは、コストと、用いる機械の複雑さに対して
バランスさせなければならない。同様に、研磨装置のオ
ペレーション及び保守に要するフロアスペースとオペレ
ータの時間は、販売価格に含まれるべきコストを発生さ
せる。これら全ての理由により、研磨装置には、高いス
ループットを有し、比較的簡易で安価であり、あまりフ
ロアスペースを使わず、オペレータによる制御と保守が
最小限であることが要求される。
【0007】パッドの表面の特性が研磨用途に応じて変
化するため、また、研磨のため基板が押圧される領域で
パッド表面が圧迫されるようになるため、スループット
に更に制限が加わることとなる。この状態は通常「グレ
ージング」と称され、これが生じれば、ポリシングパッ
ドの表面の研磨性が低くなり、研磨速度が低下する。こ
のように、グレージングが生じれば、個々の基板の研磨
に要する時間が余計にかかる傾向になる。従って、所望
の研磨状態を維持し研磨装置の基板のスループットを高
く実現するため、ポリシングパッドの表面を定期的に修
復し又は調整する必要がある。パッドを調整するには、
典型的には、パッド表面を摩耗させて、不規則なところ
を除去すると共に表面を粗くする。
【0008】パッドを調整すれば、平均研磨速度は上が
るものの、それ自体のもつ困難性を生じさせる。これが
手作業により行われる場合は、整合性が低くなってしま
い、また、オペレータのコストが高くなり機械の停止時
間が著しく長くなるのでコストで調節したスループット
が低くなる。パッドの調整が自動機械で行われる場合
は、表面の摩耗の工程でポリシングパッドをえぐり取っ
たり損傷を与えることのないよう、注意をする必要があ
る。更に、調整用具とパッドとの相対運動が主にパッド
の回転によって与えられる場合は、相対速度及び滞留時
間がパッドの半径によって変化し、調整後のパッドが半
径方向に不均一となる。
【0009】更に、従来の研磨装置では、研磨面に対す
る基板の搬入出によりスループットが制限される。ギル
により米国特許4,141,180に示されるように、ス
ループットを増加するために従来技術において試みられ
たものの1つに、基板研磨のために多数の研磨面を用
い、2つの異なるパッドとスラリの組合わせにより、研
磨速度及び仕上りの最適化を可能とするものがある。そ
こに記載されるポリシングステーションの研磨(ポリシ
ング)装置では、主研磨面と細密研磨面とが具備され
る。1つのポリシングパッドが1つのポジショニング装
置によって制御され、装置の別々のポリシングステーシ
ョンの間で1つの基板を移動させる。
【0010】スループットを増加させるもう1つの方法
は、自身に複数の基板搬送ステーションを有するウエハ
ヘッドを用いて、1つのポリシングパッドに対して複数
の基板を同時に搬入し、1つのポリシングパッド上でこ
れら基板を同時に研磨することを可能にするものであ
る。この方法は単一基板の形式のウエハヘッドに関して
はスループットの上昇になるようであるが、このような
基板平坦化のためのキャリアの構成を用いることに対し
て、様々な因子が不利に働き、特に、堆積層をその上に
形成した後に顕著である。第1に、研磨使用とするウエ
ハを保持するウエハヘッドが複雑なものであることが挙
げられる。パッドに対して各基板にかかる力を制御する
試みとして、ウエハを保持するヘッドの一部を浮かせる
(フローティングする)アプローチがある。フローティ
ングウエハホルダには、数多くの可動部品が必要であ
り、圧力ラインを回転及び運動の構成に含める必要があ
る。更に、パッドに個々の基板を押圧する力を制御する
能力が、このウエハヘッド組立体のフローティングの性
質により制限を受け、このため、多数の基板の個々の制
御と、全体の研磨の性質の制御の容易性とが、両立しな
くなる。最後に、基板のいずれか1枚にクラック等の問
題が生じた場合、基板の破片が抜け落ちて、同じパッド
の上で研磨中の他の基板全てが破損してしまう。
【0011】研磨の終点及び時に研磨のステージと研磨
のステージの間で、ウエハを洗浄する要請によっても、
研磨のスループットが更に制限される。過去には、多数
のウエハヘッドを同時に洗浄することにより洗浄時間は
限られていたが、洗浄に付加的な機械の時間を要しこれ
が研磨に必要となる場合では、システムのスループット
に悪影響を及ぼす。
【0012】
【発明が解決しようとする課題】従って、基板の汚染や
破損のリスクを最小にしつつ、研磨のスループット、平
坦性及び仕上の最適化を可能にする研磨(ポリシング)
装置が必要である。
【0013】スループットの高いポリシング装置に必要
な高速ポリシングには、厳しい制限や要請がポリシング
装置に求められる。機械力は大きいものの、ポリシング
中に生じた些細なスクラッチが集積回路に致命的とな
る。従って、機械的なずれを制御して最小とするよう、
設計がなされる必要がある。CMP処理の環境は苛酷な
ため、寿命を伸ばし保守を少なくできるよう、機械を細
心に設計する必要がある。また、スラリは、ウエハや装
置のあらゆる部品の上で乾燥すれば、堅い層を形成して
しまい、これは取り除くのが困難である。一般に、スル
ープットの高い装置に要求される事は、操作の容易性、
関わるオペレータの数が少ない事、定期的又は不定期の
保守作業の容易性、並びに、部品が故障や劣化し易くな
い事である。
【0014】ポリシングシステムが商業化するために
は、自由度が高く且つ多種のポリシングプロセスに適用
可能である必要がある。製造者が変れば、そのチップデ
ザイン全体により、好まれるポリシングプロセスも変っ
てくる。平坦化しようとする層が異なれば、全く別のポ
リシングプロセスが必要となるので、チップ製造者は、
異なる2つのポリシングプロセスに同じポリシングシス
テムを用いることを欲するだろう。ポリシングプロセス
それぞれに対してポリシングシステムを設計するより
も、1つの設計を、異なるプロセスに対して機械の変更
を最小にして適用させることができる方が、一層好まし
い。
【0015】
【課題を解決するための手段】本発明は、ケミカルメカ
ニカルポリシング装置と、この装置を用いる方法とを提
供し、平坦化処理後の基板の平坦性及び表面仕上を向上
しつつ、高い基板のスループットを提供するものであ
る。
【0016】本発明は更に、多数のポリシングステーシ
ョンで連続的に行われるポリシングプロセスの自由度を
更に大きくするものである。
【0017】本発明に従った構成では、多数の、例えば
4つの、同一のウエハヘッドが、カルーセル支持板の中
心支持体の周りに均等に分布するように設置される。中
心で支持されるカルーセルフレームは、回転していると
きは、ウエハヘッド及び基板を配置させる。ヘッドそれ
ぞれは、独立して回転でき、また独立して、ヘッド板に
形成されたスロットの中に、放射方向往復運動をするこ
とが可能である。ウエハヘッドを保持するカルーセル組
立体が縦に固定されているためポリシングパッドの表面
からウエハを昇降させるためには、ウエハヘッドのウエ
ハ受け面とカルーセルアームの縦固定支持体との間に相
対運動をさせる必要がある。構成の1つでは、ウエハヘ
ッドのウエハ受け面とウエハヘッドの頂部部材との間の
相対運動により、必要な縦方向の運動が与えられる。
【0018】使用に際しては、例えば、3つのウエハヘ
ッドが同時に、ポリシングステーションの上方に配置さ
れ、他方、残りのウエハヘッドが移送ステーションの上
方に配置される。各ポリシングステーションは、ポリシ
ングパッドを支持する独立回転のプラーテンを完備して
おり、ポリシングパッドの表面は、ポリシングのための
媒体として作用する研磨スラリでウェットな状態となっ
ている。
【0019】各ポリシングパッドの調整は、独立して回
転するコンディショナーヘッドによってなされ、このコ
ンディショナーヘッドは、ポリシングパッドの中心と周
縁の間を弓状の経路の往復運動を行って掃引する。コン
ディショナーアームが、その端部に取り付けたコンディ
ショニング板をパッドに押圧し、パッドの調整を行う。
本発明に従ったコンディショナー装置は、パッドに対す
る調整の圧力を、パッドがグレージングの状態になった
領域で自動的に増加させ、また、グレージングの状態と
なっていない領域では、パッドに対する調整の圧力を自
動的に低下させる(コンディショナーヘッドとパッドの
間の摩擦係数を検知して直ちにフィードバックすること
により、これに応じて調整の圧力を変化させる)。
【0020】使用に際しては、ウエハヘッドの1つが、
ヘッドへのウエハの搬入出のための移送ステーションの
上方に配置され、他のヘッドはポリシングステーション
の上方に配置されこれらのヘッドにあるウエハが研磨さ
れることになる。また、移送ステーションはウエハの調
心や、ウエハ及びウエハヘッドの洗浄に用いることもで
きる。
【0021】ウエハを実質的に垂直方向に運ぶ液体充填
カセットから、研磨しようとする基板を取り出すには、
カセットとポリシング装置の間で移送中のウエハの自由
な位置取りを可能にするほぼ無段階に調整可能なロボッ
ト移送機構に取り付けられた、真空チャックロボットブ
レードによって行われる。研磨しようとするウエハをポ
リシング装置の中に挿入するためには、ウエハの搬入
出、調心及び洗浄を行う移送ステーションで、移送機構
がウエハを移送ペデスタルの上方に配置させることによ
りなされる。この操作の間、移送機構のロボットブレー
ドの真空面が、ブレードの下向き面側でウエハの裏面を
真空チャックする。ペデスタルは、先ず、降下し、ペデ
スタル表面に配置されるジェットでウエハを洗浄する。
そして、ペデスタルを上昇させてウエハを支持し、真空
チャックの真空が解放され、ロボットブレードが取り出
される。
【0022】そして、調心ジョーを上昇させてウエハ及
びウエハヘッドの底部を包囲させる。ジョーが接触し
て、ウエハヘッドと接触し、同時に、ウエハの中心を、
ウエハヘッドのウエハ受容リセスに配置させる。ウエハ
は、いまウエハヘッドのウエハ受容リセスに調心してい
るが、この後、移送ペデスタルによって上昇し、ウエハ
をウエハ/基板受容リセスの中に挿入し、ウエハをヘッ
ドに接触したまま保持させる。随意、ヘッドを介してリ
セスの中に至る真空サプライを作動させてウエハをヘッ
ドに保持し、ペデスタルを降下させる。このヘッドは、
いま未研磨のウエハを搬入しているが、その後、カルー
セルヘッドによって研磨の位置まで回転する準備ができ
る。カルーセルの回転により、他のウエハヘッドの1つ
を、調心移送クリーニングステーションの上方に配置さ
せる。
【0023】搬出については、基板が本発明に従った装
置で研磨され、このウエハを有するウエハヘッドが調心
移送クリーニングステーションに戻された後、洗浄カッ
プ/ベイズンを上昇させて、ウエハヘッドの底部をほぼ
囲む。クリーニング溶液(例えば、脱イオン水)が、
(移送ペデスタルの上部といくつかの周縁ノズルアーム
とに配置される)スプレーノズルを介して流入し、この
ノズルは、ヘッドの面及びウエハヘッドの下部に向けら
れ、ウエハ及びウエハヘッド下面に存在し得るスラリそ
の他の異物を追い出し除去し、続いてクリーニングを更
に完結させる前に、汚染を少なくしておく。洗浄カップ
により、スプレーされた溶液ほぼ全部が捕集され、廃棄
され、あるいは、リサイクルのために処理される。その
後、移送ペデスタルが上昇して、ウエハと接触する。随
意、ペデスタルの中の流体ノズルへの配管接続が真空シ
ステムへの供給に変更され、ノズルが、ウエハをペデス
タル表面にしっかり保持するための真空ポートとして作
用する場合に、ウエハとペデスタル上面の間に真空シー
ルが形成される。次いで、ヘッドの中を介し、及び/又
は、ヘッドの中から、ガス圧力を与えることにより、ウ
エハヘッドの面からウエハが解放される。ウエハを有し
ているペデスタルは、いまウエハがしっかり固定されて
いるが、これが洗浄カップ/ベイズンの中まで下降し、
このとき、周縁スプレーノズルのみが再び作動して、ウ
エハの裏側と、ウエハが取り付けられていたときウエハ
によって隠れていたウエハヘッドの部分とを、洗浄す
る。洗浄が終了すれば、洗浄ベイズンを下降させ、移送
ペデスタルに取り付けられたままのウエハが現れる。次
いで、ペデスタルが上昇して洗浄ベイズンから外に出
て、その後、ウエハを回収するために、移送ロボットブ
レードを移動させる。ウエハをブレードに緊密に固定す
るため、ブレードを介して真空が与えられる。この固定
の操作が完了した後、ウエハを取り付けているロボット
ブレードのみを残して、移送ペデスタルに与えられてい
る真空全てを解除する。次いで、移送ペデスタルを下降
させて、ウエハを装置から回収することができる。ウエ
ハヘッドにはウエハが取り付けられていない際には、ベ
イズンをウエハヘッドの下端周囲まで上昇させて、ペデ
スタルヘッドのポートにより、また、ベイズン内のサイ
ドスプレーにより、洗浄溶液をウエハヘッドに向けてス
プレーして、ウエハヘッドを洗浄することができる。
【0024】所望の場合は、隣接し合うプラーテン(ポ
リシングステーション)間に中間的な洗浄ステーション
を与えて、ウエハを、ポリシングステーションからポリ
シングステーションへと通過する際に洗浄することがで
きる。この中間的な洗浄により、スラリ及びその他の研
磨副生成物の除去を脱イオン水その他の材料で行うため
に基板が配置されるプラーテン間での、研磨スラリ粒子
の移動が低減する。また、このような洗浄ステーション
を、プラーテンの洗浄ラインの前後に配置してもよい。
所望の場合は、ウエハのバフを効率的に行うために、付
加的な処理ステーションを考慮してもよい。従って、ポ
リシング装置を大型化して、洗浄ステーションの上方で
ウエハヘッド1組がウエハそれぞれを回転し、他方、別
のウエハヘッド1組を用いて、洗浄ステーションと洗浄
ステーションの間のプラーテン上に載置されたパッド上
で他のウエハを研磨することが、可能である。
【0025】本発明の特徴の1つは、マルチポリシング
パッド(多数のポリシングパッド)を用いるポリシング
のプロセスを与えることである。即ち、装置は、第1の
材料除去速度と第1の基板の仕上及び平坦性を与える、
第1の研磨面と、第2の基板の仕上及び平坦性を与え
る、少なくとも1つの追加研磨面とを有している。イン
ラインプロセスに、マルチパッドを用いることが可能で
あり、このインラインプロセスでは、これらパッドは実
質的に同じ研磨特性を有しているが、パッドを次々と変
えて1枚のウエハを研磨するものである。別々のパッド
の間で均等な研磨を分割することによって、搬入出の時
間を低減する。あるいは、マルチパッドをマルチステッ
プのプロセスに用いてもよく、このマルチステップで
は、これらパッドが別々の研磨特性を有しウエハが漸次
細密な研磨の側へと進むもの、又は、研磨中に別の層が
漸次現れる場合、例えば酸化物表面の下にメタルライン
があるような場合に対して、研磨特性を調節するもので
ある。
【0026】本発明の更なる特徴は、ポリシングパッド
調節のための装置である。こお調節装置は、コンディシ
ョナーアームを水平及び垂直に旋回させるコンディショ
ナー支持体を有している。アームに懸下したコンディシ
ョナーヘッドは、コンディショナーフェース板若しくは
その他の調節面又はツールに取り付けられたパッドコン
ディショナーを有している。フェース板は、球面接続部
(部分球及びソケットジョイント)でコンディショナー
ヘッドに取り付けられており、ポリシングパッドがコン
ディショナーパッドと相対的に移動した場合に、必要な
だけ移動させてポリシングパッドの表面を適合させる。
パッドがコンディショナーヘッドの下で回転したとき、
並びに、コンディショナーアームがプラーテンのエッジ
でコンディショナー支持体の周囲に水平旋回して、コン
ディショナー表面がポリシングパッドの中心からエッジ
までを往復運動する間は、コンディショナーヘッドは回
転してもよい。
【0027】また、パッドコンディショナーフェース板
又はその他のポリシングパッドに対する調節面を押圧す
るため、コンディショナー装置は、水力ピストン等の負
荷部材を有していてもよく、これは、アームを昇降させ
るためにコンディショナー支持体のコンディショナーヘ
ッドと反対の側に配置され、且つ、横方向に旋回させる
ためにアームと回転可能支持ハウジングとの間に取り付
けられている。動作の際は、ピストンに特定の一定の圧
力が広く与えられ、コンディショナーヘッドに一定の下
向きの力が与えられる。
【0028】パッドコンディショナーが回転し、又は、
単にパッド表面全面上を引き摺れば、これがポリシング
パッド表面に作用することになる。本発明に従った具の
1つでは、コンディショナーヘッドは、コンディショナ
ーヘッドシャフトによって、コンディショナーヘッドシ
ーブに固定されている。コンディショナー駆動シーブに
よって駆動される駆動ベルトが、ヘッドシーブを廻す。
コンディショナー駆動シーブは、コンディショナー支持
ハウジングと実質的に同一線上にあるコンディショナー
駆動シャフトによって廻る。ヘッド端部シーブと駆動端
部シーブと、駆動ベルトとは、コンディショナーアーム
垂直旋回軸を通る中心線の上方でこの中心線から外れて
配置され、且つ、アームの横軸と平行に配置される。駆
動シーブは、コンディショナー駆動シャフトに一定の姿
勢で固定され、アームと共に移動せず、他方、コンディ
ショナーヘッドシーブはアームと共に旋回する。従っ
て、駆動ベルトは、アームが下方向に揺れたときに緊密
になり、アームが上方向に揺れたときに緩くなる。
【0029】ポリシングパッドの表面がコンディショナ
ーヘッドの下で回転しているときは、ポリシングパッド
とコンディショナーヘッド(実際はパッドコンディショ
ナー)との間の摩擦係数は、ポリシングパッドの表面状
態の変化に応じて変化する。グレージングの状態となっ
たポリシングパッドの部分では、グレージングになって
いない部分に比べて摩擦係数が低くなっている。
【0030】摩擦係数が増加(例えば、グレージング状
態から非グレージング状態になった場合等)すれば、決
まった速度でコンディショナーヘッドを廻すために要す
るトルクも増加する。このトルクの増加により、ベルト
の一方の側の引っ張りも増加する。ベルト及びその引っ
張り力が、コンディショナーアームの回転軸の中心から
外れているため、ベルトの引っ張り力の増加により、ア
ームを上昇させることになる力を増加させ、その結果、
ポリシングパッドへのコンディショナーヘッドの負荷を
減少させて、調整(コンディショニング)の効果を低下
させる。これとは対称的に、境界面での摩擦係数が低下
(例えば、非グレージング状態からグレージング状態に
なった場合等)したとき、コンディショナーヘッドの駆
動に要するトルクも低下する。一定の速度でコンディシ
ョナーヘッドを廻すベルトの引っ張り力が下がれば、コ
ンディショナーアームを上昇させることになる力を低下
させ、その結果、ポリシングパッドへのコンディショナ
ーヘッド負荷が増加し、調整の効果が増大する。このよ
うに、調整の力が、機械装置の自動的ないし内在的な応
答により生じる。小さなサイズのコンディショナーは、
パッドの状態の局所的な変化を受けやすく、自己引っ張
り(セルフテンショニング)が特に有用である。
【0031】この構成により、パッドのグレージング部
分に対するコンディショナーヘッドの力を増加させる負
荷が増加し、また、パッドのグレージングしていない部
分がコンディショナーヘッドにあたったとき、下向きの
負荷力を自動的に低下させる。この負荷の調節は、制御
の入力を必要とせず、実質的に瞬間的に行われる。この
ように、セルフテンショニング、従来技術で必要とされ
ていた、グレージングになっていない部分を過度に調節
してパッドのグレージング部分の適切な調整を確保する
必要性が低くなる。
【0032】中心カルーセル支持板は、一連の放射スロ
ットを有しており、これらの中で、ウエハヘッド組立体
が、半径方向内側位置と半径方向外側位置との間で往復
運動することができ、この往復運動は、これらウエハヘ
ッド及び附属するウエハが、ウエハヘッド回転モータに
よって独立して回転し、且つ、各ウエハヘッドにより独
立して圧力を与えることにより独立して回転しているポ
リシングパッドに対して同時に押圧するときに、生じ
る。このようなスロットを有するデザインにより、振動
低減のために要する機械的剛性が低減する。また、ウエ
ハヘッドの保守が簡単になる。
【0033】しかし、ケミカルメカニカルポリシングに
用いるスラリは、回転すプラーテンによってスラリがば
ら撒かれやすく、スラリのミストは機械のエンクロージ
ャの中に存在しやすいような、性質を有している。この
ように空気により運ばれるスラリは接触した表面をコー
ティングし、これが乾燥すれば、大きな凝集粒子が形成
され、これがパッド表面に滞留し、ウエハ上にスクラッ
チを生じさせることになる。この研磨媒体のサスペンジ
ョンは、例えば水酸化カリウム(KOH)の溶液であ
り、これが、電気接続部を短絡させ、露出面をひどく劣
化させる。この問題を防止するため、オーバーラップす
るフランジを有する「D」型の板が回転し且つ放射方向
に往復運動するウエハヘッド組立体により放射方向に往
復運動をし、曲がりくねったスロットのクロージャを形
成し、即ち、スラリや蒸気がカルーセルヘッドの内側に
直接通じることが防止される。このような、マルチヘッ
ドキャリア組立体を有するクロージャにより、ハウジン
グ内部に収容される機械部品及び電気部品を、ケミカル
メカニカルポリシング装置内部の環境に曝露する有害な
影響を、ほぼ排除する。
【0034】コンディショナーヘッドパッドコンディシ
ョナー及びこれを包囲する使用に供する面は、ポリシン
グパッドの表面上でスラリで浸されている。このスラリ
及びそのサスペンジョンは、乾燥すれば、岩のように堅
い固形物となり、これはすぐにはウェットにならず、ま
た、ウェットサスペンジョンには容易に戻らない。この
ような不要な状況の発生を防止するため、本発明に従っ
た構成では、伸縮可能なコンディショナーヘッド保管器
と、洗浄カップとを有しており、この洗浄カップによ
り、コンディショナーヘッド表面の下部が、H2O やそ
の他の水溶液(好ましいNaOHやNH4OH) でウェ
ットになっている状態に維持される。洗浄液は、連続的
に循環が可能であり、又は、特に、化学品の溶液の場
合、要請に応じて洗浄カップの中心ベイズンを通って供
給される。この中心カップベイズンは、せきによって囲
まれ、このせきの上を流体が流体ドレインチャンネルへ
とオーバーフローする。カップは、カップ回転装置に取
り付けられており、これにより、コンディショナーヘッ
ドの軌跡から外れた位置から、コンディショナーヘッド
が保管器カップの頂部の上方に上昇ウエハしたときに保
管器カップがコンディショナーヘッドの下の地点まで回
転可能で且つコンディショナーヘッドが保管のため保管
器カップの中まで下降することができるような位置ま
で、カップを移動させる。このプロセスを逆にすれば、
コンディショナーヘッドが動作状態に戻される。
【0035】ウエハは、システムのウエハカセットの中
に移送される。カセットは、スラリのケーキングやメタ
ルの酸化を低減するため、循環水浴内に保管されること
が好ましい。カセットをドライの位置と浴との間で移動
させるため、及び、個々のウエハを浴中のカセットとポ
リシング装置との間で移動させるためには、1つの移送
装置を用いればよい。
【0036】ロボット移動装置は、「L」型の部材を自
身の端部に有しており、この「L」型部材の一方の脚は
真空ロボットブレードであり、他方の脚はウエハカセッ
ト上昇のフォークである。ウエハ移送装置は、固定支持
ビームにより支持されている。水平のキャリッジがビー
ムの中を走り、垂直軸の周りで回転可能な下降アームを
支持する。下降アームは、水平キャリッジに垂直に固定
され垂直軸の周りで回転可能な上レール支持体を有して
いる。上レール支持体には、下リニアキャリッジがスラ
イド可能な状態で係合し、下リニアキャリッジは、水平
キャリッジに装着されたアーム伸張モータの回転を基
に、上レール支持体と相対的に運動をする。「L」型部
材は、下リニアキャリッジの底端に取り付けられ、これ
と相対的に水平軸の周りに回転可能である。「L」型部
材を回転させるためのモータが、下リニアキャリッジの
上端に装着され、水平軸でウォームギアの構成に取り付
けられた長いシャフトを介して、「L」型部材を回転さ
せる。支持ビーム近くのモータの位置により、水平キャ
リッジでモータの支持体の周囲の下降アームの慣性モー
メントを低下させる。この構成では、垂直から僅かに傾
いてウエハを保持するウエハカセットからウエハを移送
させ、ウエハを上昇させ水平軸の周りに水平位置まで回
転し、下降アームを垂直軸の周りにウエハがポリシング
そうちの移送の位置に配置されるまで回転させるよう
に、ロボットブレードを配置させることが可能である。
「L」型部材のウエハカセット上昇フォークは、ロボッ
トブレードから90度の角度に固定され、これを同様に
操作して、上昇ループをウエハ移送カセットの側部に係
合させることが可能である。上昇フォークは、ショルダ
ー/ノッチを有しており、これは、上昇ループの裏側を
ウエハカセットの裏側に係合させる。上昇フォークが上
昇ループの中をスリップして水平に少し移動しまた少し
回転したとき、上昇ノッチは、上昇フォークの上昇ルー
プの外に自由に通過することがなくなる。上昇フォーク
が上昇したときは、ショルダー/ノッチは、上昇ループ
の裏側を捕らえ、カセットのループのエッジにリンスを
施す。アームが少し回転してカセットに係合し、これを
水平に保つため、カセットが、上昇のポイントの周りを
2〜3度以上回転することはなくなる。カセットのエッ
ジが上昇すれば、これが上昇フォークの底部と接触する
ようになり、カセットの重量によって、カセット上昇ル
ープが上昇フォークのノッチの方へ押圧されカセットの
重量が上昇フォークショルダー/ノッチ上に維持される
ことが確保される。カセットの重量の垂直成分は、上に
面する上昇フォークのショルダー/ノッチにより対向
し、移送装置がウエハ保管カセットの取りだし及び移動
をさせることが、可能になる。
【0037】ウエハカセット及び基板の配置及び移動
と、各ステーションでなされるポリシング又はクリーニ
ングの操作時間は、マイクロプロセッサ等のコントロー
ラにより制御されることが好ましく、このコントローラ
は、基板の位置取りや搬送を指示し、また、最適な研磨
仕上、平坦性及びスループットを与えるように、プログ
ラミングすることが可能である。
【0038】
【発明の実施の形態】ここでは、まず、システムの概要
について説明し、次いで、プロセスのステップについて
概説的な説明をする。そして、個々のサブシステム及び
詳細なプロセスについて更に説明していく。
【0039】(装置の概要)図1は、本発明に従った装
置の斜視図である。ポリシングシステム10は、ウエハ
搬送装置30に隣接するポリシング装置20を有してい
る。ウエハ40は、システム10のカセット42の中に
運ばれ、カセットは直ちに、ウエハをウェットな状態に
保持するため、タブ34の中に保管される。ウエハ40
は個々に、カセット42からウエハポリシング装置20
の中に搬入され、これらはポリシング装置により研磨さ
れた後、タブ34中のもとのカセット42又は別のカセ
ットに戻される。この図では、ポリシング装置20とウ
エハ搬送装置30の間に介在し、スラリやその他の塵を
ポリシング装置の中に保持しタブ34から遠ざけるよう
にするための、壁を図示していない。この壁には図示さ
れない引き戸があるが、この引き戸が、装置20と装置
30の間でウエハを移送するために開いている。壁は、
ウエハ搬送装置30を収容するクリーンルームとポリシ
ング装置20を収容する汚染のエリアとの間のバリアと
して機能することができる。
【0040】ポリシング装置20は、下部機械ベース2
2を有し、この上にテーブルトップ23と、一連のポリ
シングステーション50a、50b及び50cを包囲す
る着脱式の上部外部カバー24とが装着される。図2の
分解斜視図に示されるようにフェンス25がテーブルト
ップ23を囲み、ばら撒かれる液体及びスラリを収容し
て、これをテーブルトップのドレイン(図示せず)を介
して排水する。
【0041】ポリシングステーション50a、50b及
び50cのそれぞれが、ポリシングパッド54を自身の
上に配置した回転プラーテン52を有しており、また更
に、関連したパッドコンディショナー装置60a、60
b及び60cを有しており、コンディショナー装置のそ
れぞれは、コンディショナーヘッド64を保持する回転
アーム62と、コンディショナーヘッド64のための、
それぞれのための洗浄ベイズン68とを有している。ま
た、ベース22は、3つのポリシングステーション50
a、50b及び50cと正方形の関係で配置される移送
ステーション70を支持している。移送ステーション7
0は多数の機能を有しており、これら機能とは、個々の
ウエハ40を搬送装置30から受容する機能と、場合に
よりこれらをリンスする機能と、研磨中これらを保持す
るウエハヘッド(詳細は後述)にこれらを搬送する機能
と、ウエハを40をウエハヘッドから受容する機能と、
これらを洗浄する機能と、最後にこれらを搬送装置30
へと移送して戻す機能とである。またこれは、ウエハが
搬出された後にウエハヘッドを洗浄する。
【0042】2つの中間洗浄ステーション80a及び8
0bが、ポリシングステーション50a、50b及び5
0cの中の隣接し合う同士の間に配置され、第3の中間
洗浄ステーション80cが、末端のポリシングステーシ
ョン50cと移送ステーション70との間に配置され
る。これらにより、ウエハ40がポリシングステーショ
ンからポリシングステーションへそして移送ステーショ
ン70へと通過する間に、ウエハをリンスし、同様に、
ウエハ40のバフ処理を効率よく行ってもよい。
【0043】回転マルチヘッドカルーセル90は、4つ
のウエハヘッドシステム100a、100b、100c
及び100dを有しており、これらは、ウエハを受容し
て保持し、そして、これらを、ポリシングステーション
50a、50b及び50cのそれぞれのプラーテン52
上に保持されるポリシングパッドそれぞれに対して押圧
することにより、研磨がなされる。カルーセル90は、
アームが取り外されているため十字形であり、静止して
いる、中心ポスト902上で支持され、ベース22内部
に配置されたモーター組立体によって、カルーセル軸の
周りに回転する。
【0044】この本発明に従った構成では、4つのウエ
ハヘッドシステム100a、100b、100c及び1
00dは個々に、カルーセル支持板906の上に、カル
ーセル軸904の周りに等角度の間隔で、取り付けられ
ている。中心ポスト902は、カルーセル支持板906
をその中心に支持し、カルーセルモーターによって、カ
ルーセル支持板906と、ウエハヘッドシステム100
a、100b、100c及び100dと、これに付随す
るウエハとを、カルーセル軸904の周りに回転させ
る。
【0045】ウエハヘッドシステム100a、100
b、100c及び100dのそれぞれは、ウエハヘッド
110を有しており、これは、シャフトによってこれに
接続されたヘッド回転モーター1002により、自身の
軸の周りに回転する。ヘッド110は、それ専用のヘッ
ド回転モーター1002(図2には、カルーセルクオー
ターカバー908の1つを取り外して示されている)に
よる駆動を受けて回転することが可能であり、また、更
に、カルーセル支持板906に形成されたスロット91
0の中を放射方向に、別個独立して往復運動をすること
が可能である。ウエハヘッド110の底部に付いている
ウエハの昇降がウエハヘッドシステム100の中で行わ
れる。カルーセルシステム全体の利点は、ウエハヘッド
がウエハを受容して研磨及び洗浄のためにウエハを配置
させるために要する垂直ストロークがほとんど必要ない
ことである。垂直ストロークがほとんど必要ないので、
この垂直ストロークは、ウエハヘッド110の一番端で
最下部部材の中に収めることができる。入力制御信号に
より、ウエハ受容リセスを含むウエハヘッド下部部材と
静止しているウエハヘッド上部部材との間の相対運動
が、入力制御信号(例えば、空気圧、水力や電気信号)
に従って生じる。
【0046】実際の研磨中に、これらウエハヘッドシス
テムのうち3つ(例えば100a、100b及び100
c)のウエハヘッド110が、ポリシングステーション
50a、50b及び50cのそれぞれのところの上方に
配置され、ポリシングステーションのそれぞれはポリシ
ングパッド54を支持する別個独立の回転プラーテン5
2を有しており、ポリシングパッドの表面は、ウエハ4
0の研磨のための媒体として作用する研磨スラリでウェ
ットな状態になっている。ポリシングの最中は、ウエハ
ヘッドシステム100a、100b及び100cは別個
独立に、カルーセル90のそれぞれの半径に沿って往復
運動をするため、それぞれのウエハヘッド110がそれ
ぞれのポリシングパッド54の直径に沿って別個独立に
往復運動をする。典型的なプロセスでは、ウエハヘッド
110の掃引軸が、ポリシングパッド54の中心に調心
される。
【0047】使用に際しては、ウエハヘッド110、例
えば第4のウエハヘッドシステム110dは、最初は、
ウエハ移送ステーション70の上方に配置されている。
カルーセル90が回転したとき、ウエハヘッドシステム
100a、100b、100c及び100dを別個に、
ポリシングステーション50a、50b及び50c並び
に移送ステーション70の上方に配置させる。カルーセ
ル90により、ウエハヘッドシステム100のそれぞれ
が、先ず、移送ステーション70の上方に順に配置され
た後、ポリシングステーション50の1つ以上上方に配
置され、そして、移送ステーション70に戻される。
【0048】ポリシングステーション54のそれぞれ
は、パッドコンディショナー装置60の1つにより、連
続的又は間欠的にコンディショニング(調整)を受け、
パッドコンディショナー装置のそれぞれは、コンディシ
ョナーアーム62に取り付けられた別個独立して回転す
るコンディショナーヘッド64を有している。摩耗コン
ディショニングパッド又は同様のコンディショニング面
を、コンディショナーヘッド64の底部に有しているこ
とが必要である。アーム62は、ポリシングパッド54
の中心と周縁の間の往復運動により、それぞれのポリシ
ングパッド全面を、コンディショナーヘッド64に掃引
させる。コンディショナーヘッド64は、パッド54に
押圧されパッドを摩耗しコンディショニングを行い、そ
の後、回転中にウエハ40を押圧して、効果的にポリシ
ングを行う。
【0049】図1に示されるウエハ搬送システム30で
は、先ずカセット42が保持ステーション32から、脱
イオン水等の液体浴302でカセット42及びウエハ4
0が浸るレベルまで満たされた保持タブ34に移送され
る。そして、研磨しようとするウエハそれぞれが、タブ
34の中のウエハカセット42から引き出されて、ポリ
シング装置20へと移される。オーバーヘッドトラック
36から懸下する、回転可能で伸張可能な下降アーム3
5は、その遠端でリスト組立体37を有しており、リス
ト組立体は、ウエハブレード38とカセット爪39とを
有している。カセット爪39は、保持ステーション32
とタブ34の間でカセット42を移動させることがで
き、ウエハブレード38は、タブ34中のカセット42
と移送ステーション70との間でウエハ40を移動させ
向きを変えることができる。図1及びその他の図では、
保持ステーション32が、移送70から離れて機械ベー
ス22の側方に配置されるよう図示しているが、このよ
うな例示は、明確にするだけのための構成である。実際
は、機械ベース22の移送ステーション70を保持する
コーナーは、その他のコーナーに対して引かれている。
従って、保持ステーション32は、機械ベース22の中
の移送ステーション70のコーナーでもっと広い領域に
配置される方が有利である。
【0050】(概説的なポリシングプロセス)上記で概
要を説明した装置は、様々なタイプのポリシングのシー
ケンスに用いることができる。ここで、インラインプロ
セスと、マルチステッププロセスと、バッチプロセスの
3つが、主要なプロセスである。
【0051】インラインプロセスでは、ポリシングの操
作を、別々のポリシングステーション50での多数のス
テップに分け、これらステップは、実質的に等価であ
る。最も単純なケースでは、同じタイプのポリシングパ
ッドと同じスラリが、3つのポリシングステーション5
0a、50b及び50cで用いられる。下記に詳述する
が、ウエハヘッド110が、ウエハを順に各ポリシング
ステーションへ運び、それぞれのポリシングステーショ
ンでは、ポリシングの全体の3分の1が行われる。
【0052】ポリシングの操作が完全に完結する前にパ
ッドのコンディショニングを行う必要がある場合に、イ
ンラインポリシングシステムを用いる動機が生じる。ポ
リシングパッドは、ポリシングの間にグレージングする
傾向がある。図3のグラフに模式的に例示するように、
ポリシングの除去速度は、新しいパッドやコンディショ
ニングを行ったばかりのパッドでは高いレベルから始ま
るが、パッドについての累積ポリシング時間と共に除去
速度は低下する。高いスループットを実現するために
は、除去速度が低すぎるレベルまで落ちる前に、パッド
のコンディショニングをしてやる必要がある。コンディ
ショニングとコンディショニングの間の間隔は、ポリシ
ングパッドと、ポリシングプロセスと、ウエハから除去
しようとする物質とにに依存する。CMPの重要な用途
の1つに二酸化珪素の平坦化があるが、この物質は非常
に硬く、半導体製造プロセスによっては、二酸化珪素2
μmを除去することが必要なものもある。この厚さが図
3の曲線が行き着く研磨時間に対応するのであれば、ポ
リシングの間にパッドを少なくとも1度はコンディショ
ニングしてやる必要がある。パッドのコンディショニン
グは、しばしば、ウエハをパッド及びウエハヘッドシス
テムから取り出して少なくともパッドの中心から離れる
ように移動させる必要が生じるため、パッドのコンディ
ショニングのため、ポリシング中にブレークを入れて、
ウエハを別の同等のポリシングステーションへ移動させ
てもよい。
【0053】更にインラインプロセスを用いる動機とな
るものは、移送ステーション70で行おうとする搬入出
及び洗浄が、プロセスのオーバーヘッド時間を構成する
ことにある。このオーバーヘッドが、ウエハヘッドがポ
リシングを行っていない場所に配置されている間になさ
れているならば、ポリシングのスループットが低下す
る。3つのポリシングステーション50a、50b及び
50c並びに移送ステーション70が、カルーセル90
の周りに均等に配置されているので、このオーバーヘッ
ドは、ウエハ3枚がポリシングを受けている間に、移送
ステーション70で行うことができる。このように、オ
ーバーヘッドを、ウエハをポリシングステーション同士
の間及びポリシングステーションと移送ステーションと
の間で移動させるに要する時間にまで、小さくすること
が可能である。
【0054】インラインプロセスの更なる利点は、均等
なポリシングステーション間にポリシングを分配するこ
とにより、特定のポリシングステーションでの不均一さ
が、別のポリシングステーションで平均かされるという
ことにある。
【0055】マルチステッププロセスでは、ポリシング
プロセスを多数の異なるプロセスに分割し、典型的に
は、徐々にポリシングを進めていく。例えば、第1のポ
リシングステーション50aでは、ウエハをラフにポリ
シングし、第2のポリシングステーションでは、細密な
ポリシングを行い、第3のポリシングステーションで
は、ウエハのバフ仕上を行う。バフ仕上は非常に微妙な
ポリシングであり、主に、緩く付いている異物を表面か
ら取り去るものである。ポリシングの強さは、スラリの
組成、パッド材料、その他のポリシングの因子によって
変ってくる。無論、本発明は、オーバーヘッドの低い、
一体化したマルチステップのプロセスを提供するもので
ある。しかし、マルチステッププロセスは、3つのポリ
シングのステップ全てが同時に行われる必要性がないた
め、内在的にスループットの問題を有している。通常
は、ラフなポリシングには、細密なポリシングやバフに
比べて、著しく多くの時間を必要とする。従って、シス
テムのスループットはラフなポリシングによって制限さ
れ、他の2つのポリシングステーションは長時間作動し
ないこともある。これと同様のスケジュール上の問題
が、別々のポリシングステーションを用いてポリシング
プロセスの別々のステップを行う場合にも存在し、例え
ば、前述のように、二酸化珪素のポリシングを行った後
メタル層のポリシングを行うような場合である。
【0056】バッチプロセスでは、それぞれのポリシン
グステーションで多数のウエハを完全にポリシングす
る。図1の装置では、3つのポリシングステーション5
0a、50b及び50cには、同じタイプのパッドが装
着され同じタイプのスラリが供給され、ウエハそれぞれ
のポリシングが1つのポリシングステーションで完結す
る。即ち、ポリシングされていないウエハが3つのポリ
シングステーションに同時に与えられる。バッチプロセ
スでは、移送ステーションの動作が高いオーバーヘッド
となるが、図1の装置では、処理しようとするウエハ1
枚に対して、ポリシングを続けながら、少なくとも、搬
入出及び洗浄が可能であり、これは、ポリシングが必然
的に中断した他の2枚のウエハに対しても同様の操作で
ある。
【0057】インラインプロセスと、マルチステッププ
ロセスと、バッチプロセスとの違いは、明確に決められ
るものではなく、これらの1つ以上の特徴を有するプロ
セスを選択してもよい。例えば2つのポリシングステー
ション50a及び50bを用いて、等価なインライン又
はバッチのポリシングを行い、第3のポリシングステー
ション50cでは、マルチステップの細密なポリシング
やバフを行ってもよい。後述するが、3つの中間洗浄ス
テーション80a、80b及び80cを用いて、簡単な
バフ、ウエハの洗浄、あるいは軽いポリシングのステッ
プを行うこともできる。この状況では、ポリシングステ
ーションのバッチ処理は、装置の高価な部品をより有効
に利用することが可能となる。
【0058】本発明は、オーバーセンターポリシングを
可能にするという利点を有しており、即ちウエハ40
が、回転するポリシングパッド54全面で掃引されるこ
とが可能になる。回転するウエハ40、回転するパッド
54又はこれらの組合わせを用いるポリシングでは、内
在的な非均一性が問題となる。即ち、図4に例示される
ように、ウエハ40とパッド54の双方は、それぞれの
中心40a及び54aの周りに回転する。ポリシングの
除去速度は通常ウエハ40とパッド54の相対速度に比
例し、物体の回転速度は半径に応じて増加する。従っ
て、回転するウエハ40の外側の部分は、内側の部分よ
りもより速くポリシングされるだろう。同様に、パッド
54の外側の部分は、パッドの内側の部分よりも、ウエ
ハを更に速くポリシングする。ウエハ40とパッド54
を2つの領域に分割することは、連続的な段階変化が生
じていることからみて、簡略化が過ぎる。このような内
在的な非均一性を低減するためには、ウエハ40のパッ
ド54への掃引のパターン及びタイミングを、1995
年6月30日出願の Tolles らによる米国特許出願S.
N.08/497362号に記載されるように、最適化
することができる。ウエハ40をパッドの中心54aか
らパッド中心54aと別の側の位置まで掃引する能力
は、最適化において別の自由度を与えるものである。オ
ーバーセンターポリシングの付加的な自由度は、商業的
に入手可能なウエハポリシングシステムでは、一般に手
に入れることができなかったものである。
【0059】インラインプロセスは重要なプロセスであ
るため、以下にその詳細を説明する。図5A、B、C、
D、E及びFは、6つの段階のシーケンスを示し、これ
ら段階の間では、カルーセル90が回転をしている。ま
ず、ウエハ(W)を挿入し、続いて、カルーセル90の
カルーセル支持板906に支持されたウエハヘッドシス
テム100a、100b、100c及び100dの移動
を継続させることから、ここでの説明を始める。
【0060】図5Aの第1段階に示されるように、第1
のウエハW#1が、搬送装置30から移送ステーション
70へと搬送され、移送ステーション70は、ウエハヘ
ッド110、例えばウエハヘッドシステム100aのウ
エハヘッドへ、ウエハを搬送する。そして、カルーセル
90を支持中心ポスト92に反時計方向に回転させ、図
5Bの第2段階で示す如く、第1のウエハヘッドシステ
ム100aとそのウエハW#1とを、第1のポリシング
ステーション50aの上方に配置させる。その配置で、
ポリシングステーション50aにより、ウエハW#1の
第1段階ポリシングが行われる。第1のポリシングステ
ーション50aが第1のウエハW#1のポリシングを行
っている間、第2のウエハW#2が搬送装置30から移
送ステーション70へと搬送され、そこから第2のウエ
ハヘッドシステム100bへと搬送され、ここに、移送
ステーション70の上方に配置される。
【0061】図5Bの第2段階が完結した後、カルーセ
ル90を再び反時計方向に回転させ、図5Cに示すよう
に、第1のウエハW#1が第2のポリシングステーショ
ン50bの上方に配置され且つ第2のウエハW#2が第
1のポリシングステーション50aの上方に配置される
ようになる。第3のウエハヘッドシステム100cを移
送ステーション70の上方に配置させ、移送ステーショ
ンから第3のウエハヘッドシステムは、搬送システム3
0からの第3のウエハW#3を受容する。図5Cの第3
段階の間は、ウエハW#1及びW#2の双方がステーシ
ョン50a及び50bのそれぞれで研磨される。図5D
に示すような第4の段階に入るに当たり、カルーセル9
0を再び反時計方向に90゜回転させ、ウエハW#1を
第3のポリシングステーション50cの上方に配置さ
せ、第2のウエハW#2を第2のポリシングステーショ
ン50bの上方に配置させ、第3のウエハW#3を第3
のポリシングステーション50cの上方に配置させ、他
方、第4のウエハW#4を搬送装置30から受容する。
第3の段階では、第1のウエハW#1が第3ステージの
ポリシングを受け、第2のウエハW#2が第2ステージ
のポリシングを受け、第3のウエハW#3が第1ステー
ジのポリシングを受けていたが、この第3の段階が完結
した後、カルーセル90を再び回転させる。しかし、ロ
ータリーカプリングを使用する必要を防止し、フレキシ
ブルな連続ラインを用いてカルーセル90への単純でフ
レキシブルな流体及び電気の接続を可能とするため、カ
ルーセル90を、反時計方向に90゜回転させるのでは
なく、時計方向に270゜回転させる。この等価な回転
は、図5Eに示すように第1のウエハW#1を移送ステ
ーション70の上方に配置させ、第2のウエハW#2を
第3のポリシングステーション50cの上方に配置さ
せ、第3のウエハW#3を第2のポリシングステーショ
ン50bの上方に配置させ、第4のウエハW#4を第1
のポリシングステーション50aの上方に配置させる。
他のウエハW#2、W#3及びW#4が研磨されている
間、第1のウエハW#1が移送ステーション70で洗浄
され、第1のウエハヘッドシステム100aから搬送装
置30へと搬送され、その後、カセット42の中のもと
の位置に戻され、また、第5のウエハW#5は、図5F
に示すように、第1のウエハヘッドシステム100aに
搬送される。この段階の後、反時計方向に90゜に回転
させるプロセスを反復する。
【0062】ここでの説明では、カルーセルを停止させ
てウエハヘッドを中間洗浄ステーションでのプラーテン
間に配置させて、ポリシングのステージ同士の間又はポ
リシングが終了した後、ウエハをリンスする、処理のシ
ーケンスを含んではいない。
【0063】ここでの説明では、マルチステップのポリ
シングシステム又は実質的に同様のポリシング工程を別
々のステーションで行うインラインシステムの、両方に
適用可能である。マルチステップのシステムでは、これ
ら多数のポリシングのステージでは、パッド構造体又は
スラリの組成を変化させる手段により、漸進的により細
密なポリシングとしていくか又は異なる層へポリシング
を進める。インラインプロセスでは、多数のポリシング
ステーションのそれぞれでは、同じウエハに対して実質
的に同様のポリシングを実質的に等しい時間行ってい
る。インラインプロセスには、搬入出に係るウエハ1枚
当たりのオーバーヘッド時間が、ポリシングステーショ
ンを多数有することにより減少するという利点がある。
また、1つのポリシングステーションから導入されるポ
リシングの非均一性が、他のポリシングステーションに
より平均化されるだろう。
【0064】図5A、5B、5C及び5Dは、更に、図
5Dの配置と5Eの配置の間でのカルーセル90の動き
の詳細を示す。図6Aでは、並置されたパッド54及び
プラーテン52が回転して、第2、第3及び第4のウエ
ハW#2、W#3及びW#4がポリシングを受け、他
方、第1のウエハW#1が移送ステーション70で洗浄
される。図6Bでは、第1のウエハW#1が、もとのカ
セット42に戻され、図6Cでは、第5のウエハW#5
が、カセット42から移送ステーション70へと搬出さ
れそこで洗浄される。これら全ての間、他の3つのウエ
ハW#2、W#3及びW#4のポリシングは継続してい
る。図6Dでは、カルーセル90を約45゜回転し、第
2、第3及び第4のウエハW#2、W#3及びW#4
が、順に、中間洗浄ステーション80c、80b及び8
0aのそれぞれ上方に置かれる。以下に更に詳細を説明
するプロセスにおいては、ウエハヘッドシステム100
b、100c及び100dが、それぞれ関連する洗浄ス
テーション80a、80b及び80cのぞれぞれの上方
にあるウエハをステップ状に回転させて、残留している
スラリ及び前のポリシングステーション50aからの堆
積物をリンスして、次のポリシングステーション50を
汚染させないようにする。更に、別の洗浄ステーション
80を移送ステーション70と第1のポリシングステー
ション50aの間に配置させて、ポリシングに先立ちウ
エハをリンスしてもよい。この予備的なリンスは、中間
洗浄ステーション80a及び80bによって費やされた
オーバーヘッド時間を付加せずとも実施することが可能
である。リンスの後、次のカルーセルの45゜の回転操
作を行い、ポリシングを継続する。
【0065】以下に、種々のサブシステムについて、詳
細な説明をしていく。
【0066】(カルーセル)図7は、クオーターカバー
908を取り外した状態の、カルーセルの分解図であ
る。中心ポスト902は、大型で肉厚(約2-8/3”(6
cm))のカルーセル支持板906(好ましくはアルミ
ニウム製)を支持する。カルーセル支持板906及びほ
とんどのカルーセル90の構造物は、4ヘッドの構成の
ため90゜の等間隔で固定された4つのアームの十字形
の形態で配置されている。カルーセル支持板906は、
放射方向に伸び90゜の間隔で配向された、端部が開い
たスロット910を4つを有している。図2では、この
代りに、これに近接に関係する端部が閉じたスロット9
48を有する下カバーが示される。上面が図8に、側部
断面が図9に示されるように、カルーセルヘッド支持板
906の上部が、4つ1組のスロット付きウエハヘッド
支持スライドを支持する。スライド908は、カルーセ
ル支持板906のスロット910のそれぞれと調心され
且つこれに沿ってスライドし、カルーセル支持板906
の中心に対して放射状に自由に移動する。スライド90
8のそれぞれは、リニアベアリング組立体912に支持
され、リニアベアリング組立体の2つがスロット906
を挟んでいる。リニアベアリング組立体912のそれぞ
れは、図9の断面図に示されるように、カルーセル支持
板906に固定されたレール914と、2つのリニアガ
イド916(各サイドでは1つだけが例示される)とを
有し、ボールベアリング917がレール914のグルー
ブとガイド916との間をローリングする。特に区別し
て図示してはいないが、2つのリニアガイド916はそ
れぞれのレール914と合うようにされ、これらの間で
の自由でスムーズな移動を与える。リニアベアリング組
立体912は、スライド908を始めとする取り付けら
れるもの全てに対し、カルーセル支持板のスラリロボッ
トと910に沿った自由な運動を与える。図8の上面平
面図に示されるように、ベアリングストップ917がレ
ール914の1つの外側端部に装着され、ベアリングレ
ール914の端部からスライドが抜け落ちることを防止
するセーフガードとして機能する。
【0067】図8の上面平面図と図9の断面図に示され
るように、スライダ908の一方のサイドには、スライ
ド908の中間端部に固定される再循環ボールねじ切り
受容キャビティ(又はナット)(例示せず)を有してい
る。このねじ切りキャビティ又はナットは、モーター9
20により駆動される親ねじ918を受容し、このモー
ターは、カルーセル支持板906に装着されるスイープ
モーターである。親ねじ918を回転させて、スライド
908を放射方向に移動させる。4つのスイープモータ
ー920は、図8の上面図に例示されるように、独立し
て作動可能であり、これにより、4つのスライド908
をカルーセル支持板906のスロット910に沿って、
それぞれ別々に移動させる事が可能となる。
【0068】図8の左下に例示されるように、スライド
908のそれぞれの側部には、光学位置センサーが取り
付けられている。水平方向に伸張するフィン926を有
する位置フラグ924が、スライド908のそれぞれの
ウォーム側に取り付けられている。光学位置センサー9
28が位置フラグ924と共働して、スイープモーター
920の中心位置の検知を与える。センサー928は、
フィン926がセンサー928のトリガーギャップを通
過できるような高さでカルーセル支持ベース906に固
定される。更に、これはスロット910に沿った位置で
固定され、また、フィン926が光学センサー928の
トリガーギャップを行程の半分で塞ぐような長さ、例え
ば、中心から最内の位置までで、中心から最外位置まで
を塞がないような、長さを有している。中心での移行
が、システムの検量を行う。スライド位置は名目上、ス
ライドモーター920への入力又はこれに取り付けられ
たエンコーダーによりモニタされるが、これは間接的な
方法であり、誤差が蓄積する。光学位置センサーは、電
子的な位置のモニタの検量を行い、また、電力の目減り
や同様の機械制御の損失があった場合に、スライド位置
を決定するに特に有用である。リカバーの段階では、光
学信号の存在又は不存在は直ちに、中心検量点を通過す
るに要する移動の方向を指示する。この光学センサーの
詳細は、本発明のポリシングシステムに用いてオーバー
シュートに対すてセーフガードし、再検量、特に電力損
失の場合の再検量を可能にする、多数の光学センサーの
うち1つだけについて与えられている。このようなセン
サーは、システムの可動部品であってその絶対位置が重
要な部品のだいたいどれにも付いている。
【0069】図7の斜視図及び図9の断面図に示される
ように、4つのスライド908のそれぞれに、ウエハヘ
ッド組立体100がそれぞれ固定され、これらのそれぞ
れは、ウエハヘッド110と、ウエハヘッドモーター1
012と、ヘッド回転駆動シャフト1014と、これら
2つを接続する包囲非回転シャフトハウジング1015
とを有しており、その他の部品は後述する。ウエハヘッ
ド組立体110のそれぞれは、ポリシング装置20から
離れるように組み立てられてもよく、カルーセル支持体
906のスリット910内に緊密でない状態で、スライ
ド908のアーム間及びレール上をスライドしてもよ
く、また、緊密となりスライド908を把持してもよ
い。
【0070】(ウエハヘッド)本発明には、様々なタイ
プのウエハヘッドのいずれをも用いることができ、例え
ば、その中の1つがShendon による米国特許第5,20
5,082号に、記載されている。
【0071】(ダイヤモンドウエハヘッド)もう1つの
模範的なヘッド110が、図9の底部に断面で模式的に
例示されており、これは広くダイヤモンドヘッドと称さ
れ、同時に出願された、 Zuniga による標題「ケミカル
メカニカルポリシング装置のキャリアヘッドのデザイ
ン」の米国特許出願通し番号___(AMAT Doc
ket#1129)に記載されるものである。このヘッ
ド110は、下向きに面する略円筒状のボール部材11
10と、ボール部材1110の中心キャビティの中にフ
ィットするフローター部材1112とを有している。フ
ローター部材1112は、下面に、保持リング1116
で包囲される受容リセス1115を有し、リセス111
4を画成し、ポリシングしようとするウエハ40がこの
リセス1114の中にフィットする。保持リング111
6は、例示の如くフローター部材1112に固定されて
もよく、あるいは、弾性接続部を介してフローター部材
1112又はボール部材1110にフレキシブルに接続
されてもよく、この弾性接続部は、保持リング1116
を強制してポリシングパッド54の研磨面と接触させる
傾向を有する。また、保持リング1116は、ポリシン
グの間にウエハがウエハヘッド110の下から側路へ滑
り落ちることを防止する。構成の1つでは、中心シャフ
トブッシング組立体1118により、フローター部材1
112のボール部材1110への調心が保持される。ウ
エハ受容部とヘッドのその他の部分との調心がうまくい
かないことが、過去には問題となっていた。フローター
部材1112の頂部の中心開口の中にフィットするブッ
シング1120が、ボール部材1110の頂部から下向
きに伸びる中心シャフト1130を受容し、ボール部材
1110とフローター部材1112の水平方向の調心を
維持しつつこれらの垂直方向の動きを可能とする。
【0072】フレキシブルなシールが、フローター部材
1112をウエハヘッド110のボール部材1110に
接続させる。このようなシールにより、ボール部材11
10とフローター部材1112の間の自由な相対的垂直
運動を可能としたまま、流体緊密キャビティ1132が
フローター部材1112の裏側に形成される。また、こ
のシールを用いることにより、ボール部材1110とフ
ローター部材1112の間に、これらをおよそ外周に調
心することを保持するための外周トルクが与えられる。
フレキシブルなシールの一例は、ローリングシール11
34であり、これは概説的には、ボール部材1110と
フローター部材1112が相対的に運動するとき、ヘッ
ド110のボール部材1110の内側とフローター部材
1112との間をシールする、弾性材料の環状ストリッ
プを備えている。この運動では、隣接する部材を妨害せ
ずまたボール部材1110とフローター部材1112の
間に垂直力成分を加えずに、シールを維持しつつ、ロー
リングシール1134の弾性シールがロールする。
【0073】(3Cウエハヘッド)また別の模範的なヘ
ッド110’が図11及び12に断面図で表され、これ
は3Cヘッドと称され、1995年6月9日出願の Sh
endon らの米国特許08/488,921 号に開示され
ている。
【0074】図11に示されるように、3Cウエハヘッ
ド110’の内部構造の詳細が示されている。好ましく
は、ヘッド110’は、ボール部材1160を有してお
り、このボール部材1160は、下向きに面するリセス
1162を自身に有し、この中にキャリア板1164が
受容される。ヘッド110’をヘッド駆動シャフト10
14に接続するためには、ボール部材1160は、上向
きに伸び外側にねじ切りがなされたボス1166を有
し、また、シャフト1014は盛り上がったボス116
6に対して終了している。カップ状の外周ナット116
8は、下向きに伸び内側にねじ切りを有するリップ11
70と、ナット1170に中心リセス1172とを有
し、ヘッド駆動シャフト1014をボール部材1160
に固定する。シャフト1014の端部は、ナットリセス
1172を介して伸張し、シャフト端部がナットボア1
172の中まで伸びた後にシャフト1014の端部に隣
接して配置されるスナップリングボアの中に、スナップ
リング1174が配置される。スナップリング1174
は、シャフト1014がナットボア1172から引き込
められることを防止する。そして、リップ1170をボ
ス1166のねじ切り外面にねじ止めしてカップ状の外
周ナット1168をボス1166に止めることにより、
スナップリング1174をカップ状外周ナット1168
とボール部材1160との間に捕捉する。ヘッド駆動シ
ャフト1014及びボール部材1160を回転させてロ
ックするために、シャフト1014は、自身の下端の内
側に伸張するキーウェイ1176を有しており、また、
ボス1166も、シャフト1014が外周ナット117
0に受容されたときシャフトのキーウェイ1176と調
心するキーウェイ1178を有している。キーが、1つ
のキーウェイ1176及び1178の間に伸びる。ある
いは、ピン1180をボール部材1160のボス116
6及びヘッド駆動シャフト1014のそれぞれの穴に挿
入してもよい。
【0075】ボール部材1160は、実質的に垂直に固
定され、回転方向の運動が可能な参照面を与え、この参
照面からウエハ40が研磨面に搬送される。図11に例
示される好ましい具体例では、1次的な上バイアスチャ
ンバ1182と2次的な下バイアスチャンバ1184と
を用いて、ボール部材1160により与えられる参照面
に対して垂直方向に、キャリア板1164を選択的に配
置させることにより、基板の搬送が行われる。好ましく
は、中心リセス1162は、ボール部材1160の境界
の内部を画成し、好ましいグルーブではこのボール部材
1160は、上側の水平に伸びる板状部分1186と、
下向きに伸びるリム1188とを有するワンピースの部
材である。キャリア板1164は、リセス1162の中
に受容され、そこから伸びて、研磨面に対するように、
受容した基板を配置させる。
【0076】リセス1162のキャリア板1164を選
択的に配置させる事を可能とするため、1次的なバイア
スチャンバ1182は、上側板1186とキャリア板1
164上面との間に伸びるベローズ1190を有してい
る。このようなベローズ1190は、ボール部材116
0の上側板1186とキャリア板684との接続部でシ
ールをし、また、これらの接続部は、ボール部材116
0から分離せずに懸下しているキャリア板1164の質
量を支持するに十分な強度を有している。好ましくは、
ベローズキャビティ1192が着脱可能なベローズイン
サート1194の中に形成されており、このベローズイ
ンサートは、上ベローズ板1196と下ベローズ板11
98とを有し、ベローズ1190はこれらの間に伸びて
いる。ベローズ1190は、プラーテン1196及び1
198に装着、着脱可能なベローズインサート1194
を形成する。ベローズインサート11され94をボール
部材1160に装着し、また、キャリア板に装着するた
めには、複数のボルト(図示せず)が下ベローズ板11
98のリムを貫通してキャリア板1164の上部にまで
達し、また、複数のボルト(図示せず)がボール部材1
160の板状部分を貫通して上ベローズ板1196のね
じ穴にまで達している。
【0077】ウエハヘッド110’の2次的な搬送組立
体1184は、キャリア板684の中に形成されるバウ
チャンバ9102を有している。バウチャンバ9102
はシール可能なキャビティであり、これは、薄い略平坦
な膜9104を有しており、この膜に対して、共形とな
る材料9106、例えばポリシングパッド材料の1ピー
ス等が配置され、ウエハの表面に対して共形な基板受容
面を形成する。
【0078】ヘッド110’を用いて基板を研磨するた
めには、平坦な下面9104をカバーする材料9104
に対して基板を搬送する。そして、ヘッド110’を、
ポリシングパッド54の1つの上に配置させ、ベローズ
キャビティ1192に圧力を与えて拡げ、キャリア板1
164に対して研磨面に方へのバイアスを与えて、これ
に対して基板を搬送する。基板の中心とエッジでの圧力
を変化させるために、バウチャンバ9102は空気圧に
より加圧される。正圧をかければ平坦な膜9104を外
向きに変形させ、また、平坦面の中心が最も外向きに伸
びて凸型となり、基板と基板中心近くの研磨面との間へ
の搬送を進める。他方、負の空気圧では、凹型を作るだ
ろう。
【0079】また、図11に示されているように、ヘッ
ド110’は、リテーナーリング9110を有している
ことが好ましく、これは、ポリシングの間に、研磨面と
接触するまで伸張し、また、逆に、ヘッド110’の内
向き且つ上向きに縮んでしまわれる。このヘッド11
0’の具体例では、リング9110は平坦なベースTを
有する環状部材であり、このベースの上には、交換可能
なコンタクトリング9114が固定され、また更に、外
向きに伸びる環状レッジ部分9116を有している。ボ
ール部材1160は、内向きに伸びる環状レッジ911
8を有しており、これは、リテーナーリング9110の
外向き伸張レッジ部分9116の表面の下まで伸びてい
る。リテーナーリング9110をボール部材1160の
リセス1162の中に固定するために、複数の圧縮ばね
9120が、外向きレッジ9116の下面と内向きレッ
ジ9118との間に伸びている。これらのばねは、リテ
ーナーリセス9110に対して、ボール部材1160の
内向き且つ上向きに、連続してバイアスを与える。リテ
ーナーリセス9110を下向きに突出してボール部材1
160から外に出すため、また、この突出しの長さを変
化させ制御するために、膨張可能なドーナツ状のブラダ
9122が、リテーナーリング9110の外向き伸張レ
ッジ9116と、リテーナーリング9110の全周の周
りのボール部材1160の中レッジ9124の下面との
間に伸びている。図8に示されるように、タイヤチュー
ブのステムと同様の構造体を介してブラダが排気される
ときは、リテーナーリング9110は、ヘッドの内側且
つ上向きに収縮する。ブラダ1188が正圧を受けれ
ば、リテーナーリング9110の底部は、図12に示さ
れるように、ヘッド110’から下向きに伸びる。ブラ
ダ1188は、ゴム又はメタルの環状ベローズ2つであ
ってこれらの間に環状のキャビティを画成する2つの環
状ベローズと、交換してもよい。
【0080】図11は、垂直通路9130、9132、
9134、9136及び9138を示しており、これら
は、駆動シャフト1014に沿って伸び、ヘッド11
0’内の様々な通路にシールして接続し、ヘッドの部品
への真空、空気圧又は流体を、選択的に供給する。垂直
通路9130は、側方通路9140及び垂直通路914
2を介して、ブラダ9122へと接続される。垂直通路
9132は、側方通路9144を介して、ベローズイン
サート1182とリテーナーリング9110の間の領域
に接続される。垂直通路9134は、通路9146を介
してベローズキャビティ1192に接続される。垂直通
路9136は、通路9148を介してベローズチャンバ
9102へと接続される。垂直通路938は、側方通路
9150及び垂直通路9152を介して、膜9104の
底面9106のポート9154へと接続され、ヘッド1
10’がウエハを選択的に保持及び解放できるようにし
ている。
【0081】(3C3ウエハヘッド)図12Aは、ウエ
ハヘッドの別の具体例を示しており、これは、図11及
び12のウエハヘッド110’の変形であり、3C3ヘ
ッドと称される。3C3ウエハヘッド110”は、主要
な3つの組立体を備えている。ベース組立体9202
と、ハウジング組立体9204と、リテーナーリング組
立体9206である。ベローズシステム9208は、ハ
ウジング組立体9204と、ベース組立体9202及び
リテーナーリング組立体9206との間に配置される。
これらの組立体の詳細を、以下に説明する。
【0082】ベース組立体9202は、ウエハ40に負
荷を与える。即ち、ウエハ40をポリシングパッド54
に強制する。ベース組立体9202は、ハウジング組立
体9204に対して垂直に移動して、ポリシングパッド
への又はポリシングパッドからのウエハの運搬を可能に
する。ベローズシステム9208がハウジング組立体9
204をベローズ組立体9202に接続し、これらの間
に環状の1次的な圧力チャンバ9210を形成する。流
体、好ましくはエアがポンプにより1次圧力チャンバ9
210へ流入及び流出して、ウエハ40上の負荷を調節
する。エアが1次圧力チャンバ9210にポンプで送ら
れたときは、チャンバ内の圧力が上昇し、ベース組立体
9202が下向きに押される。
【0083】また、ベローズシステム9208は、ハウ
ジング組立体9204をリテーナーリング組立体920
6に接続して、環状の2次的な圧力チャンバ9212を
形成する。流体、好ましくはエアがポンプにより2次圧
力チャンバ9212へ流入及び流出して、リテーナーリ
ング組立体9206上の負荷を調節する。
【0084】下記に説明するように、ハウジング組立体
9204が駆動シャフト1084に接続され、これによ
って回転される。ハウジング組立体9204が回転して
いるときは、ベローズシステム9208は、トルクをハ
ウジング組立体9204からベース組立体及びリテーナ
ーリング組立体9206へと伝達し、これらを回転させ
る。
【0085】ベース組立体9202は、ほぼ平坦な底面
を有するディスク状のキャリアベース9214を有して
おり、この底面がウエハ40と接触してもよい。キャリ
アベース9214の上面710は、略平坦な環状領域9
222で囲まれた、中心に配置される円形の窪み922
0を有している。環状領域9222自身は、リム922
4で囲まれている。数本の垂直導管9226が、ウエハ
ヘッド110”の中心軸9228の周りに均等に配置さ
れており、これらは、底面9216からキャリアベース
9214の中を通って、中心円形窪み9220へと伸び
ている。
【0086】略平坦な環状板9230の大部分が、環状
板9230の外エッジがキャリアベース9214のリム
9224と隣接するように、キャリアベース9214の
環状領域9222の上に置かれる。環状板9230の内
側部分9232が、中心円形窪み9220の上に突き出
ている。環状板9230は、環状板9230の中の通路
の中を伸びてキャリアベース9214のねじ切りリセス
に係合する、ボルト9234によって、キャリアベース
9214に取り付けられてもよい。
【0087】ストップシリンダー9240が、環状板9
230の中心開口9238に装着される。ストップシリ
ンダー9240は、管状の本体9242と、放射方向外
側に突き出る下フランジ9244と、放射方向内側に突
き出る上フランジ9246とを有している。下フランジ
9244は、環状板9230の内エッジのところでリッ
プ9248と係合して、ストップシリンダー9240を
環状板9230の上方に支持する。ストップシリンダー
9240の下フランジ9244とキャリアベース921
0の円形中心窪み9216と環状板9230の内側部分
9232との間のギャップは、ベース組立体9202に
中心キャビティ9250を形成する。中心チャンネル9
252が、下フランジ9244から管状本体9242の
中を通って上フランジ9246へと垂直に伸びて、中心
キャビティ9250及び垂直導管への流体のアクセスを
与える。
【0088】ハウジング組立体9204は、自身の上部
にディスク状のキャリアハウジング9260を有してい
る。キャリアハウジング9260の底面は、円筒状キャ
ビティ9262を有している。また、この底面は内側環
状面9264と、外側環状面9266とを有し、これら
は、下向きに突き出るリッジ9268によって隔てられ
る。キャリアハウジング9260の上面は、ねじ切りネ
ック9274を有する円筒状ハブ9270を有してお
り、このねじ切りネックは、上向きに面する中環状領域
9272の上方に突き出る。緩いスロープを有する部分
9276が、中環状部分9272を包囲し、スロープ部
分9276はレッジ9278に包囲される。
【0089】また、ハウジング組立体9204は、キャ
リアハウジング9260の下側に、環状の内側板928
0と環状の外側板9282とを有している。内側板92
80は、ボルト1組により、キャリアハウジング926
0の底部の内側環状面9264に装着され、外側板92
82は、ボルト1組により、外側環状面9266に装着
されている。内側板9280の外エッジは、キャリアハ
ウジング9260のリッジ9268に隣接する。内側板
9280の内エッジは、円筒状キャビティ9262の下
まで水平に突き出て、内向きに細くなるリップ9290
を形成し、このリップは自身とシリンダー9240との
間の開口9292によって包囲される。円筒キャビティ
9262の上部は、天井部9294によって閉じられて
いる。ベローズ0スラリ組立体9202のストップシリ
ンダー9240は、開口9292の中を通って円筒キャ
ビティ9262の中にまで伸び、また、その上フランジ
9246は水平方向にリップ9290の上方にまで突き
出る。
【0090】ハウジング組立体9204には、幾つかの
導管9204があって、ウエハヘッド110”へ流体を
流入出させる。第1の導管9300は、内側板9280
の底面からキャリアハウジング9260(及び図示しな
い通路)の中を通って、ハブ9270の上部へと伸張す
る。第2の導管9302は、円筒キャビティ762から
キャリアハウジング9260を通ってハブ9270の上
部へと伸張する。第3の導管9304は、外側板928
2の底面からキャリアハウジング9260の中を通っ
て、ハブ9270の上部へと伸張する。ハブ9270の
上面及び底面に設置されたOリング9306が、これら
導管それぞれを囲み、これらに隣接する部材に対しての
シールを与える。
【0091】ウエハヘッド110”を駆動シャフト10
84へ取り付けるには、2つのドエルピン(図示せず)
をドエルピン穴(図示せず)内に配置して、ウエハヘッ
ドを持ち上げることによって、行ってもよく、こうすれ
ば、これらドエルピンが、駆動シャフト1084aの対
になったドエルピン穴(図示せず)にフィットする。こ
の方法では、駆動シャフト1084の斜めの通路を、導
管9300、9302及び9304に調心する。そし
て、ねじ切り周縁ナット1068を、ねじ切りネック9
274にねじ止めして、ウエハヘッド110”を駆動シ
ャフト1084に緊密にしっかりと取り付けることがで
きる。
【0092】ベローズシステム9208は、ベース組立
体9202とハウジング組立体9204の間の空間に同
心状に配置される数個のメタルベローズを有している。
各ベローズは、膨張して垂直に接触することができる。
内ベローズ9310は、内側板9280の内エッジをス
トップシリンダー9240の下フランジ9244に接続
させて、上中心キャビティ9262及び中心チャンネル
9252を、1次圧力チャンバ9210からシールす
る。ポンプ(図示せず)がエアを、第2の導管9302
を介して、垂直導管9226、上中心キャビティ926
2、中心チャンネル9252及び下中心キャビティ92
50と流入出させ、ウエハをウエハヘッド110”に真
空チャックし又はウエハヘッド110”から圧力解放す
る。
【0093】外ベローズ9312により、内側板928
0の外エッジが環状板9230に接続する。同心状に配
置される内側のベローズ9310と外側ベローズ931
2戸の間のリング状の空間が、1次的な圧力チャンバ9
210を形成する。ポンプ(図示せず)により、第1の
導管9300を介して1次的圧力チャンバ9210にエ
アを流入出させて、1次的圧力チャンバ9210内の圧
力を調整し、即ち、ヘッド110”がウエハ40に作用
させる負荷を調節する。
【0094】1次的圧力チャンバ9210が膨らみ、ベ
ース組立体9202がハウジング組立体9204に対し
て下方向に移動するとき、メタルベローズ9310及び
9312が伸びて、環状板9230と内側板9280と
の間の距離の増加分に適合する。しかし、ストップシリ
ンダー9240のフランジ9246がハウジング組立体
9204のリップ9290を捕まえて、ベース組立体の
下向きの動きを停止させ、ベローズが伸張しすぎて壊れ
ることのないようにする。
【0095】リテーナーリング組立体9206は、内向
きに向く水平アーム9322と上向きに向く垂直アーム
とを有するL字型のリング支持体9320を有してい
る。水平アーム9322の上部には、バッキングリング
9330がボルト9332によって取り付けられてい
る。バッキングリング9330の外側部分9333が、
L字型リング支持体9320の垂直ウエハアーム932
4に隣接し、バッキングリング9330の内側部分がキ
ャリアベース9214のリム9224の上にまで水平に
突き出ている。フレキシブルなシール9335により、
リテーナーリング組立体9306がキャリアベース92
14に接続し、ウエハヘッドをスラリから保護する。シ
ール9335の外エッジが、バッキングリング9330
とL字型リング支持体9320の水平アーム9322と
の間に挟まれ、シール9335の内エッジが接着剤によ
りキャリアベース9214に取り付けられる。垂直に伸
張するフランジ9336が、L字型リング支持体932
0の垂直アーム9324の外側に取り付けられ、ウエハ
ヘッド110”の外壁を形成する。フランジ9336
は、上向きに伸びて、キャリアハウジング9260とほ
ぼ接触する。シール9338が、キャリアハウジング9
260のレッジ9278上に置かれ、垂直伸張フランジ
9336の上方にまで伸び、ウエハヘッド110”をス
ラリによる汚染から保護する。リテーナーリング934
0が、リセスボルト(図示せず)により、L字型リング
支持体9320の水平アーム822の底面に装着され
る。リテーナーリセス9340は、内側の下向き突起部
分9342を有しており、この突起部分は、ポリシング
パッド54と接触し、ウエハがベース組立体9202か
ら滑り落ちることを防止する。
【0096】第3の円筒ベローズ9314が、ハウジン
グ組立体9302の外側板9282の内エッジを、バッ
キングリング9330の内側部分9334に接続させ
る。第4の円筒ベローズ9316が、外側板9282の
外エッジをバッキングリング9330の外側部分933
3に接続させる。同心状に配置される第3のベローズ9
314と第4のベローズ9316との間のリング状の空
間が、2次的な圧力チャンバ9212を形成する。ポン
プ(図示せず)により、第3の導管9304を介して2
次的圧力チャンバ9212にエアを流入出させて、2次
的圧力チャンバ9212内の圧力を調整し、即ち、リテ
ーナーリング9340への下向きの圧力を調節する。1
次的チャンバ9210及び2次的チャンバ9212が独
立して加圧されるため、ベース組立体及びリテーナーリ
ングが、垂直方向に独立して作動することが可能であ
る。
【0097】(ウエハヘッドの装着)更に図10の拡大
断面図を参照し、図9のダイヤモンドウエハヘッド11
0を特に参照しつつ、説明をしていく。ここで説明の大
部分は図11の3Cウエハヘッド110’及びウエハ1
2Aの3C3ウエハヘッド110”に適用できる。ウエ
ハをポリシングする垂直ポリシング力は、ボール部材1
110及びフローター部材1112の間の流体緊密キャ
ビティ1132に送られる加圧流体によって与えられ
る。この加圧流体は、エアでも水でもよいが、ヘッド駆
動シャフト1014の第1の軸チャンネル1040(4
つのチャンネルの1つ)を介して、ウエハヘッドに供給
される。ロータリーモーター1012の上のシャフトの
上部のロータリーカップリング1042(後述する)
が、4つの流体ラインを回転シャフト1014のシャフ
トチャンネルへつなぐ。斜めの第1の通路1044が、
ヘッド駆動シャフト1014のシャフトフランジ104
6に形成され、第1のシャフトチャンネル1040を、
下向きに面するボール部材1110の上ハブ1150の
垂直通路1048に接続させる。垂直通路1148は、
ボール部材1110とフローター部材1112との間の
流体緊密キャビティに伸びて下がり、この中の圧力を制
御する。同様の斜めの通路1052及び垂直通路105
4により、第2のシャフトチャンネル1056がウエハ
ヘッド110の内部へ接続し、また、所望により残りの
2つのチャンネルに対して同様の部品が与えられる。空
孔シャフトチャンネル1040及び1056の底部に
は、プラグ1058が配置され、これらにシールして接
続する。シャフトフランジ1046の斜めの通路104
4及び1052と、ボール部材1110の垂直通路10
44及び1052の、それぞれの間にシールが配置さ
れ、この中に収容されている流体を閉じ込める。
【0098】駆動シャフト1014及びウエハヘッド1
10が一緒になる場合は、2つのドエルピンが、ボール
ハブ1050及びシャフトフランジ1046の1対のド
エル穴1062及び1064の中に配置され、シャフト
1014とボール部材1110、特に流体通路を、外周
調心する。ボールハブ1050の周縁1066にねじ切
りが施され、周縁ナット1068がここにねじ止めされ
る。周縁ナット1068はリップ1070を有してお
り、このリップ1070は、シャフトフランジ1046
の外径よりも小さく、駆動シャフト1014のフランジ
1046上部にフィットし、駆動シャフト1014を把
持してウエハヘッド110のボール部材1110に保持
させる。
【0099】数多くの目的のため、別個の流体接続部を
用いることができる。例えば、通路を利用して、(1)
真空源又は加圧ガス源をリセス1115につないで、そ
こでウエハがウエハヘッド110と接触するようになる
(これは図9の右側の構成であり、流体緊密キャビティ
1132を介して垂直通路1074へのスライドシール
1072を要する);(2)真空源又は加圧ガス源をウ
エハヘッド110のボール部材1110へつないで、ボ
ール部材1110からウエハヘッド110のフローター
部材1112の垂直伸張及び収縮を調節する(これは、
ヘッド110及び110’の両方の構成である);
(3)2つの通路(供給及び戻り)を用いて、冷却水を
ウエハヘッド110の中に循環させて、ウエハ温度を制
御する;そして、(4)ロータリーカップリング104
2がそれをすることができる場合は、電気ラインをチャ
ンネルの中に通し、例えば、ウエハヘッドのインドを測
定する。
【0100】ボール部材1110とフローター部材11
12の間のシールキャビティ1132に流体圧力を供給
することにより、ウエハヘッド110の下フローター部
材1112が、上固定ボール部材1110に対して垂直
に移動する。フローター部材1112とボール部材11
10の間のローリングシール1034の背面にエアを供
給することにより、フローター部材1112のリセス1
115に載置されたウエハ40を研磨するために、フロ
ーター部材1112が下がりポリシングパッド54に接
触する。同様に、ウエハ40を上昇させて次のポリシン
グステーション又は移送ステーションに移動させること
が望ましい場合は、真空をシールキャビティ1132に
供給して、ウエハ40を保持しているフローター部材1
112を上昇させる。
【0101】例示の如く、ボール部材1110の中での
フローター部材1112のストロークは、非常に小さ
く、0.2インチ(5mm)のオーダーであり、また、
これはウエハヘッドシステム100、カルーセル90又
はポリシングステーション50の垂直方向の運動のみで
ある。このように非常に短いストロークは、ウエハヘッ
ドの下端の中に容易に適合し、また空気圧により実現可
能である。この短いストロークの主な因子は、本発明の
ポリシングシステムにおいて、設計が簡単になり、製造
及び稼働コストが低減することである。
【0102】(ヘッドシールド板)ウエハヘッドシステ
ム100の全体のデザインでは、カルーセル支持板90
6のスロット910の中を通過し、また、スロット91
0の中を往復運動することが、要請される。ケミカルメ
カニカルポリシングは、ウェットで且つ粒子により増強
される操作である。ウエハヘッド110及びこれに対応
する部品は、ヘッド110の内側からポリシングの環境
を排除するように、慎重に設計されてきた。リニアベア
リング支持板906は、湿気及び塵に対して敏感である
ので、ポリシングの環境がそこを貫通して通過する事を
防止するように、ウエハヘッド組立体100がカルーセ
ル支持板906の中を通過するポイントの周囲のシール
を設計することが望ましい。以下に説明するスプラッシ
ュ板組立体が、このような機能を実現する。
【0103】図7に広く最も良く示されているように、
スプラッシュ板組立体940は、カルーセル支持板90
6の下側に取り付けられている。スプラッシュ板組立体
940により、研磨性を有し化学的に活性で、触れるも
の全てをスラリ又はアルカリ性残留物でコーティングす
る、ポリシングスラリが、マルチヘッドカルーセル組立
体90の上部分に近付いて望ましくない効果を生じさせ
ること(例えば、電気接続の短絡や露出したスライディ
ング面やローリングメタル面の汚染等)が防止される。
スプラッシュ板組立体940は、一連の移動スロットカ
バーを有しており、これらは、スロットスプラッシュガ
ードクロージャを、ウエハヘッドシステム100の放射
方向往復運動の範囲の中で与えるように構成される。こ
のクロージャは、スロット内の往復運動の長さよりも長
い水平突出しを用いずスロットのスプラッシュシールド
を与える、水平突出しによって完成される。
【0104】カルーセル支持板906の下側に取り付け
られたスプラッシュ板組立体940は、中心シールド板
942を有しており、この中心シールド板は、中心ポス
ト902への組立の前に、カルーセル支持板906の底
部側にねじ止めすることができる。カルーセル支持板9
06は更に、4つの外側シールド板944を有してお
り、この外側シールド板も、ウエハヘッドシステム10
0をカルーセル支持板906にフィットさせようとする
ときは、カルーセル支持板906の底部に、中心シール
ド板942と並置する突起シールとなるよう、ねじ止め
することができる。中心シールド板942及び外側シー
ルド板944の双方は、スロットの放射方向軸のそれぞ
れの中心線から外れる、方形で角が丸く細長いリセス9
46を有している。細長いく角の丸いスプラッシュスロ
ット948が、中心シールド板942及び外側シールド
板944の双方で、これらの接合部に形成される。図1
0に最も良く示されるように、中心シールド板942及
び外側シールド板944の双方が、スプラッシュスロッ
ト948に面しこれを囲む上伸張フランジ950を有す
るように形成されている。図14A〜14Cの平面図に
示されるように、スプラッシュスロット948の線軸
は、カルーセル支持板906の対応するスロット910
の軸のほぼ上にある。スプラッシュスロット948の丸
い部分は、これを貫通する非回転駆動シャフト1015
の外径よりも実質的に大きな内径を有しており、線形の
部分は、ウエハヘッドシステム100のそれぞれのの往
復運動の長さの範囲におよそマッチする長さを有してい
る。
【0105】図7に最も良く示されているように、D字
型スプラッシュフォロワー952は、凸型にカーブした
エッジを1つと、第2の実質的に真っ直ぐ又はほとんど
曲っておらず、互にスムーズに接合されるエッジとを有
している。スプラッシュフォロワー952は、そのカー
ブを有するエッジの近くに配置された円形穴954を有
している。以下に簡単に説明するが、駆動シャフトハウ
ジング1015がこの穴954に回転可能な状態でフィ
ットしており、ウエハヘッド110及びこれに付いてい
る駆動シャフトハウジング1015がカルーセル支持板
906のスロット910に沿って往復運動をすれば、D
字型スプラッシュフォロワー板952が回転することが
可能となる。図10に最も良く示されているが、D字型
板952はその外周全体に沿って下向きに面するフラン
ジ956を有している。中心スプラッシュ板942及び
外側スプラッシュ板944のフランジ950と、スプラ
ッシュフォロワー952のフランジ956とは、およそ
同じ長さを有しており、それぞれ、静止しているシール
ド部及び動いているシールド部のエッジに対し、上及び
下に面している。組み立ての際、フランジ950及び9
56並びに対向する部品942、944及び952のそ
れぞれの底部は、約0.064”(2.15mm)のギ
ャップによって隔てられている。フランジ950及び9
56がこのように曲がりくねった迷路のような経路を形
成することにより、スロット910の方へスプラッシュ
するスラリが、カルーセル支持板906のスラリ側から
スロット910を通ってキャリア組立体カバー908の
内側に配置されるモーター及びベアリングまで通過する
ことを防止する。
【0106】図10に最も良く示されるように、D字型
スプラッシュ板952のそれぞれは、シャフトハウジン
グ1015の外側に形成されたスプラッシュフランジ9
60に回転可能な状態で固定されている。周縁スカート
962は、駆動シャフトハウジング1015の下端にフ
ィットしておりまた上向き伸張部分964を有してお
り、この上向き伸張部分は、スプラッシュシールドベア
リング968の内側レースを駆動シャフトハウジング1
015のスプラッシュフランジ960に対して押圧する
レッジ966を有している。ベアリング968の外側レ
ースは、D字型スプラッシュフォロワー952の内向き
伸張フランジ970によって底部から緊密に把持され、
また、2つ以上の部材のカラー組立体972により、あ
るいは、スプラッシュフォロワー952のフランジ97
0にベアリングを把持させるねじ974により、上部か
ら緊密に把持される。カラー972は、シャフトハウジ
ング1015上のスプラッシュシールドフランジ960
の上部とオーバーラップするが、接触はしない。
【0107】D字型スプラッシュフォロワー952は、
ベアリング968に取り付けられているため、しっかり
と固定されているがシャフトハウジング1015に対し
て自由に回転できるようになっている。D字型スプラッ
シュフォロワー952は、その上部に固定される垂直
(旋回)ピン976を有している。この垂直ピン976
は、自身の上端に取り付けられるローラーベアリング9
78を有しており、これは、カルーセル支持板906の
底部に形成された水平ガイドグルーブ980の中にガイ
ドされる。図8にその斜視図が、図14A〜14Cに平
面図が示されるように、旋回ピン976は、円形穴95
4とD型スプラッシュフォロワー952のの平坦エッジ
の間のスプラッシュフォロワー952の中間線上に配置
される。ローラーベアリング978の外側は、カルーセ
ル支持板906の底部の水平ガイドグルーブ980に乗
っており、この水平ガイドグルーブはカルーセル支持板
906の放射方向スロット910のところ又はほぼスロ
ット910のところまで伸びるが、これから角度がずれ
ている。好ましくは、ガイドグルーブ980は、放射方
向スロットに垂直である。
【0108】シャフト1014及びシャフトハウジング
1015がカルーセル支持板906の中を放射方向に往
復運動して、ウエハヘッド110を運動させるため、ス
プラッシュフォロワー952の中心穴954がシャフト
ハウジング1015を追いかける。また、この運動は、
スプラッシュフォロワー952上で旋回ピン976を動
かし、このピンの運動の方向は、カルーセル支持板90
6のガイドグルーブ980を進むため、垂直方向に制限
される。このため、スプラッシュフォロワー952は、
シャフトハウジング1015と旋回ピン976との間で
調心が維持されていれば、回転する。このように、シャ
フトハウジング1015の往復運動により、これに対応
して、D字型スプラッシュフォロワー952の往復運動
および部分的軌道運動を生じさせる。
【0109】D字型シールド板688の動きは、図14
A、14B及び14Cの上面図により理解することがで
きる。ウエハヘッド110がカルーセル支持板906の
スロット910に沿って最内の位置から最外の位置へと
動いたとき、即ち、駆動シャフトハウジング1015が
スロット910に沿って動いたとき、ガイドグルーブ9
80により、旋回ピンがスロット910に対してもっと
垂直となるように旋回ピンを制限し、そのため、スプラ
ッシュフォロワー952が、スロットの方向を進むた
め、駆動シャフトハウジング1015の周りを部分的に
軌道を描いて回る。
【0110】D字型スプラッシュフォロワー952は、
2つの地点の間、即ち駆動シャフトハウジング1015
の中心軸と垂直旋回ピン978の中心軸との間で制限さ
れるため、軌道運動をする。駆動シャフトハウジング1
015が往復運動をすれば、D字型スプラッシュフォロ
ワーは駆動シャフトハウジング1015と共に運動す
る。また、旋回ピン976も、駆動シャフトハウジング
1015の影響下で運動するが、これは、駆動シャフト
ハウジング1015がするように放射方向スロット91
0内を放射方向に運動する代りに、カルーセル支持板9
06のガイドグルーブ980に垂直に運動する。スプラ
ッシュフォロワー952がボールベアリング968を介
して駆動シャフトハウジング1015に接続され、ま
た、スプラッシュフォロワー952はローラーベアリン
グ978を介してカルーセル支持板906のガイドグル
ーブ964に接続されているため、メタル粒子を発生さ
せて研磨しようとするウエハにこれを落とし損傷させる
ような部品間の滑り接触は、存在しない。全ての地点
で、スロット910は、軌道運動するスプラッシュフォ
ロワー952によってカバーされ、スラリがスロットを
介して直接スプラッシュすることは防止される。他方、
スプラッシュフォロワー952の動作スパンは、駆動シ
ャフトハウジング1014の周りを軌道運動しない場合
よりも短い。
【0111】スプラッシュ板組立体940の運動及び特
にD字型スプラッシュフォロワー952の運動は、カル
ーセル支持板906のスロット910の軸に沿って表す
図15A、15B及び15Cの3つの長手方向断面図
と、図16A、16B及び16Cの3つの軸断面図と、
図17A、17B及び17Cの3つの斜視図とで示され
る。これら3組の図において、同じ添字(A,B,C)
の図は、それぞれ、図14A、14B及び14Cの同じ
添字の図に対応する。斜視図においては、スプラッシュ
フォロワー952の上部の旋回ピン976は、図示して
いないカルーセル支持板906のガイドグルーブ980
に係合するように示されている。
【0112】図10A、10B及び10Cは、旋回ピン
976を示しており、これは、ガイドグルーブ980の
中を内側の地点から外側の地点へそして再び内側の地点
へと運動し、この運動は駆動シャフトハウジング101
5が図16Aの放射方向最内の地点から図16Bの中間
の地点へ、そして図16Cの放射方向最外の地点へと運
動するときに生じる。特に、図16A、16B及び16
Cでは、スラリPフォロワー952が常に、中心スプラ
ッシュ板942及び外側スプラッシュ板944に形成さ
れる閉スロット948をカバーしていることが示されて
おり、この閉スロットは、ポリシング領域からカルーセ
ル支持板906へ戻る基本的な経路である。図14A、
14B及び14Cの平面図及び図17A、17B及び1
7Cの斜視図は、中心スプラッシュ板942及び外側ス
プラッシュ板944に沿う放射方向空間、即ちカルーセ
ル支持板の放射方向スロット910に沿う放射方向空間
を最小にする機構によって、閉スロット948がカバー
されている様子を示している。このため、この機構のサ
イズは、ポリシングシステムの操作範囲の減少に伴って
小さくなる。
【0113】側面の断面図2組では、中心スプラッシュ
板942及び外側スプラッシュ板944から上向きに伸
びるフランジ950と、スプラッシュフォロワー952
から下向きに伸びるフランジ956は、常に、カルーセ
ル支持板906へと戻るように貫通していこうとする湿
気及び粒子に対して、迷路状の経路を形成する。
【0114】(ロータリーユニオン)図9のロータリー
ユニオン1942は、市販されているユニットによって
実現することが可能である。しかし、図13の断面図に
例示される新規なロータリーユニオン2100が好まし
い。ウエハヘッドモーター1012の上方のスピンドル
シャフト1014は、4本の垂直チャンネルを収容して
いるが、ここでは、このうちの2本のチャンネル104
0及び1056だけが例示されている。ロータリーモー
ター1012の上方にあるその上端には、4つの同じ垂
直通路2116が接合され、この垂直通路は、スピンド
ル2114の下端のドエル2118によってスピンドル
シャフト1014の通路に調心し、また、リセス212
0内のOリング(図示せず)によってシールされる。急
速遮断クランプ2122が、スピンドル2114をスピ
ンドルシャフト1014に接続させる。スピンドルシャ
フト1014及びスピンドル2114は双方とも、ウエ
ハヘッド110と共に回転する。他方、アンチ回転シャ
フト2134が、ウエハヘッドモーター1012ノケー
シング等の組立体のいずれかの地点に固定されている。
【0115】ロータリー組立体2140は、4つの積み
重ねられた分離可能な部分2142a、2142b、2
142c及び2142dを有しており、これらの部分は
主に、それぞれ、環状ロータリー部材2143a、21
43b、2143c及び2143dを備えている。ロー
タリー部材2143a〜2143dのそれぞれは、タッ
プ穴2144を有しており、このタップ穴は、流体ライ
ンやその他のラインのための取り外し可能なコネクタの
雄ねじ端部をねじ止めできるようになっている。このデ
ザインは、スピンドル2114を介する1つ以上の電気
接続部を与える部分と容易に一体化することができ、こ
の中では、放射方向ばね負荷コンタクトがスピンドル2
114上で回転する外周整流器上でスライドする。タッ
プ穴2144のそれぞれが、自転するスピンドル211
4を包囲する環状マニホールド2148への放射方向通
路2146によって接続される。部分2142a〜21
42d並びにスピンドル1014の回転シールは、フラ
ンジシャフト部分2150によって実現される。このよ
うなフランジシャフトシール2150のそれぞれは、弾
性を有する環状のU字型の部材2150であり、U字の
底部は、環状マニホールド2148の中心から離れる方
向を向いておりまたその側部は実質的に平坦であり、こ
のU字型の底部の側部は、ロータリー部材2143のそ
れぞれの1つに対するシールをスピンドル2114の側
部に与える。リップシール2150のそれぞれは、放射
方向外向きに伸びるテール2149を有している。リッ
プシール2150のそれぞれは、自身のU字型キャビテ
ィの内部に、自身のU字の放射方向最内部分に沿って接
合する環状ばね部材を有しており、この環状ばね部材
は、内壁を下に伸びU字の底部の方へ伸びそして外壁に
沿って上に伸びるフィンガを有し、そのため、2つの離
れた壁を強制してスピンドル2114の周りで相対的に
回転するロータリー部材2143をシールするようにし
ている。このようなフランジシールの一例は、バリシー
ル社(Variseal)から入手可能な、Model W30LS-211-W42
が挙げられる。
【0116】2つのリップシール2150は、環状マニ
ホールド2148の側部の中にフィットする。しかし、
このようなリップシール2150がもっとも良く機能す
るのは、その内側がU字の底部の外側に対して正圧を有
する場合だけである。しかし、4本のラインのうち少な
くとも真ん中の2つのラインが負圧、即ち大気圧よりも
低い圧力であり、これが少なくともある時間これらライ
ンを通じて作用することが望ましい。従って、真空ライ
ンの取り外し可能なコネクタの雄接続部は、ロータリー
部材の1つ2142bのタップ付き真空穴2151の中
にねじ止めされる。真空穴2151の底部は、ロータリ
ー部材2142b及び2142cの中心の1つに孔が開
けられた垂直真空通路2152に接続する。垂直真空通
路2152は、4つのロータリー部材2142の間に形
成された3つの交差するマニホールド2148に接続す
る。ステンレス鋼ワッシャー2156が、ロータリー部
材2142のリセスの中にフィットし、交差するマニホ
ールド2154のそれぞれの内側部分を、回転スピンド
ル2130に完全に接触しないがその近くとなるところ
まで充填し、リップシール2150の背面を支持する。
ワッシャー2156は、リップシール2150のテール
2149をロータリー部材2142に対して捕捉する。
別々の部品が、最上及び最下で同様の捕捉を示す。隣接
するリップシール2150のそれぞれに真空を分配する
ため、ワッシャー2156のそれぞれは、それぞれの主
面上に形成される4つの放射方向グルーブを有してい
る。その結果、タップ穴2144を介してマニホールド
2148それぞれに作用する圧力には関係なく、常に正
圧がリップシール2150の内側及びその外側から維持
される。上部2042dと下部2042aは負圧に対し
て設計されていないことを述べておく必要がある。従っ
て、最上及び最下のリップシール2150は、背面シー
ルを具備していない。
【0117】図13に示されるように、静止しているロ
ータリー部材2143a〜2143dのそれぞれは、こ
の部分に対して適正な高さでスピンドル2114に放射
方向に開けられた側方通路2160を介して、回転スピ
ンドル2114の垂直通路2116のそれぞれの1つに
接続される。側方通路2160のそれぞれは、対応する
環状マニホールド2148にとぎれることなく接続され
ている。
【0118】上フランジ2180が、最上のロータリー
部材2143dの上方に配置され、4つのボルト218
2が、上側の3つのロータリー部材2143b、214
3c及び2143dのそれぞれの貫通穴2162と調心
されるそれぞれの貫通穴2184を貫通して、底部のロ
ータリー部材2143aのテーパー付き穴2164にね
じ止めされる。隣接する部分間にOリングが配置され、
流体のシールを確保する。
【0119】下側ロータリー部材2143aは、ロータ
リーユニオン2100を空間固定されたアンチ回転板2
134に固定するそれぞれのボルトのためのテーパー付
き穴2168を、少なくとも1つ有している。更に、カ
ラー2172が下側リングベアリング2170をアンチ
回転板2134のレッジ2176に対して押圧するため
の内側下側リセスを有している。下側リングベアリング
2170の内側レースは、スピンドル2114のレッジ
2178によってその下側側部に保持されるが、上端で
浮いている。
【0120】ロータリー組立体2140は、下側リング
ベアリング2170が底部にあり且つ上側リングベアリ
ング2186がスピンドル2114の上レッジ及び上フ
ランジ2180の上に配置されるように、スピンドル2
114の上方に配置される。上側リングベアリング21
86の外側レースは、上フランジ2180にねじ218
8で固定されているベアリングフランジ2187に保持
される。上側リングベアリング2186の内側レース
は、ねじ2194でスピンドル2114の上部に固定さ
れる上フランジ2192により下向きに押圧されるウェ
ーブばね2190によって押圧されるOリング2189
によって、スピンドル2114のレッジの方にバイアス
がかけられる。
【0121】ロータリーカップリングは、駆動シャフト
の上の全高さが10.4cm(約4.08インチ)、即
ち1部分当たり2.6cmのときに、特に有利である。
また、このシンプルなデザインにより、横の寸法及び全
重量を最小にする。これら全ての因子が、ポリシング装
置及び特定のウエハヘッドシステムをコンパクトにする
事に寄与する。
【0122】無論、ここでのロータリーユニオンの発明
は、4つの部分であることに限定されるものではない。
単一のロータリーフィードスルーに適用可能であるが、
2つ以上のロータリーフィードスルーが最も有利であ
る。
【0123】(ウエハヘッド組立体)ウエハヘッドシス
テム100の基本的な部分をここまで説明してきた。こ
のセクションでは、これを他の部品につないで必要に応
じ適正なシール及びベアリング面を与えるために要する
2〜3の最終部品について説明をしていく。
【0124】ウエハヘッドシステム100は、その完全
な断面が図9に、部分的拡大断面図が図10に示され
る。駆動シャフトハウジング1015は、下側リングベ
アリング1080と上側リングベアリング1082の1
対により、シャフト1041を保持する。下側リングベ
アリング1080の外側レースは、ノッチ付きリテーナ
ーリム1086によって駆動シャフトハウジング101
5の底端で内側座ぐり孔1084の中に保持され、この
ノッチ付きリテーナーリム1086は、ねじ1組108
8により駆動シャフトハウジング1015に対して締め
付けられている。また、リテーナー1086は、スプラ
ッシュベアリング968に対して周縁スカート962の
上昇部分964を支持して把持し、スプラッシュベアリ
ング968の内側レースが駆動シャフトハウジング10
15に固定されるようにしている。カラーがベアリング
から外れていることが、少量の弾性コンプライアンスを
与えて、製造による寸法差を許容している。
【0125】下側リングベアリング1080の内側レー
スは、スピンドルシャフト1014の底部近くのショル
ダー1090の上に置かれている。シャフトブッシング
1092が、スピンドルシャフト1014と駆動シャフ
トハウジング1015との間にゆるくフィットしてカラ
ーとして作用し、これが、下側リングベアリング108
0及び上側リングベアリング1082の内側レースを把
持しまた引き離し、他方で外側レースが駆動シャフトハ
ウジング1015によって保持される。1対の保持ナッ
ト1094がスピンドルシャフト1014の上部分にね
じ止めされ、リングベアリング1080及び1082の
内側レースを保持しスピンドルシャフト1014にロッ
クする。また、上側ベアリング1082の外側レース
は、シャフトハウジング1015の上部にロックされる
が、これは、ナット1094を締めることによりベアリ
ング1080及び1082をシャフトハウジング101
5に把持することとなるからである。スピンドルシャフ
ト1014は、ウエハ回転モーター1012の中空シャ
フトの中を上向きに通過する。モーター1012の上の
スピンドルシャフト1014の上端は、モーター101
2のローターに取り付けられたクランプカラー1095
により保持される。モーターブラケット1096が、モ
ーター1012の下の駆動シャフトハウジング1014
の上端に接続して、モーター1012をシャフトハウジ
ング1015に支持し、ブラケット1096から懸下す
るリップ1098が、ブラケット1096を駆動シャフ
トハウジング1015に配置させる。
【0126】ウエハヘッドシステム100は、外側スプ
ラッシュ板944及び緩められた中心スプラッシュ板9
42を除いて、組み立てられ、他方、カルーセル90か
ら取り除かれることができ、そして、ほぼ完全な組立体
がカルーセル支持板906のスロット910の中に挿入
される。駆動シャフトハウジング1015の上フランジ
1100が、スライド908のアームの内側に形成され
たレッジ1102の上にフィットし、ボルト1組110
4が上フランジ1100をスライド908に止め、即
ち、駆動シャフトハウジング1015をスライド908
に止める。この簡単なウエハヘッドシステム100とカ
ルーセル90との合せの構成により、ウエハヘッドを交
換する必要が生じたときに中断時間を著しく低減する。
【0127】(テーブルトップのレイアウト)図18
は、機械ベース22のテーブルトップ23の平面図(中
心ポスト802を例外とする)を示している。前述の如
く、3つのポリシングステーション50a、50b及び
50cと移送ステーション70とが、機械ベース22の
上部で正方形の関係で配置される。ポリシングステーシ
ョンのそれぞれは、ポリシングパッド54がその上に積
み重ねられる回転プラーテン52をそれぞれ有してお
り、別々のポリシングステーションに対するポリシング
パッド54は別々の特性を有している。細長い第1の中
間洗浄ステーション80aが、第1の、2つのポリシン
グステーション50a及び50bとの間に配置され、第
2の、中間の洗浄ステーション80bが第2のポリシン
グステーション50b及び50cとの間に配置される。
第3の洗浄ステーション80cは、第3の、ポリシング
ステーション50と移送ステーション70との間に配置
される。随意、80aaが移送ステーション70と第1
のポリシングステーション50aとの間に配置されてい
てもよい。これらにより、ウエハがポリシングステーシ
ョンから次へと通過する際に、スラリをウエハから洗浄
する働きをする。
【0128】ポリシングステーションそれぞれに対応し
て、コンディショナー装置60a、60b及び60cが
それぞれ存在し、これらのそれぞれは旋回可能なアーム
62を有しており、このアームは、遠端でコンディショ
ナーヘッド64を保持し、更に、使用しないときにコン
ディショナーヘッド64を保管するコンディショナー保
管カップ68を有している。詳細な具体例ではディスク
状の回転コンディショナーヘッドの説明をしているが、
コンディショナーヘッドはホイール又はロッドであって
もよい。図18は、第1のポリシングステーション50
a及び第2のポリシングステーション50bの保管カッ
プ68が、コンディショナーアーム62の掃引経路の外
にある不活動位置にあり、コンディショナーヘッド64
が、パッド54の上方にあって、回転アーム62がパッ
ド表面を掃引して再調整するところを示すものである。
他方、この図では、第3のポリシングステーションの保
管カップ68が、不活動位置68’(点線で指示)から
コンディショナーアーム62の内側の保管位置68”へ
と振られ、コンディショニングアーム62が使用されな
いときにコンディショナーヘッド64をその中に保管す
ることが可能であることを示している。
【0129】これらの様々な部品の構造の詳細及び動作
は、セクションを改めて説明することにする。
【0130】(プラーテン組立体)プラーテン組立体5
00は、図19に断面図で示されているが、ポリシング
ステーション50a、50b及び50cそれぞれで同じ
である。プラーテン52は、プラーテントップ510
と、ねじ513によってこれに止められるプラーテンベ
ース512とを有しており、このねじ513プラーテン
ベース512の底部の中に皿穴がある。8インチ(20
0mm)ウエハのポリシングのためには、プラーテン5
2は、直径20インチ(51cm)を有していてもよ
い。プラーテン52の底部は環状のドレインチャンネル
515の中で回転し、このドレインチャンネル515は
下向きに突き出るウェッジ断面のリム514を有してお
り、このリム514は、テーブルトップ23の中に形成
され、スラリがベアリングの方に貫通するための狭く曲
がりくねった通路523をこれらの間に形成する。
【0131】プラーテンベース512の底部のカラー5
16は、プラーテンリングベアリング518の内側レー
スを捕捉し、これを、プラーテンベース512の下側に
形成された平坦な円筒コーニス519に押圧する。カラ
ー516の底部に皿穴がある1組のねじ520が、プラ
ーテンベース52の底部をねじ止めし、カラーを把持し
て内側レースを保持する。テーブルトップ23で支持さ
れ、プラーテンベース512の外側底部の環状キャビテ
ィの中まで上向きに突き出る、別のカラー522によ
り、プラーテンリングベアリング516を、機械ベース
22のテーブルトップ23に形成されたレッジ222に
対して捕捉する。テーブルトップ23の底部に皿穴があ
る1組のねじ524が、第2のカラー522にねじ止め
され、カラー522を保持して外側レースを保持する。
【0132】円形のフェンス526が、回転プラーテン
52を包囲して、プラーテン52から遠心力により飛び
散るスラリ及びこれに関連する液体を捕捉する。このス
ラリは、テーブルトップ23に形成されたトラフ528
に落ちて、更に、ドレインチャンネル515の中に入
り、テーブルトップ23を貫通する穴530を通って、
ねじ534でこれに接続するドレイン管532へと排水
される。ドレイン管532は、ドレイン管532のフラ
ンジ536の中を通り、テーブルトップ23の底部にね
じ止めされる。プラーテン52とテーブルトップ23と
の間の通路がせまく曲がりくねっている事と、プラーテ
ン組立体500の回転によって遠心力が生じている事と
により、スラリがベアリング518から遠ざけられるこ
とが、維持される。
【0133】プラーテンモーター組立体540が、装着
ブラケット542の中を貫通してテーブルトップ23の
底部にボルト止めされる。モーター組立体540は、モ
ーター543を有しており、その出力シャフト545は
上向きに突き出ておりソリッドな(中空でない)モータ
ーシーブ544に滑らかにフィットする。駆動ベルト5
46がモーターシーブ544及びハブシーブ548に巻
かれ、ハブシーブ548は、リザーバーハブ550及び
プラーテンハブ552を貫通して、プラーテン512に
接合する。プラーテンモーターの一例としては、横河電
機社製のギアボックス付き SGMS-50A6AB 型 が挙げら
れ、これはプラーテン52を0〜200RPMの回転速
度で回転させることが可能である。
【0134】(スラリの配送)スラリの供給には、少な
くとも2つのタイプのものを用いてもよく、即ち、トッ
プディスペンシングチューブと、ボトムセンターフィー
ドである。先ず、ボトムセンターフィードを説明してい
く。
【0135】プラーテンの上部に、スラリをプラーテン
52の中心に供給するための、斜めの通路554が形成
されている。斜め通路554は、プラーテンベース51
2の垂直通路558に接続するリセス556のOリング
に調心され且つこれによりシールされる。プラーテン5
2がスラリをポリシングパッド54(図示せず)の表面
にほぼ等しく散布するようになるように、プラーテン5
2の中心からパッド54へのスラリ供給の特性が決めら
れる。
【0136】このようなプラーテンを介したスラリの供
給は既知のものであるが、過去には、プラーテンハブ又
は駆動シャフトのロータリーカップリングを用いてい
た。しかし、ロータリーカップリングに研磨スラリを用
いることで、急速に摩滅させ、あるいは、頻繁に保守が
必要となってしまう。
【0137】(ロータリースラリリザーバー)図20の
拡大断面図に更なる詳細が示されるリザーバーシステム
5100を用いることにより、これらの問題が防止され
る。リザーバーハブ550の外周縁は、上向きに伸びる
ダム壁5110と内側リップ5112により形成されて
いる。リザーバーハブ550の中心部分をシールするダ
ム壁5110及びプラーテンハブ552は、スラリ51
16のための回転するリザーバー5114を形成する。
右側に例示されている静的スラリ供給組立体5120
が、テーブルトップ23の底部に取り付けられているブ
ラケット5122を有している。このブラケットはテー
パー穴5124を有しており、このテーパー穴に、静的
スラリ供給ラインの継手の雄端がねじ式にはめこまれて
いる。ブラケット5122に開けられシールがなされて
いる水平通路5126が垂直通路5128に接続し、こ
の垂直通路5128は、下向きにブラケット5122の
底部まで伸びリザーバー5114の上まで伸びて、そこ
にスラリを供給する。流体レベルセンサ5130は、ブ
ラケット5122から下向きに伸びてリザーバー511
4の中のスラリ5116のレベルを検出し、レベルが低
すぎるときは、外部から制御される供給源からテーパー
穴5124を介して更にスラリを追加する。
【0138】ダイアフラムポンプ5140は、その更な
る詳細が図21の拡大断面図に示されており、リザーバ
ー5114からスラリ5116をポンプにより、プラー
テン52の上部の中心穴554(図19)に供給する。
ダイアフラムポンプ5140は、基本的には、リザーバ
ーハブ550に形成された、下側ダイアフラムキャビテ
ィ5144と、その上にある上ポンプ部材5148に形
成された、対向する上側ダイアフラムキャビティ514
6とから成っている。フレキシブルなダイアフラム51
50は、2つのダイアフラムキャビティ5146と51
46との間でシールされ、上ポンプ部材5148は、止
めねじ(図示せず)によりリザーバーハブ550に固定
されてダイアフラム5150を固定する。
【0139】ダイアフラムポンプ5140は、プラーテ
ン52と共に回転し、機械ベース22の中に又はこれと
隣接して設置される静的空気圧源から圧力を変えて流体
を選択的に供給することによって、空気圧のエネルギー
が与えられる。下ダイアフラムキャビティ5144に正
圧を与えることにより、ダイアフラム5150は、上向
きに変形し、負圧を与えれば下向きに変形する。この変
形は、以下に説明する1組の流入出チェックバルブと共
に、スラリ流体を上ダイアフラムキャビティ5146に
ポンプにより供給する。空気圧流体は、下ダイアフラム
キャビティ5144をOリングシールチャンバ5154
と対向するリザーバーハブに接続する通路5152を通
じて、下ダイアフラムキャビティ5144に供給され
る。ソリッドなモーターシーブ544の中の第2の通路
5155は、Oリングチャンバ5154をモーターシー
ブ544の底部のテーパー穴5156に接続し、モータ
ーシーブ544にフレキシブルな流体ラインが接続され
る。図19に例示されるように、流体ライン5157
は、カップリング5158を介して、回転するモーター
シャフト5162の軸方向通路5160に接続する。ロ
ータリーカップリング5164により、空気圧ライン
(図示せず)を介して回転軸通路5160が静的空気圧
源に接続する。
【0140】図21に示されるようにダイアフラム51
50の上にある上ポンプ部材5148が、ダイアフラム
5150をリザーバーハブ550にシールして、上ダイ
アフラムキャビティ5146と下ダイアフラムキャビテ
ィ5144との間の流体の漏洩を防止する。2つの流量
チェックバルブ組立体(ここでは前のほうの1つしか示
されていない)が、ポンプ部材5148に形成され、ポ
ンプ輸送の方向とは反対の方向に流体が逆流することを
防止する。流量チェックバルブ組立体のそれぞれは円筒
チャンバを有しており、この円筒チャンバは、大きな円
筒の上部5170と、テーパー状の中間部分5172
と、小さな円筒の下部5174とを有している。この円
筒チャンバ内には、バルブボール5176が配置され
る。ボール5176の直径は、円筒上部5170よりも
小さいが円筒下部よりも大きくなっており、テーパー状
中間部を効果的にシールすることができるようになって
いる。流量チェックバルブ組立体のそれぞれは、それぞ
れの円筒上部5170の流体圧力がそれぞれの円筒下部
5174よりも大きくなったときにシールが与えられ、
また、このシールは、バルブボール5176が下方向に
テーパーがつく中間部5172に自然に着座するため、
重力によって与えられる。円筒チャンバの頂部は、上ポ
ンプ部材5148に固定されたポンプカバー5180に
よって適所に把持される、略方形のシール部材5178
によってシールされる。
【0141】図示しない方の後方の流量チェックバルブ
組立体は、スラリをダイアフラムポンプ5140の上ダ
イアフラムキャビティ5148に供給するために用いら
れるものであり、スラリリザーバー5114と上ダイア
フラムキャビティ5148との間の流通路の中に配置さ
れる。円筒上部5170の頂部は、通路(図示しない)
によって上ダイアフラムキャビティ5146に接続され
る円筒下部5174は、リザーバー5114の排水部分
(図示せず)に開いており、スラリが常に、正円下部5
176の中に存在しており、スラリは、ダイアフラム5
150が空気圧により下向きに変形したときは上ダイア
フラムキャビティ5146の中に流入して、上ダイアフ
ラムキャビティ5146に負圧を与えることが可能であ
る。しかし、ダイアフラム5150が空気圧により上向
きに変形して、上ダイアフラムキャビティ5146に正
圧を与えたときは、バルブボールがテーパー部分517
2に対して着座して、スラリの逆流に対して共通チェッ
ク流量バルブ組立体を閉める。
【0142】図示しない前方の流量チェックバルブ組立
体を用いて、ダイアフラムポンプ5140の上ダイアフ
ラムキャビティ5146からスラリをプラーテン52の
上部の中心開口554へと供給する。供給流量バルブチ
ェック組立体の下円筒部分5174は、上ダイアフラム
キャビティ5146と直接連通している。上ポンプ部材
5148の通路5184は、供給流量チェック組立体の
上円筒部5170を、リザーバーハブ550及びプラー
テンハブ552のフック状通路5186に接続し、これ
は、プラーテン52上部の中心開口554に適切に接続
する(明確にするという利益のため、通路のいくつか
を、本発明者らのプロトタイプの実際の具体例とは異な
るように例示しているが、この違いは本発明に大きな影
響を与えるものではないことに注意すべきである。)。
液体にせよエアにせよ正圧により、ダイアフラム515
0が上向きに変形したときは、上ダイアフラムキャビテ
ィ5146の中のスラリは通路5184及び5186を
介して、プラーテン52の上部にポンプ輸送される。正
空気圧が解放されたときは、供給流量チェックバルブ組
立体のバルブボール5176の着座により、スラリの逆
流、特に、リザーバー5114の中のスラリ5116の
レベルの上にポンプ輸送されたスラリの背圧によって生
じたヘッド(水頭)により生じる逆流を防止する。
【0143】このスラリ供給の構成により、スラリがロ
ータリーカップリングを通って移動する問題を排除し
て、高い信頼性を与えると共に、スラリがスラリライン
の中に長時間停滞していたならばつまりを生じてしまう
ような、スラリラインの長さを短くすることができる。
【0144】(オーバーヘッドスラリディスペンサー)
図22に断面図が示され図23に平面図が示されるオー
バーヘッドスラリディスペンサー5200を更に有する
ことは有利である。これは、包囲フェンス25の中のテ
ーブルトップ23上に配置されたディスペンサーベース
5204の上で回転可能な状態で支持されるディスペン
シングチューブ5202を有している。ディスペンシン
グチューブ5202は、プラーテン52の上で回転可能
であり、ディスペンシング端部5206をウエハヘッド
110に隣接する1つ以上の地点に配置させることがで
きるように、ポリシングパッド54に取り付けられる。
前述の如く、ウエハヘッド110はカルーセル90上に
支持され、ポリシング中は、パッド54の直径の端から
端までを直線的にスライドする。図22及び23は模式
的な図であり、カルーセル90がパッド54の上で懸下
している完全な様子を示すものではない。ウエハヘッド
110がオーバーセンターポリシングを行う場合は、端
部5206はポリシングパッド54の中心近くに置かれ
ることはできない。ウエハヘッド110の最も外側の地
点の横に置くか、あるいは、その動きをウエハヘッド1
10の動きと同調させるかにより、衝突を防止する。ま
た、ディスペンシングチューブ5202は回って、プラ
ーテンと離れた地点5208へ至ることが可能であり、
この地点では、ディスペンシング端部5206はポリシ
ングパッド54から離れ且つテーブルトップ23の真上
の位置に配置される。フラッシュ洗浄してフラッシュ洗
浄済みの液体及び粒子がテーブルトップ23に収集され
ポリシングパッド54を汚染させずにそこから排水され
ることが望ましい場合は、このディスペンシングチュー
ブ5202は、プラーテンと離れた地点5208まで移
動する。
【0145】オーバーヘッドスラリディスペンサー52
00は、2つのスラリ又はスラリと別の液体を、交互に
又は同時に配給するため、2つのディスペンシングポー
トを有していることが好ましい。図24の拡大正面図に
示されるように、ディスペンシングチューブ5202は
2つの供給管5210及び5212を有しており、これ
らは相互に接合し、それぞれ、下向きに突き出る管配給
端5214及び5216を有している。一方の管配給端
5214は、他方よりも長く且つ横方向に離れているべ
きであり、こうすることにより、作動中の管配給端から
スラリが作動していない管配給端へ飛び散ってスラリが
乾燥したとき作動していない方の管配給端上で固まって
しまうことが最小限に抑えられる。同様に、スラリがパ
ッド54からディスペンシングチューブ5202へ飛び
散る量を低減するため、パッド54の上を水平に伸びる
ディスペンシングチューブ5202の中間部分は、パッ
ド54の上に十分な高さを有しているべきである。供給
管5210及び5212並びにその他の露出しているス
ラリディスペンサー5200の部品は、テフロン等の、
腐食性のスラリに対して耐性を有し且つ親水性の小さな
材料で形成されるべきである。
【0146】ディスペンシングチューブ5202の回転
が制限されることにより、供給管5210及び5212
又は、テーブルトップ23の底部で終了する対応する流
体チャンネルの、それぞれに接合する2本のフレキシブ
ルな供給導管5218及び5220を用いて、回転する
流体カップリングが実現される。
【0147】(スラリ供給)上述のスラリディスペンサ
ー5200は、図19、20及び21に示されるスラリ
リザーバーシステム5100及び対応するプラーテン供
給通路554と同様に、3つのポリシングシステム50
a、50b及び50cに別々のスラリを供給することを
可能にする。また、プラーテン52の下にある図19の
ドレイン532は、そのポリシングステーションの過剰
なスラリの殆どを回収し、また、別のポリシングステー
ションの対応するドレインから孤立させることが可能で
ある。従って、これらのドレインを実質的に孤立させつ
つ、別々のスラリを別々のポリシングステーションで用
いることが可能である。このように孤立させることで、
廃棄の問題を緩和し、複雑なプロセスであってもスラリ
のリサイクルを可能にする。
【0148】本発明のポリシングシステム10は、様々
なポリシングのプロセスにもちいるためのものであり、
これらのプロセスは、ユーザーによって選択することが
可能であり、機械の構成の最低限を変更することも可能
である。従って、スラリ供給システムは、一般的且つフ
レキシブルたるべきであり、乾燥スラリにより詰りやす
いラインに対してクリーニングの機能を提供するもので
ある。このようなスラリ供給モジュール5230の一例
は、図25に模式的に例示されている。この図では、3
つのポリシングシステム50a、50b及び50cの全
てに対する供給ユニット5232と、これらのそれぞれ
に対する3つの流量制御ユニット5234とを例示す
る。ここで、プラーテン52に隣接する配管接続部は図
示されておらず、図20に例示されるスラリリザーバー
システム5100のためのスラリ供給組立体5120
と、図22に例示されるオーバーヘッドスラリディスペ
ンサー5200の2本のフレキシブルな導管5218及
び5220との間には、容易に配管をつなぐことができ
る。
【0149】供給ユニット5232は、多数の空気圧オ
ンオフバルブと接続配管とを有するバルクヘッドユニッ
ト5236を有している。またこれは、3つの供給源5
238a、5238b及び5238cを有しており、こ
れらのそれぞれは、供給タンク5240と、供給管52
42と、対応するポンプ5244と、戻り管5246と
を有し、スラリや液体の再循環源となる。対応するレベ
ルモニタ及び新しく供給する管が図示されていないが、
これらは従来技術で知られているものである。2つの供
給源5238a及び5238bが2つの異なるスラリに
用いられ、他方、第3の供給源5238cがスラリでな
い液体化学品、例えば水酸化アンモニウム等に用いられ
ることが、典型的には予想される。無論、用いる供給源
5238の数は、ポリシング工程の要請及び経済の必要
性により、これよりも多くてもよいし少なくてもよい。
【0150】バルクヘッドユニット5236は、供給ラ
イン5242のそれぞれのためのオンオフバルブ524
8と、戻りライン5246のそれぞれのための流量チェ
ックバルブ5250とを有している。ここに図示される
バルクヘッドユニット5236は、3つのポリシングス
テーション全てに対して供給バルブ5248をただ1つ
だけ用いて同じ液体を3つのポリシングステーション全
てに流入させているが、バルブを更に追加して、独立且
つ別々の供給操作を可能にしてもよい。また、バルクヘ
ッドユニット5236は、オンオフバルブ5252及び
5254を介して窒素と脱イオン水(DIW)を受容
し、これらのオンオフバルブの双方はパージライン52
56へと接続し、パージライン5256は、オンオフバ
ルブ5258のそれぞれを介して供給源5238a、5
238b及び5238cの何れにも通じている。必要に
応じ、窒素又はDIWを用いて種々のラインをパージし
てきれいにする。パージのための接続部は図示されてい
ない。詰ったラインをクリーニングするため、パージ接
続部が手作業により形成されるが、これは、供給源52
38a、5238b及び5238cがアクセス可能な領
域に配置されているからである。
【0151】図25は、ポリシングステーション50
a、50b及び50cの流量制御ユニット5230に接
続する2つの供給ユニット5238a及び5238cを
例示するが、残りの供給ユニット5238bも別のポリ
シングステーションの1つに接続されている。流量制御
ユニットのそれぞれは、2つの計量ユニット5260a
及び5260bを有しており、これらのそれぞれは、供
給ユニット5238a及び5238cからの別々の再循
環経路に接続する方向変換バルブ5262a及び526
2bを有している。方向変換バルブが、第3のポートを
再循環経路の中にある第1の2つのポートに接続する。
方向変換バルブ5262a及び5262bのバルブ出力
は、液体流量を与えるバルク流量コントローラ5264
を介して対応するプラーテン52のスラリポートへ送ら
れるが、これはバルク流量コントローラ(BFC)に入
力するアナログ制御信号SETに比例してる。50〜5
00ml/minの範囲の流量が典型的には必要である
ことが予想されるが、この範囲は、実施するポリシング
のプロセスに応じて、13ml/minまでシフトして
下がってもよく、また、2000ml/minまで上が
ってもよい。好ましくは、供給される流量が測定され、
モニタラインMONで戻される。質量流量コントローラ
と等価な流体をバルク流量コントローラ5264に用い
ることができるが、腐食性のポンプ流体に対して高いレ
ベルの信頼性が要求されるため、モニタ機能を直接与え
ないような、ぜん動ポンプ等の計量ポンプを先ず必要と
する。
【0152】脱イオン水を運ぶラインは、双方の計量ユ
ニット5260a及び5260bの中に導かれ、それぞ
れの方向変換バルブ5266は、DIWをそれぞれのバ
ルク流量コントローラ5264の中へと向ける。DIW
は、ラインをフラッシュ洗浄してポリシングパッドをク
リーニングするためい用いられるが、ポリシングのプロ
セス、例えばバフ専用のポリシングステーションに用い
てもよい。あるいは、専用のDIWライン5268及び
これに対応するオンオフバルブ5270をプラーテン5
2のスラリポートの2つに接続してもよい。
【0153】(パッドの引き剥がし)プラーテン52の
表面のポリシングパッド54は、時間の経過と共に摩滅
するため、定期的に交換する必要がある。摩耗したポリ
シングパッドを交換する際の困難さの1つに、パッドを
プラーテンに取り付けるために圧力感知性の高い接着剤
を用いているため、使用の時間に応じてこれら2枚が強
く結合したままとなっていることが挙げられる。過去に
は、ポリシングパッドを取り外すためには、パッドとプ
ラーテンの間の接着シールに打ち克つような大きな力で
ポリシングパッドをプラーテン上面から引き外すことが
必要であった。このような大きな力は、オペレータの参
加と時間が著しくかかる。
【0154】パッド54をプラーテン52から自動的に
引き剥がす本発明の具体例は、図19の断面図に例示さ
れる。これには、高圧のエア又は流体をプラーテントッ
プ510の上部の中心近くの中心から外れたところに開
いているブローポート560の中に注入するオプション
も含まれている。ここで、ブローポートが中心から外れ
ているのは、中心にスラリポート554があるからであ
る。圧力によって、パッド54とプラーテン52の間に
バブルが発生し、これは徐々に膨張してパッドを緩やか
に引き剥がす。
【0155】ブローポートは、プラーテン510、プラ
ーテンベース512、プラーテンハブ552及びリザー
バーハブ550に形成された4本の垂直通路561、5
62、564及び565に接続し、またその後、ソリッ
ドなモーターシーブ544の中の斜め通路566に接続
する。これらの通路は、リセス568、570、571
及び572に配置されたOリングによって相互に接合さ
れる。斜め通路566はテーパー穴574に接続し、こ
の穴の中に高圧エアライン578の急速解放継手576
の固定端がねじこみにより固定される。ポリシング操作
中に、急速解放継手の固定端がプラーテン組立体500
に固定され、プラーテン52上を回転する。プラーテン
52が停止すれば、高圧ホースに接続する急速解放継手
の着脱可能な端部は、急速解放継手の固定端に自由に接
続可能となり、通路をブローポート560へと接続す
る。
【0156】使用に際しては、ポリシングパッド54の
表面が劣化して交換が必要となったことを決定して、プ
ラーテン52を停止させ、オペレータ又は自動機構によ
り急速解放継手の2つの部品を接続させて、高圧エア供
給ホース578をブローポート560に接続する。プラ
ーテンに静的にエアがポリシングパッド54の下のプラ
ーテン52上部のブローポート560の領域に注入しつ
つ、エア圧をかけて、バブルをそこに発生しやすくし、
プラーテン52からポリシングパッド54を引き剥がす
効果を徐々に増加させてこれを有するようになる。この
バブルの効果は、取り除けなかったとしても、ポリシン
グパッド54をプラーテン52から引き剥がすに要する
力を減少させる。プラーテン52の中心に配置されるス
ラリのための開口554は一般に小さいので、これを通
って解放されるエア無視でき、あるいは、ユーザーが自
分の指を開口に当てれば一時的に栓をすることができ、
また、これとは別の一時的な何かのシールを与えること
ができる。無論、パッドが取り出された後でプラーテン
を再び回転させる前に、急速解放継手は切り離される。
従って、ポリシングパッドの取り出し及び交換は、従来
から既知の構成で行われていたときよりも更に容易に行
われる。高圧エアライン578をブローポート560に
接続させるロータリーカップリングを介して引き剥がし
のプロセスを完全に自動化することが可能であるが、交
換があまり頻繁に必要とはならないことで、複雑さを加
える要望が小さくなる。あるいは、気体ではなく高圧液
体を引き剥がしの操作に用いてもよい。
【0157】(中間洗浄ステーション)本発明の好まし
い操作では、ウエハは図19の上面が示されるポリシン
グステーション50a、50b及び50cのうち2つ又
は3つ全部において、順に研磨される。ポリシングの方
法に1つに、マルチステップのポリシングがあり、これ
は例えば、ラフなポリシングに続いて、順に細密になっ
ていく2つのポリシング工程を行うような方法である。
だんだんと細密なポリシングとなることを実現する方法
の1つは、異なるポリシングステーション50では、特
性や粒子径の異なる別のスラリを用いることである。こ
の状況では、異なるプラーテン間のクロスコンタミネー
ションを防止することが重要であり、即ち、一のポリシ
ングステーションにおいて、ウエハが次のポリシングス
テーションに移動する前に、スラリの粒子及び液体共
に、ウエハから完全に除去しておくことを確保するとい
うことである。ウエハがポリシングステーション間を移
送される際、前のポリシングのステップで用いたスラリ
の多くが、パッドから持ち上げられたときにウエハにま
ず付着し、図19に示されるように、これがウエハから
パッド54及び対応するプラーテン52へとしたたり落
ち、そこから、プラーテン52を包囲するフェンス52
6によって画成された排水ベイズンの中へと、落ちてい
く。しかし、特別な注意を払わない限り、多少のスラリ
はウエハに付着したままであり、この付着スラリは、次
のポリシングステーション、特に次のポリシングパッド
54を汚染することがあり、その程度は時間と共に増加
する。
【0158】従って、非常に敏感なプロセスでは、図1
8に概略が示されているような中間洗浄80a及び80
bを有していることが好ましく、この中間洗浄は、隣り
合うポリシングステーション50aと50b及び50b
と50cのプラーテン52の間に配置される。このよう
な中間ポリシングステーションで、隣り合うポリシング
ステーション間を通過するウエハを洗浄し、次のポリシ
ングステーションを汚染しないように、クリーニング済
みのスラリを配置させる。また更に、際数のポリシング
ステーション50cと移送ステーション70との間に、
別の中間洗浄ステーション80cを更に有していてもよ
い。後の説明で明らかになるが、中間洗浄ステーション
80は、スラリ及びルーズ材料の除去の他に、ウエハの
軽いバフ処理に用いることも可能である。更に、予備的
な中間洗浄ステーション80aaを移送ステーション7
0と第1のポリシングステーション50aとの間に有し
ていてもよい。このように中間洗浄ステーションの処理
を繰り返しても、ウエハスループットには殆ど影響を与
えず、何故なら、これら全ては、それぞれでのウエハの
洗浄又はバフ処理を、同時に行っているからである。
【0159】中間洗浄ステーション80は、引っ込めて
収容が可能であり、また、水平方向に可動である。しか
し、本発明に従った構成では、これらは、ポリシングパ
ッド54の研磨面のレベルの僅か上方の上面で静止して
おり、ウエハヘッド100がプラーテン52からウエハ
を上昇させたときに、洗浄ステーション80の上を移動
させた後に洗浄ステーション80の上まで下げるため、
ウエハ40は、隣接するプラーテン52のそれの上の地
点で洗浄ステーション80接触するようになる。ギャッ
プが不可欠であり、何故なら、洗浄ステーション80上
のウエハも2つの隣接し合うプラーテン52の上になる
だろうからである。中間洗浄ステーションは、概説的に
は、ウエハヘッド110の表面の下にシール開口を与え
る。これは通常は、洗浄室を有しており、洗浄室は、ウ
エハヘッドをチャンバのリップに配置させることによ
り、シールすることが可能である。
【0160】3つの断面図を垂直に配置した図26A及
び26F並びに平面図である図26Gに示される、本発
明の中間洗浄ステーション800の具体例に従った構成
では、洗浄室810は細長い上開口812を有してお
り、これは、隣接し合うプラーテン52同士の間に配置
される比較的狭い細長いスロットの形状を有している。
図26Gに示されるように、開口812の2つの側部8
14が、開口812の中心がウエハ40の中心と調心さ
れたときウエハ40の端から端までほぼ到達するような
十分な長さを有しており、この他の2つの側部816
は、ウエハの外周に対応する弓型の形状を有している。
【0161】中間洗浄ステーション80は、細長開口8
12に沿って伸張し垂直方向に向くノズル開口822多
数を有するスプレー管820によって形成されている。
スプレー管820の端部はプラグ824によってシール
され、スプレー管820は、洗浄室810の開口をほぼ
画成する上端を有する支持部材826に固定される。テ
ーパー付き弾性シール828が支持部材826の内側に
配置され、洗浄室810の横側を画成する。シール82
8は、洗浄室810の開口812の形状と共形となり支
持部材828の頂部の僅か上に突き出る上端を有してい
る。また下端は、ノズル開口822及びドレイン開口
(後述する)を露出したままとなるように、スプレー管
820に支持されている。スプレーを遮断するバリアと
して機能しつつも水及び同伴するスラリを通過させるよ
うに、弾性シール828は、発泡性材料又は繊維状の材
料で形成されている。このため、スラリはシール828
の中に埋め込まれていき、ここに取り込まれたスラリが
ウエハ40を傷つけることはない。模範的なシール材料
には、ポリシングパッドに用いる材料が含まれる。
【0162】図26Fに最も良く示されるように、供給
管830が、スプレー管820の下側及び長手方向端部
の供給開口832のところで、スプレー管820の底部
にシールして接続される。ドレイン管834が、供給管
820にシールで接続し、ドレイン開口836のところ
でその底側から上側へと通過している。洗浄が望まれる
場合は、脱イオン等の洗浄液840が加圧下で供給管8
30を介してスプレー管820の中に供給される。十分
な洗浄液840が供給されスプレー管820を満たした
ときは、ノズル開口822を介して更に洗浄液を霧状に
スプレーして、ウエハ40の細長開口812の上にある
部分をカバーする。ウエハ40からリンスされた余分な
洗浄液及び同伴するスラリが、洗浄室810の底部に落
ちて、リサイクル又は廃棄のために、ドレイン開口83
6を通って排出される。
【0163】中間洗浄ステーションの動作について、以
下に説明していく。第1のポリシングステーション(例
えば図26Aの例示する50a)でのポリシングのステ
ップが終了したとき、ウエハヘッド110の回転が停止
し、ウエハ40を保持していたウエハヘッド110の下
端がプラーテン52及びポリシングパッド54から、短
い距離、例えば1/4インチ(6mm)持ち上げられ、
ウエハヘッド110を保持しているスライド908が、
中間洗浄ステーション(例えば80a)に調心するカル
ーセル90の放射方向の地点に配置され、カルーセル9
0が回転して、図26Bに示されるようにウエハヘッド
110の中心及びそのウエハ40を洗浄ステーション8
0aの中心に配置させるような地点に、ウエハヘッドを
移動させる。そして、図26Cに示されるようにウエハ
ヘッドの下端が、中間洗浄ステーション80aの弾性シ
ール部材828と低圧接触する地点まで下がり、これら
の間の水バリアを与えつつもウエハ40を損傷させない
ようにする。ここに必要な圧力は、ポリシングステーシ
ョン50で用いる圧力に匹敵するかあるいはこれよりも
低い。図26D及び26Fでは、洗浄液840は、ウエ
ハ40の洗浄室810の上に露出する部分を洗浄するに
十分な圧力が与えられ、洗浄済みのステップはドレイン
管838を介して排水される。
【0164】図26D及び26Fに示されるように、ウ
エハヘッドモーター1012が弾性シール部材828を
越えてウエハ40を回転し続けたとき、ウエハ40が連
続して洗浄されることが好ましい。無論、シール部材8
28の材料と、かかる力と、回転速度とは、ウエハ40
がシール部材828と共に水緊密シールの上をスライド
するときに傷がつかないように選択されるべきである。
洗浄の間に、多数の回転によってバフ効果が生じる。
【0165】あるいは、ウエハの洗浄は、個別のステッ
プで行ってもよく、これは、下げ、洗浄し、リンスし、
ウエハの全ての部分を洗浄できるよう新たな地点まで部
分的に回転させるようである。
【0166】洗浄液が洗浄室816から経路を通って逃
れことができず直接マルチヘッドカルーセル90の底部
にスプレーされる場合に、これらの方法の組合わせを用
いる事が可能であり、何故なら、スプレーがなされてス
プラッシュシールドを突破するようになるからである。
ウエハヘッドはゆっくりと自転することにより、少なく
とも表面全体が、洗浄ステーションとウエハ底部との間
のシールによりクリーニング又はスクイージーされ、ス
クイージーされた液体は室の底部から排水される。そし
て、ウエハヘッドを持ち上げ、次のプラーテンでのポリ
シングの配置まで移動させる。この操作により、一のウ
エハヘッドから少なくともゆるい粒子の全てが除去され
る。
【0167】上の説明は特定の中間ステーション80で
のウエハ1枚に関してのものであるが、それぞれの洗浄
ステーションの上のウエハヘッド110の全てのカルー
セルの地点は、洗浄ステーションがこれらの回転方向の
地点に存在するようにおかれる。従って、多数の洗浄ス
テーション80により、上述のプロセスに従って、ウエ
ハを2枚、3枚又は4枚同時に洗浄することが可能であ
る。
【0168】ウエハ40全体の洗浄が完了した後、ウエ
ハヘッド110によりウエハ40を持ち上げて、弾性シ
ール部材828のシール部材から離し、そして、図26
Eに示されるように、カルーセル90によりウエハヘッ
ド110及び付随するウエハ40を、次のポリシングス
テーション50bまで回す。
【0169】別の中間洗浄ステーション80’につい
て、図26H(27)にその断面が、図26I(28)
にその平面が示される。包囲された洗浄キャビティ85
2を有する洗浄ハウジング850は、テーブルトップ2
3の頂部に固定されている。線状の洗浄開口854が、
ウエハ40の直径とほぼ等しい長さで洗浄ハウジング8
50の頂部に形成され、これは、2つのポリシングステ
ーション50の間の境界に沿うように且つカルーセル9
0の回転方向に垂直となるように、調心される。しか
し、中間洗浄ステーション0及び50’は、図6Aの3
パッドシステムにおいてはこの4つの中間洗浄ステーシ
ョンの全体に対するポリシングのシーケンスの前後の対
応する地点に有利に配置されることができることに、注
意すべきである。
【0170】コンタクトパッド856が、洗浄ハウジン
グ850の上部の洗浄開口854を除いた部分に接着剤
で接着されているため、ウエハの緊密シールを堅く形成
したままで、ウエハヘッド110がウエハ40を、洗浄
ステーション80’の頂部に対して、ウエハ40を傷つ
けないように柔らかく押圧できるようになる。このよう
な接触のための材料は、軟らかく柔軟である必要があ
り、また、移送/洗浄ステーション70のペデスタル7
2の上に置かれる弾性シートと同様であってもよく、又
は、細密なポリシングパッド材料と同様の繊維パッド又
は発泡パッドであってもよい。あるいは、コンタクトの
ための材料は、洗浄ハウジング850に容易に接続可能
なリムーバブルトップに包含されていてもよい。
【0171】リッジノズルマウント860がテーブルト
ップ23に固定され、洗浄ハウジング850の洗浄キャ
ビティ852の中で上昇する。洗浄開口854の真下に
配置されるリッジピーク862は、直径が例えば0.0
25”(0.64mm)である垂直方向に向くノズル穴
864を数個有している。ノズル穴864は、中心に配
置される垂直供給通路868に接続する長手方向に伸張
する供給通路866に接続し、垂直供給通路868はO
リングリセス872によりテーブルトップ23の中の垂
直通路874とシールされて接続し、この垂直通路87
4はテーパー付き穴876を底部に有し、この底部に対
して洗浄液の供給源を選択的につなげることができる。
数多くの水平伸張排水溝が、テーブルトップ23との接
合部で洗浄ハウジング850の底部を貫通して伸び、洗
浄キャビティ852の底部に落ちてくる洗浄液が外に向
いて流れてテーブルトップ23の上部へと至り、そこに
は、余分なスラリ及びその他の研磨液のためのドレイン
数本が備えられている。
【0172】洗浄ハウジング850の上部のコンタクト
パッド856の上部が、ポリシングステーション50の
のプラーテン52の上部の僅か上にある。ウエハ40が
1つのポリシングステーション50でポリシングされた
後、ウエハヘッド110がウエハ40をプラーテンから
持ち上げ、これを中間洗浄ステーション80’の上に運
んでその上に下ろす。ノズル864が洗浄液をウエハ4
0に向かって噴射し、粒子を含んだ液体をキャビティ8
52の中におとして、排水溝を介して排水させる。
【0173】ウエハ40は、前述のように段階を経て研
磨してもよく、あるいは、ウエハヘッド110をゆっく
りと連続的に回転させて且つウエハ40をコンタクトパ
ッド856に緩く接触させて、研磨してもよい。弾性シ
ールの空孔性が適正に選択されたなら、ウエハ40は中
間洗浄ステーション80’の上を通過すればスクイージ
ーされる。
【0174】従来技術では、ポリシング工程の終わり
に、ウエハのバフ処理を行うため、即ちウエハを非常に
軽く研磨して塵や粒子を除去するためには、別のポリシ
ングステーションが必要であった。このバフ処理は、ポ
リシングパッドに似たバフパッドによってなされてい
た。中間ポリシングステーションの動作、特にポリシン
グのシーケンスの終わりにおける動作は、バフ処理の役
割と非常に似た役割を行っている。その結果、中間ポリ
シングステーションを具備することにより、実際のポリ
シングのための第3のポリシングステーションが必要で
なくなり、その結果、システムのスループットが実質的
に向上する。
【0175】更に、1つ以上の中間洗浄ステーション8
0又は80’を、別のポリシングステーションとして考
えることも可能である。従って、1つ以上の洗浄ステー
ション80又は80’を、ポリシングステーション50
に対して角度方向に配置して、ウエハヘッド100を、
洗浄ステーション80又は80とポリシングステーショ
ン50との双方の上に懸下させることができる。その結
果、洗浄ステーションでの洗浄又はバフ処理は、ポリシ
ングステーションでのポリシングと同時に行うことが可
能であり、そのため、ポリシング装置のスループットが
向上する。
【0176】(パッドコンディショナー)ポリシングパ
ッドは、完全に取り替える必要が生じる前にも、たびた
び(又は定期的に)コンディショニングを行って表面が
グレージングになることを防止する必要がある。ここに
記載する具体例では、パッドコンディショナーは、粗い
表面を有する回転ディスクであり、これは、コンディシ
ョニングを行っている間、回転するポリシングパッドと
連続的に接触するようになり、パッド54全面を周縁か
ら中心へと前後に掃引する。別のタイプのコンディショ
ナーも可能である。コンディショニング部材は平坦で非
円形であってもよく、パッドと接触可能な外周面を有す
る円筒部材であってもよく、あるいは、1つ以上の針で
あってもよく、又は別のものであってもよい。コンディ
ショナーの表面は、ざらざらであってもよく、歯状であ
ってもよく、あるいは、鋭い開口エッジを有していても
よく、又は別のものであってもよい。コンディショニン
グ部材の表面は、パッドと相対的に運動してもよく、コ
ンディショナー部材はパッドの上を転がってパッドにそ
の表面のパターンを打ち出してもよく、コンディショニ
ング部材はパターン全体に静止体として引っ張られても
よく、あるいは、パッドとは異なる平面で回転させても
よく、又は別のものであってもよい。このようなコンデ
ィショニング部材は、ポリシングパッドの上に配置可能
でポリシングパッドに対して運動可能な、コンディショ
ナーヘッドの概念の中に含まれるものである。
【0177】図29に示されるように、概略的には、パ
ッドコンディショナー60は、アーム62の遠端に懸下
されるコンディショナーヘッド64を有している。アー
ム62の近端は支持組立体65によって支持され、この
支持組立体65は、コンディショナーヘッド64をパッ
ドのコンディショニングのための適所に配置させパッド
54の上を掃引するようにウエハの平面でアーム62全
体を回転させることができ、また、アームの近端は、コ
ンディショナーヘッド64を約11/4 ”(32mm)だ
け僅かに上昇させてコンディショナーヘッド64をパッ
ド54に選択的に接触させることができ、また、ベルト
ドライブを介してコンディショナーヘッド64を回転さ
せる。
【0178】(コンディショナーヘッド)コンディショ
ナーヘッド64は、リセス610内部で、歯状又はその
他の研磨性の高い表面コンディショニングディスク61
2又はその他の略円筒状の部材を、自身の底面に保持し
ている。その下向きの面614は、グレージングの状態
となったポリシングパッド54と強く接してこれと相対
的に運動すれば、パッド54の表面が磨かれてグレージ
ングがなくなる。
【0179】コンディショナーヘッド64は、図31に
更なる詳細が例示されている。コンディショニングディ
スク612は、中心下側の開口616を中心に有し、そ
の底部は、コンディショニングディスク612の回転に
おける有効回転中心618に配置されている。有効回転
中心618は、パッド54及びコンディショナー表面6
14の圧縮及び横方向のコンシステンシーの変化を考慮
すれば、トルクを最小にできる地点を与え、何故なら、
回転摩擦によるコンディショナー表面とポリシングパッ
ドの強い接触は、垂直方向ではこの地点に対してネット
トルクを生じさせないからである。
【0180】図30の斜視図に更に例示されるように、
コンディショニングディスク612は、リセス610の
中に配置されたフレキシブルな保持パッド621によっ
てコンディショナーヘッドフェース板620の底部のリ
セス610の中に保持されるが、この保持パッド621
はフェース板620及び下磁石面に接着する接着性の面
を有している。コンディショニングディスク612は、
保持パッド621に隣接するリセス610の中にフィッ
トしている。コンディショニングディスク612は磁性
材料製であって、保持パッド621の磁石側面を保持
し、この別の側面は、コンディショニングディスク61
2に貫通する円形穴615の三角形の配列のエッジに対
してポリシングパッド54を磨くために、ダイヤモンド
を内部に有している。この穴は、直径約1/8”(3m
m)である。このようなコンディショニングディスク6
12は、米国ペンシルバニア州ファーロングの TBW Ind
ustries 社から、grid-abrade model として入手可能で
ある。リセス610の壁619にはゲート619aが形
成され、コンディショニングディスク612をリセス6
10から取り外すことが可能となる。
【0181】図30の多孔のコンディショニングディス
ク612は、例示の目的のためだけのものであり、本発
明にはその他のコンディショニング部材が含まれること
が理解されよう。
【0182】(ジンバルドライブ)図31に例示される
ように、新規なジンバル(水平保持装置)組立体が、コ
ンディショナーヘッドフェース板620及び付随するコ
ンディショニングディスク614をコンディショナーア
ーム64に接続する。いかなるジンバル組立体によって
も、回転運動をディスク状構造体に与え、他方、駆動軸
は、ディスクに対して垂直となる必要はなくある角度に
傾いている。しかし、図32に例示されるように、従来
技術のジンバル構造体621は、ジンバル回転中心62
2(ジンバル構造体の回転の2つの水平軸が交差すると
仮定して)を有し、この中心の周りに駆動軸624及び
垂直軸626が角度αgimbalだけずれている。従来技術
のジンバル回転中心622は、水平トルク中心627の
上方でコンディショニングディスク612とポリシング
パッド54との間の境界面に配置される。水平トルク中
心627からのずれは、コンディショニングディスク6
14がパッド54の上を掃引し、正味の水平線形摩擦力
がジンバル中心回転中心622からずれることを経るこ
とにより、有限な垂直トルク628が生じる。コンディ
ショニングディスク612を回転させ表面に沿って並進
運動させるシャフトが、ジンバル回転中心を通る水平面
内で合力Rを作用させ、他方で、パッド54が並進コン
ディショニングディスク612に対して作用させる正味
の線形摩擦力Fがコンディショニングディスク612と
パッド54との間に存在するとき、正味の垂直トルク6
28が発生するだろう。即ちこの2つの力が反対向きで
等しい場合であっても、この2つの力はモーメントアー
ムによって分解できて、これが有限な垂直トルク628
を生じさせる。垂直トルク628によって、コンディシ
ョニングディスク612の前縁630が、グレージング
の補修をしようとするコンディショナーパッド54に対
して、コンディショニングディスク612の後縁632
にかかる垂直圧力よりも大きな垂直圧力を有するように
なる。
【0183】垂直トルク628により、ポリシングのプ
ロセスによって前縁630を後縁632よりも多く摩耗
させる。このトルクは、負荷及びポリシングの差を生じ
させるものであり、コンディショナーヘッドが大きな下
向きの圧力を有するような方向に掃引され、掃引力の一
部が前縁に対する下向きの力に変換される場合に、増加
する。
【0184】このポリシングの差が生じる問題は、図3
3に従ったヘッドの幾何的関係によって減少ないしほぼ
排除され、そこでは、水平トルク中心627が共通の中
心636でジンバル回転中心622と一致している。コ
ンディショニングディスク612をパッド54全面上で
引くことにより生じる力R’と、コンディショニングデ
ィスク612とパッド54との間の摩擦力との両方が、
コンディショニングディスク612とパッド54との境
界面で同じ平面上に存在する。摩擦面上の掃引により生
じる回転トルク628はゼロまで下げされ、何故なら、
トルク中心628はこのトルクに抵抗する面内に存在
し、即ち、結果力R’と摩擦力Fとは同じ平面上に存在
し、モーメントアームはこれらの間に存在しないからで
ある。その結果、ジンバル中心622のずれにより生じ
る負荷の差が、著しく減少する。
【0185】図34の斜視図に示されるように、コンデ
ィショナーアーム62の往復運動、即ち中心から周縁へ
のポリシングパッド面上の掃引は、コンディショナー支
持シャフトハウジング1630の回転によってなされ、
このコンディショナー支持シャフトハウジング1630
は、アーム掃引駆動モーター1670につながったハー
モニックドライブ1668によって回転が与えられる。
この構造は後に更に詳細に説明する。コンディショナー
アーム62は、コンディショナー掃引駆動モーター16
70によって、上述のように駆動ハウジング1630に
ボルト止めされたスタブシャフト1642を介して回さ
れる。
【0186】新規なジンバル構造体の図33に戻ると、
コンディショニングディスク612がグレージング状態
のパッド54に沿って強制されたとき、摩擦力F’が発
生する。しかし、共通中心636が中心に置かれている
ため、駆動力R’は、等しく反対向きで平行で線上にあ
る。その結果、コンディショナーヘッドには正味のトル
クが存在しない。
【0187】この効果は、ボールアンドソケットジョイ
ント640によって実現され、このボールアンドソケッ
トジョイントの中では、球対称中心がコンディショニン
グディスク612とポリシングパッド54との間の境界
面上にある。負荷的な手段を置くことにより、ソケット
部分642がボール部分644に対して水平面上を回転
することが防止される。ボールアンドソケットコネクシ
ョンの中心部を、力が摩擦力と反対向きにポリシングパ
ッド54の面上で伝達されるように配置することによ
り、この構成がヘッドの回転の傾向を防止し、従来技術
で生じるように、コンディショナーヘッドの一方の側に
他方の側よりも高い圧力を発生させる。
【0188】本発明に従った特別の設計では、図31の
断面図に示されるように、研磨性のコンディショナーヘ
ッド表面614を有するコンディショニングディスク6
12の裏側を円筒状下側ボールジョイント部分に取り付
け、この円筒状下側ボールジョイント部分はその下内側
コーナーに、セグメントに別れた凸状で環状の表面65
4を有するベアリング要素652が取り付られており、
この表面654は、曲面の中心を共通中心618に有し
ている。この部分は、ボールアンドソケットコネクショ
ンのボールを形成する。
【0189】ボール部分についてここで説明してきた事
とは反対に、ソケット部分はコンディショナーヘッドシ
ャフト656を有しており、これは、凸表面654の裏
側に、セグメントに別れた凹状で環状の表面658を有
し、また、曲面の中心を共通中心618に有している。
ボールベアリングケージ660は、ベアリング要素65
2の凸表面654と、コンディショナーヘッドシャフト
656の凹表面658との間をロールする数個のボール
ベアリング662を把持している。ベアリングボー66
2により、コンディショナーヘッドシャフト656が、
コンディショナーヘッドフェース板620及びパッド5
4に対して(2つの垂直面の中で)才差運動することが
できるようになる。しかし、非常に軟らかいOリング6
64(好ましくはデューロメーターで40)が、ベアリ
ング要素654の環状で内側に面するリセス666の中
に把持され、また、コンディショナーヘッドシャフト6
56の外面壁668に対面している。閉じ込めリセス6
66の中でのOリング664の圧縮性は、コンディショ
ナーヘッドシャフト656のベアリング要素に対する才
差運動性を2〜3度に制限するが、これはコンディショ
ナーヘッド64の動作に十分であるよりも大きい。実
際、圧縮性が有限になれば、ジンバル構造体の垂直トル
クがないという仮定に影響する。この才差運動性によ
り、コンディショニングディスク612が極の角の狭い
範囲の中を動くことになり、ポリシングパッド54の表
面に僅かな変化が生じても、コンディショナーヘッドフ
ェース板620の一方の側に他方の側よりも大きな圧力
が与えられることがなくなる。
【0190】ネック付きナット670が、コンディショ
ナーヘッドベアリング要素620の上リム672にねじ
止めされ、その上ネック672が、コンディショナーヘ
ッドシャフト656の外側フランジ674の周りを緩く
包囲しつつもこれを把持しており、その係合が生じれ
ば、コンディショナーヘッドシャフト656のベアリン
グ要素656に対する才差運動の制限を最適にする。シ
ョルダーボルト676が、コンディショナーヘッドシャ
フト656の底部中心にねじ止めされている。その下向
き対面ヘッド678が、ベアリング要素650の打ち向
き対面リップ680によって、上向き側に把持される。
ショルダーボルト676のヘッド678とベアリング要
素650のリップ680とを選択的に係合させることに
より、コンディショナーヘッド64がポリシングパッド
54から持上がった際、コンディショナーヘッドベアリ
ング要素620がコンディショナーヘッドシャフト65
6から抜け落ちることが防止される。
【0191】ボールベアリング662により、通常は、
ベアリング要素652と、これに付随したコンディショ
ニングディスク612とが、コンディショナーヘッドシ
ャフト656に対して自由な方位に回転することが可能
となる。しかし、数多くの周縁駆動ピン682(このう
ち1つだけを図31に図示)が、コンディショナーヘッ
ドベアリング要素620及びコンディショナーヘッド駆
動シャフト656の1対の駆動ピン穴685及び686
の中にゆるく把持され、これらの間の実質的に方位的な
動きを防止する。即ち、コンディショナーヘッドシャフ
ト656の駆動ピン穴686は、コンディショナーヘッ
ドシャフト656のコンディショナーヘッドベアリング
要素620の才差運動を制限するように、駆動ピン68
2を極方向にしっかりと把持しているわけではないが、
駆動ピン682を横方向に関して把持して、実質的な相
対方位的回転を防止する。
【0192】コンディショナーヘッドのジンバリング
(水平保持)により、コンディショナーヘッドのコンデ
ィショニングディスクを平面状に回転させる駆動を与え
ることが可能となるが、コンディショナーヘッドを、コ
ンディショニングしようとするポリシングパッドに垂直
な方向から幾分か傾けることを可能とする。ジンバルド
ライブは、その回転の中心が低いため、その下の基板の
コンディショニングに差ができることを防止する。
【0193】2つの環状ベアリング688の外側レース
は、環状スペーサー690によって間隔が開けられ、下
側環状ベアリング688と底部外側カラー694との間
のバイアス環状ばね696を有して底部外側カラー69
4にねじ止めされている上部外側カラー692によって
保持されている。上部外側カラー692は、下側外側ス
カート693を有し、これは、スラリやその他の汚染物
が、コンディショナーヘッドシャフト656を支持する
ベアリング688に到達することを防止するように迷路
状の経路を提供する。
【0194】この組立体は、略U字型アーム本体160
4の中に皿穴があり上カラー692の下側フランジの中
にねじ込まれるねじ1602により、懸下される。
【0195】組立に際しては、コンディショナーヘッド
の下部が持ち上げられて環状ベアリング688の中心の
中に入り、下側環状ベアリング688の内側レースはコ
ンディショナーヘッドシャフト656のレッジ1610
の上に置かれている。内側スペーサー1612により、
2つの環状ベアリング688の内側レースが分離され
る。上環状ベアリング688は、歯状のシーブ1616
の蛇腹1614により把持されている。ボルト1618
は、コンディショナーヘッドシャフト656の中にねじ
止めされた際に、シーブ1616を押圧して、環状ベア
リング688の内側レースを保持する。
【0196】(コンディショナーアーム及び支持体)図
29の全断面図、図35の拡大断面図及び図34の部分
的斜視図に示されるように、コンディショナーアーム6
2は、コンディショナーヘッド64を支持し且つ上昇さ
せ、コンディショニングしようとするパッド54の端か
ら端までを掃引し、コンディショナーヘッド64に力を
伝えるベルト組立体を包囲する。
【0197】アーム本体1604は、遠端壁1618
と、アーム本体1604にねじ止めされるチャンネルカ
バー1620とを有し、駆動ベルト組立体を包囲しスラ
リによる汚染からこれを保護するハウジング1622を
形成する。駆動ベルト組立体は、コンディショナーヘッ
ド64に取り付けられている歯状ヘッドシーブ1616
の周りを包み、且つ、アーム支持体65の歯状駆動シー
ブ1626を包む、歯状駆動ベルト1624を有してい
る。歯状駆動ベルト1624が必要とされるのは、コン
ディショナーヘッド64が別の表面をコンディショニン
グする際に駆動ベルト1624に要するトルクが変化す
るためである。
【0198】図34及び35に示されるように、回転支
持ハウジング1630が、アーム本体1604の近端1
632を、水平才差運動軸1634の周囲に回転可能な
状態で支持する。垂直伸張支持ハウジング1630は、
それぞれ4つの保持穴1638が開けられている2つの
平坦面1636を有している。支持ハウジング1630
の平面1636がアーム本体1604のチャンネル16
22の中に配置されているときは、それぞれスタブシャ
フト1642を有する2つのシャフトベース1640
が、穴1644内にありシャフトベースのフランジに皿
穴を有するねじによって、平面1636の上に取り付け
られ、このねじは、支持ハウジング1630の保持穴1
638の中にねじ込まれている。外向きに伸張するスタ
ブシャフト1642は、球状ベアリング1464の内側
レースによって、回転可能な状態で支持されており、ス
タブシャフト1646間の自己調心及び調心ずれの調整
を行えるようにしている。このベアリング1646の外
側レースは、ベアリングカバー板1648に取り付けら
れており、このベアリングカバー板1648は、ベアリ
ングカバー板1648のフランジの中の穿孔1652を
貫通しアームスカート1650のタップ穴1654を通
るねじによって、アーム本体1604の垂直スカート1
650に固定され、水平才差運動軸1634を確立す
る。
【0199】このように、コンディショナーアーム本体
1604の近端1632は、水平才差運動軸1634の
周囲に旋回可能な状態に支持され、また、コンディショ
ナーアーム本体も、支持ハウジング1630の回転によ
り、水平面で回転可能となっている。
【0200】コンディショナーアーム62の水平才差運
動軸1634の周りの回転運動は、水力ラム1656に
よってなされるものであり、この水力ラム1656は、
アーム本体1604の背面から伸張するヨーク1660
の2つの水平穴1658に把持されるピンに接続、ま
た、シャフトハウジング1630に取り付けられこれを
回転させる旋回支持板1662に接続される。水力ラム
1656の伸張収縮により、コンディショナーアーム6
2及びこれに付随したコンディショナーヘッド64を、
水力ラム1656に与えられる圧力により制御された特
定の圧力で、ポリシングパッド54の方へと押圧し、又
は、コンディショナーアーム62及びヘッド64を持ち
上げて、保管又は保守のために、ポリシングパッド54
から遠ざける。
【0201】図34及び35に例示されるように、ベル
ト1624の駆動シーブ1626は、水平才差運動軸1
634の上方の地点で駆動シャフト1664の上端に固
定される。駆動シャフト1664は、シャフトハウジン
グ1630の内部に垂直に通過する。その上端では、旋
回支持板1662とスカート1663に接続され、ベア
リングを保護する。その下端は、ギア1665を保持
し、このギア1665は、コンディショナーヘッドモー
ター1666の出力シャフトでギア1667につなが
り、コンディショニングディスク612の動力を提供す
る。コンディショナーヘッドモーター1666は、テー
ブルトップ23に固定されたモーターブラケット167
6の上に装着される。
【0202】幾何関係の結果、アクチュエーター165
6によって、駆動シーブ1626をコンディショニング
アーム本体1604に対し旋回させることはない。しか
し、ヘッドシーブ1616は、コンディショナーアーム
本体1604に対し旋回する。従って、駆動シーブ16
26及び才差運動軸1634がずれているため、駆動シ
ーブ1626とヘッドシーブ1616との間に載置され
ている駆動ベルト1624の張力は、コンディショナー
アーム62が持ち上げられたときには減少し、コンディ
ショナーアーム62が下げられたときには増加する(傾
き角に対する張力の変化は、駆動シーブ1626が才差
運動軸1634の下に配置されていれば、正反対にな
る)。駆動シーブ1626の配置が、垂直旋回の中心1
634の上に(僅かではあるが)ずれており、これも、
駆動ベルト1624の張力に影響を与える。アーム62
が、ポリシングパッド54に向かって下向きに旋回すれ
ば、ベルト1624の張力が増加し、アーム62がポリ
シングパッドから遠ざかるように旋回すれば、ベルト1
624の張力が減少する。このベルト張力の増加と減少
は、水力ラム1656からの力と結合して、ポリシング
パッド54上のコンディショナーヘッド64の圧力に影
響する。ベルト1624の張力が増加すれば、水力ラム
1656により発生する力に対立し、コンディショナー
ヘッド64をポリシングパッド54に押圧する傾向が生
じる。張力の増加は、アーム62を上昇っせる傾向を生
じさせ、他方、張力が減少すれば、アーム62が、その
下のポリシングパッド54に向けて大きな力で押圧する
傾向を生じさせる。この構成では、コンディショナーヘ
ッド64とポリシングパッド54の間の一定の摩擦係数
が、駆動ベルト1624に適切な張力を与え、これが、
水力ラム1656からの力と共に、コンディショナーヘ
ッド64とポリシングパッド54との間の或る適切な圧
力を与え、これは、コンディショナーヘッド64とポリ
シングパッド54の間の境界面の高さの小さな変化に関
係しない。コンディショナーヘッド64とポリシングパ
ッド54の間の摩擦力は、粗いポリシングパッド面が通
過したときに増加し(表面は既に粗いので、更に粗面処
理/コンディショニングの必要はない)、摩擦力が増加
すれば、コンディショナーヘッド64を一定速度で回転
させるために必要な力が増加する。この力が増加するこ
とにより、コンディショナー駆動ベルト1624の張力
が発生し、コンディショナーヘッド64が上昇してポリ
シングパッド54から遠ざかる傾向を生じるため、コン
ディショナーヘッド64のポリシングパッド54への圧
力及び研磨が減少することになる。逆に、コンディショ
ナーヘッド64が摩擦力の低い領域、例えばポリシング
パッドのグレージングの生じた領域などを通過したとき
は、コンディショナーヘッド64の回転に対する抵抗は
小さくなり、コンディショナーヘッド駆動ベルト162
4の張力も小さくなる。張力の減少により、駆動ベルト
1624の力も減少し、コンディショナーアーム62を
下げる傾向を生じ、ポリシングパッドにかかるコンディ
ショナーヘッドの力が増加し、ポリシングパッドに更に
強くかみ合うようになり、グレージング又は低摩擦力の
位置に対して、更にコンディショニングを与えることに
なる。
【0203】図29及び35に示されている駆動掃引モ
ーター1670は、コンディショナーアーム62を、ポ
リシングパッド54の中心から周縁までの端から端まで
の経路で往復運動により掃引させる。駆動掃引モーター
1670は、テーブルトップ23の底部でモーターブラ
ケット1676に装着される。出力シャフト上のギア1
672が、伝達するトルクを数倍にする、ハーモニック
ドライブ1668のリム駆動ギア1674につながって
いる。パッドコンディショナー60のための模範的なハ
ーモニックドライブは、米国マサチューセッツ州ピーボ
ディのテイジンセイキボストン社ハーモニックドライブ
テクノロジーから、ユニットサイズ25で入手可能であ
る。ベルト駆動シャフト1664は、ハーモニックドラ
イブ1668及びリムギア1674の中心軸に沿って通
過している。ハーモニックドライブの高速低トルク側
は、モーターブラケット1676に固定され、低速高ト
ルク側は、シャフトハウジング1630に固定されてい
る。
【0204】コンディショナーアーム62は、上述のよ
うに、スタブシャフト1642の組を通って水平に回
り、アーム本体1604とシャフトハウジング1630
との間にボルト止めされる。コンディショナーヘッドモ
ーター1666は、テーブルトップ23に固定されたギ
アハウジング1672の中の1組のギアにより駆動シャ
フト1664に接続している。駆動シャフトは、駆動ベ
ルト1624、コンディショナーヘッド64、そしてコ
ンディショナーディスク612を回転させる。
【0205】図29〜35のパッドコンディショナー6
0は、ポリシングシステムの制御コンピュータに入れる
ソフトウェアを調節し選択することにより、多様な様式
に用いることが可能である。
【0206】ポリシングパッド54は、そのパッドでポ
リシングが中断している間に、コンディショニングする
ことが可能である。ウエハ110を放射方向最内部に引
っ込め、その最底部を上げて、ウエハヘッド110に保
持されている全てのウエハをパッド表面から離れるよう
にし、プラーテン52を回転させて、回転するコンディ
ショナーヘッド64が回転するパッド54と接触してそ
の周縁から中心までを掃引する。
【0207】あるいは、ポリシングがパッドで進行中
に、即ちリアルタイムに、ポリシングパッド54をコン
ディショニングしてもよい。コンディショナーヘッド6
4の掃引は、パッド54の外側部分のおよそ端から端ま
で伸張し(テーブルトップ23の中心から分かるよう
に)、他方、ウエハヘッド110及びそのウエハ40
は、内側部分の端から端までを掃引する。これにもかか
わらず、衝突を避けるためには、2つの掃引を同調させ
る必要がある。この同調には、オーバーセンターポリシ
ングと同時にリアルタイムパッドコンディショニングを
行うことが必要であることは明らかであり、それは、ウ
エハヘッド110がパッド54の上を通過してこれを越
えるからであり、また、パッド54のこの部分がコンデ
ィショニングを要しているからである。
【0208】(コンディショナーヘッドクリーニングカ
ップ)コンディショナーヘッド64のコンディショニン
グディスク614は、ポリシングパッド54全面を掃引
する際に、その研磨面及びポリシングパッド54に隣接
する外面がスラリでカバーされるようになる。コンディ
ショナーヘッド64がポリシングパッド54のウェット
面で作動する間、コンディショニングのプロセスが継続
しているため、コンディショナーヘッド64の表面上に
存在しているスラリが乾燥する間もなく、新たなウェッ
トスラリ粒子が容易に補給される。しかし、作動してい
ない間、例えば、ポリシング中にコンディショナーヘッ
ドが格納されているとき、又は、最も特殊な場合では保
守等の様々な理由で装置全体が動作していないとき、コ
ンディショナーヘッドは乾燥してしまい、コンディショ
ナーヘッドにコーティングされたスラリが岩のように堅
いケークとなりやすく、あるいは、スラリ中の水酸化ナ
トリウムが、コンディショナーヘッドの1つの表面上に
晶析する。そして、ケーク状のスラリを取り除く事や、
晶析した水酸化ナトリウムを溶液に戻す事は困難であ
る。
【0209】この問題を明らかにするため、図18のテ
ーブルトップ23の略平面図に示されるように、クリー
ニングカップ組立体68が、ポリシングステーション5
0a、50b及び50cのそれぞれに対して与えられ、
作動していないコンディショナーヘッド64を水溶液中
の環境下に保管する。
【0210】図36Aに模式的に例示されるように、ク
リーニングカップ組立体68のそれぞれは、モーター2
612のシャフトに装着されるクリーニングカップ26
10を有し、このモーター2612は、クリーニングカ
ップ2610を非作動の位置まで回わして、そこでは、
コンディショナーヘッド64が収容されているとき、コ
ンディショナーアーム62がコンディショナーヘッド6
4をクリーニングカップ2610の中まで下げる。流体
ラインを含む更に完全な構造の例示は図37に示され
る。非作動の位置は、ポリシングステーション50cに
対しての図18の平面図に例示されている。
【0211】図36Bに例示されるように、ポリシング
パッド54のコンディショニングを行う作動の位置まで
コンディショナーヘッド64を回したとき、コンディシ
ョナーアーム62がコンディショナーヘッド64を持ち
上げて、これをクリーニングカップの外に出す。そし
て、図36Cに例示されるように、モーター2612が
クリーニングカップ2610を非作動の位置まで回し、
これは、ポリシングステーション50a及び50bに対
する図18の平面図に示される。図36Cに戻ると、コ
ンディショナーアーム62は、次いで、コンディショナ
ーヘッド64を下げて、プラーテン52上に載置されて
いるポリシングパッド54の上に載せる。コンディショ
ニングの操作が完結したとき、コンディショナーヘッド
64が持ち上げられ、洗浄カップ2610が図36Bの
位置まで揺り戻され、その位置では、図36Aに示され
るように、保管のためにコンディショナーヘッド64が
下げられクリーニングカップ2610の中に戻され、コ
ンディショナーヘッド62に付着したスラリ及び水酸化
ナトリウムが溶液の形態のままでいるか、あるいは、洗
い落とされて除去されている。
【0212】洗浄カップ組立体68は、その断面が図3
7に例示され、洗浄カップ2610は、図38に平面図
が例示されている。洗浄カップ2610は、コンディシ
ョナーヘッド64の底部を受容するに十分な大きさ及び
深さを有する円形に近い堰2616によって画成される
中心ベイズン2614を有している。垂直伸張供給ライ
ン2620への直径1/8”(3.2mm)の開口をそ
の外側端部に有する長手方向スリップ2618を与える
ように、堰2616の形状が与えられる。水又はその他
のクリーニング溶液が、洗浄供給ライン2620からカ
ップ2610を介して循環する。コンディショナーヘッ
ド64が下げられ洗浄カップ2610のベイズン261
4の中に入れられたとき、コンディショナーヘッド64
が、その中に収容している洗浄溶液をまき散らすことが
考えられる。従って、コンディショナーヘッド64を洗
浄カップの中まで下げる前に、垂直供給通路2620を
介してベイズン2614を排水することが推奨され、こ
れは、供給ライン2632に配管及び3方バルブを接続
することにより実現される。
【0213】周縁ドレイン2622が、堰2616の外
側と僅かに高い包囲ダム2624との間に形成されてい
る。周縁ドレイン2622の両端は外向きに、スリップ
2618と平行に、共通の垂直伸張ドレイン通路262
6と接合する直径Jの1/4”(6.4mm)の2つの
ドレイン穴2625まで伸びている。ベイズン2614
をオーバーフローする流体は全て、周縁ドレイン262
2に捕捉され、ドレイン通路2626を介して排水され
る。
【0214】洗浄カップ2610は、その支持側で回転
シャフト2628に装着され、また、垂直供給通路26
20及び垂直ドレイン通路2626を有するように形成
され、このシャフト2628と洗浄カップ2610との
間の通路2620及び2626は、リセス内のシール
(図示せず)によってシールされる。シャフト2628
は、支持ベアリング2630によってテーブルトップ2
3の中に装着される。洗浄カップ2610の回転が比較
的制限されているため、フレキシブルな供給ライン26
32及びドレインライン2634は、それぞれ、接続部
2636及び2638を介して、シャフト2628の通
路2626及び2626に直接接続されてもよい。ドレ
インライン2634を介して排水される洗浄液は廃棄さ
れてもよく、あるいは、供給ライン2632を介してリ
サイクルされてもよい。飛散を防止するため、洗浄カッ
プ2610を動かしている間で、且つ、コンディショナ
ーヘッド64を下げて洗浄カップ2610の中に入れた
ときに、中心ベイズン2614を排水することが好まし
い。中心ベイズン2614の排水は、フレキシブルな供
給ラインに接続する3方バルブにより洗浄流体源と排水
の間で変えることにより、洗浄供給ライン2620とフ
レキシブルな供給ライン2632を介して行うことが可
能になる。モーター2612は、ブラケット2640を
有するテーブルトップ23の底部に固定され、ギアの構
造(図示せず)により、シャフトの側部にギア接続され
る。
【0215】図37に示されるように、外側ダム262
4の高さが非常に高いため、クリーニングカップ組立体
からの流体の損失は通常はなく、必要に応じて新しいク
リーニング溶液が供給され又は循環されクリーニング溶
液を新鮮に保ち、コンディショナーヘッドの表面上にス
ラリや化学品の結晶のケーキングや問題の発生がなく、
コンディショナーヘッド64を保管することが可能とな
る。
【0216】図39A、39B及び39Cは、コンディ
ショナーアーム62、ウエハヘッド64及びポリシング
プラーテン52のクリーニングカップ組立体68に対す
る相対運動を示すものである。図39A、39B及び3
9Cは、図36A、36B及び36Cにおけるコンディ
ショナーアーム62の位置と相関している。本発明の使
用におけるこの具体例では、コンディショナーヘッド6
4は、同時にポリシング及びコンディショニングの操作
を行いつつ、ウエハヘッド110の座標上の運動によ
り、ポリシングプラーテン52の端から端までを掃引す
る。この座標は、カルーセル支持板90のスロット91
0内で放射方向に往復運動する際にウエハヘッド110
を妨害することを防止するために必要である。
【0217】図39Aの平面図では、ウエハヘッド11
0はポリシングパッド54上のおよそ中心に置かれ、コ
ンディショナーアーム62が、その保管の位置に配置さ
れ、コンディショナーヘッドクリーニングカップ組立体
68が、コンディショナーヘッド64を包囲している。
【0218】図39Bでは、コンディショナーアーム6
2が、クリーニングカップ組立体68より外側に垂直に
旋回し、点線2640は、ウエハヘッドが最内の位置に
ある状態からプラーテンエッジとオーバーラップしない
最外の位置にある状態への両方の状態を示し、別の点線
2642は、コンディショニングアーム62の最内と最
外の間の同様の往復運動を示すものである。
【0219】図39Cでは、クリーニングカップ組立体
68は、コンディショナーアーム62が、ポリシングパ
ッド54の中心からエッジ及び裏側までスイングして往
復運動する経路を外れて移動したものである。ウエハヘ
ッド110、コンディショナーヘッド64及びプラーテ
ン52の全てが、同じ方向(時計回り)に回転している
ことに注目すべきである。図39Cは、ウエハヘッドが
プラーテン52のエッジの上方に懸下しているときのヘ
ッドの最外の位置を示している。ウエハヘッド110の
保持リング部分はプラーテン52のエッジの上方で懸下
可能であるが、ウエハヘッドに保持されているウエハは
そうではない。
【0220】別のプロセスでは、コンディショニングの
ステップとポリシングのステップとが別れている。ポリ
シングのプロセスの間、図39Bに概略が示されている
ように、ウエハヘッド110が回転中のポリシングパッ
ド54の全面でウエハ40を掃引している間、コンディ
ショナーヘッド64が保管カップ組立体68の中に保管
される。コンディショニングのプロセス中は、図39C
に概略が示されているように、ウエハヘッド110がカ
ルーセル90の中心に最も近く且つ回転中のパッド54
の上方の最内位置で保管されている。コンディショナー
ヘッド64は、妨害しない位置まで回された保管カップ
組立体68から持ち上げられ、コンディショナーヘッド
64が回転中のパッド54の上を掃引してコンディショ
ニングを行う。パッドのコンディショニングが完結した
後、コンディショナーヘッド64が保管のために戻され
る位置までカップ組立体を回して戻す。
【0221】(ウエハ移送調心及びクリーニングステー
ション)図1及び2に示されるように、移送ステーショ
ン70は、ポリシングが終了した後、搬送装置30とポ
リシング装置20との間のウエハの出し入れ移送とい
う、多数の目的を果たす。図40は、テーブルトップ2
3に対して上昇が可能なウエハ移送ステーション70の
拡大斜視図を示す。ウエハ移送ペデスタル72は、略水
平に伸びる上面を有し、そこには、ウエハの主面を傷つ
けないようペデスタル72の上面にやさしく支持するた
め、薄い弾性膜722が貼り付けられている。3つのフ
ォーク組立体74が、ペデスタル72の1つの垂直位置
の回りに配置され、ペデスタル72上に支持されている
ウエハを横方向に調心させる。ペデスタル72が、洗浄
シュラウド76の中に垂直に収縮可能で、シュラウド7
6に取り付けられた3つの洗浄組立体77がリンス流体
をウエハ、ペデスタル又はウエハヘッドに向けるときは
リンス流体がシュラウド76の中に収容されるようにし
ている。また、シュラウド76は、テーブルトップ23
に対して垂直に上昇することができる。
【0222】図41は、プラーテン及び洗浄シュラウド
の上面の平面図である。図42及び43は、図40と同
様の2つの異なった角度からの斜視図であるが、部分的
に断面を示し、フォーク及び水ノズルの動作を示してい
る。図44は、移送ステーションのペデスタル領域の詳
細な断面を示している。シュラウド76は、略円筒状の
ベイズンシャフトハウジング78上で支持されこれにシ
ールで接続し、他方、ペデスタルは、ベイズンハウジン
グ78の中に垂直に伸びる管状ペデスタルコラム79の
中を通りこれに支持されている。
【0223】(ペデスタル及び洗浄ベイズンの洗浄ポー
トと真空ポート)移送ステーション70のペデスタル7
2は、図41の平面図及び図44の断面図に例示される
ように、中心ポート724及び多数のオフセットポート
726の両方を、ペデスタル72の上面に、中心を外れ
て且つ弾性膜722に貫通するように、有している。即
ち、真空及び水のためのポート724及び726は、ペ
デスタル72の上部及び弾性膜722を貫通する。ポー
ト724及び726は、ペデスタル72内の横通路72
8(図44にはこのうち2つしか例示していない)に接
続し、これは、管状ペデスタルコラム79の中の中心通
路と反対側の垂直通路730に接続する。加圧された洗
浄流体又は真空が、ねじ切りユニオン738を介してペ
デスタルコラム79に取り外し可能に結合したフレキシ
ブルな流体ホース736を介して、ペデスタルコラム7
9の中心通路の底部に与えられる。真空源が洗浄流体で
汚染されることを防止するため、真空ジェネレータ及び
3方バルブが、真空ラインと洗浄供給ラインとの接合部
でフレキシブルライン736に接続される。真空ジェネ
レータは、水の圧力を用いて、真空を発生させるもので
ある。模範的な真空ジェネレータは、米国マサチューセ
ッツ州ヒンガムのPIAB社から入手可能な、Model L1
0 型真空ポンプが挙げられる。3方バルブ、ペデスタル
コラム79の中心通路723及びこれに対応したポート
を介することにより、加圧液体又は真空を供給する際、
この液体で真空源を汚染する可能性を低減する。
【0224】図41の平面図及び図49Aの横断面図に
示すように、ディスクチップノズルがポート724及び
726にねじ止めされ、これは、米国イリノイ州セント
チャールズのレクラー社から入手可能な Model 680.34
5.17 が好ましい。詳細は後述するが1方チェックバル
ブが中心ポート724に設置され、洗浄液がそこから噴
射することを防止しつつ中心ポートで真空が引けるよう
にしている。加圧クリーニング溶液がオフセットポート
726を介して供給され上向きの方向を有する液体がウ
エハヘッド110の底面及びそこに付随しているウエハ
全てを洗浄する。ウエハが弾性膜722と接触している
ときは、ポート724及び726に供給される真空は、
ペデスタル72の上部にウエハをしっかりとシールす
る。
【0225】3つの洗浄組立体77は、図43の斜視図
及び断面図の双方に示されるように、ペデスタル72の
周囲に約120゜の間隔をもって配置され、ポーチルー
フ740及び外側壁741の内側の下のシュラウド76
のおよそ周縁に配置される。洗浄組立体77のそれぞれ
は、ベイズン76の内底部743に固定され垂直通路7
48を介して第1のタップノズル穴746に接続する放
射方向通路744を有する、下側部材742を有してい
る。洗浄組立体77は、下側部材742に固定され自身
の垂直通路752を有する上側部材750を更に有し、
垂直通路752は他の垂直通路748にシールで接続
し、第2のタップノズル穴754に接続する。フラット
スプレーノズルのそれぞれは、全体のスプレーのパター
ンを最適化するようそれぞれのスリットの方向を選択し
て、ノズル穴746及び754にねじ止めされる。下ノ
ズル穴746は、ペデスタル72の水平面に対して約3
0゜上に向く横軸を有して、上ノズル穴754は、約1
5゜下に向く横軸を有し、即ち、2つのノズル穴746
及び754は、ウエハの平面から約10゜〜45゜の範
囲の角度でずれている。これら2つのスプレーパターン
は、何も置いていないペデスタル72及びポリッシュに
より保持されるウエハを更に効果的に洗浄するため、ペ
デスタル72の周縁の近く又はその外側で交差するよう
に、配置が与えられていてもよい。
【0226】図43及び44の双方に示されるように、
洗浄組立体77のそれぞれは更に、下側部材742の放
射方向内端に接続しその放射方向通路744にシールし
て接続する。洗浄組立体78のそれぞれの供給管756
は、ベイズンハウジング78の内側を垂直下向きにその
下端まで通じている。この地点で、下側カラー760の
通路758に接合し、この下側カラー760は、洗浄流
体のためのフレキシブルなラインへのねじ切り接続のた
めのタップ穴を外壁に有している。
【0227】従って、洗浄流体は、略水平方位周縁洗浄
組立体78の3つそれぞれに独立して供給され、ペデス
タル72の上部の垂直方位ポート726に供給される。
ウエハヘッド110が移送ステーション70の上方に配
置されベイズンシュラウド76及びこれに対応する洗浄
組立体77が持上がってウエハヘッド110及びこれに
付随するウエハがベイズンシュラウド76のポーチルー
フ740の内側に配置されるとき、それぞれの源(ソー
ス)からの洗浄流体は実質的にベイズンシュラウド76
の中に収容される。過剰な洗浄流体及び同伴するスラリ
は、ベイズンシュラウド76の中に捕捉され、ベイズン
ハウジング78の底部に向かって下向きに排水され、そ
こでは、ドレイン通路759が、ベイズンハウジング7
8の底部及びカラー760に貫通しドレイン管761に
接続する。
【0228】ベイズンシュラウド76がウエハヘッド1
10の周囲に上昇することにより、ウエハヘッド110
に必要な垂直ストロークが短くなる。この短いストロー
クは、ウエハヘッドの単純且つ簡単なデザインに寄与す
る。
【0229】(ウエハ調心フォーク)図40に例示され
るように、また、後述でより詳細に説明するように、ウ
エハ40をウエハ移送パドルによりペデスタル上に搬送
した後、3つのフォーク組立体74を用いて、ウエハヘ
ッド110を洗浄ステーション70及びそのペデスタル
72に対して調心する。その後、ペデスタル72を少し
下げ、ベイズンシュラウド76をこれに付随するフォー
ク組立体74と共に持ち上げて、ペデスタル72と、ウ
エハ40と、ウエハヘッド110の下部とを横方向に包
囲する。中心合せが終了した後に初めて、ウエハ40を
ウエハヘッド110に搬送する。
【0230】図41にも、3つのウエハ調心フォーク組
立体74の三角形の配向が平面図で示される。図42の
斜視図、図45の拡大斜視図及び部分的断面図、並び
に、図44の断面図でも更に示されるように、フォーク
組立体74のそれぞれはフォーク762を有し、フォー
ク762は、制限された角度の範囲の中で回転可能で、
また、中心合せをしようとするウエハのエッジに隣接さ
せるための1対の調心歯764を有している。フォーク
762は、放射方向に伸張するフォークアーム766の
遠端上を回転し、フォークアーム766の近端は、ベイ
ズンハウジング78の内部に下がって伸びる垂直リブ7
68に固定される。リブ768の下端は、シャフト76
9の回りに支持スリーブ772のウィング770までヒ
ンジ動作ができるようになっており、これは、後述する
が、ベイズンハウジング78に固定されている。ベイズ
ンハウジング78の外側の側部には空気圧シリンダー7
74が固定され、この空気圧シリンダー774は、ベイ
ズンシャフト78に貫通し且つ垂直リブ768の中間部
分内に通じるカップリングをそのシャフト端に有する、
出力シャフト776を有している。ここでのデザイン
は、それぞれのリブ及びこれに対応するフォーク組立体
74に通じる1つの空気圧シリンダー774に専用のも
のであるが、このデザインは、1つの空気圧シリンダー
を用いて3つのリブ768を作動させるために容易に変
更できるものである。
【0231】フォーク空気圧シリンダー774の空気圧
による作動及び作動停止は、ペデスタル72上のウエハ
40に対してフォークの放射方向位置を制御する。作動
では、リブ768が放射方向内側に押圧され、フォーク
762がペデスタル72上のウエハに近付き接触できる
ようになる。作動停止では、リブ768が放射方向外側
に引かれ、ペデスタル72からフォーク762を引っ込
めるようになる。この幾何関係が、フォーク762の放
射方向運動をその軸方向の運動と結合させ、フォーク7
62がウエハ40に近付けば上昇するようにしているこ
とに、注意すべきである。フォーク空気圧シリンダー7
74にばねで負荷が与えられ、空気圧シリンダー774
への負荷を変化させて位置の空気圧制御を更に精密に行
うことができるようになる。緊張緩和ねじ778が底部
からフォークアーム766の放射方向内側部分の中にね
じ込まれて、フォークアーム766への垂直方向調節可
能な下側ストップを与えるため、フォーク762の放射
方向外向きの移動を制限する。
【0232】図42及び45に最も良く示されているよ
うに、フォーク組立体74のフォーク762が、フォー
クアーム766の遠端に固定されここから垂直上向きに
伸びる、フォーク回転シャフトに780回転可能な状態
で支持される。2つのブッシング782(図45にはこ
のうち1つだけ図示)が、フォーク762のヨークを把
持し、フォーク回転シャフト780に対して水平面上を
自由に回転させる。フォーク762が自由に回転できる
ことにより、調心がうまくいっていないウエハにフォー
ク762が最小の掃引動作で近付くことができるため、
接触点が3つではなく6つとなる。
【0233】2つのバンパー組立体784が、フォーク
の歯764の背面に略放射方向に、垂直軸の回りに回転
可能な状態で支持されている。バンパー組立体784の
それぞれは、ノブ状バンパー786の水平面上での自由
な回転を可能にする2つのボールベアリングを有してい
る。バンパー786は、ウエハヘッド110の側部に係
合し、これは、洗浄ステーション70のペデスタル72
に正確に調心されなくてもよい。フォーク762が先ず
ウエハ110の側部に歯762の両方で接触した後、フ
ォーク組立体74が更に内向きに収縮して、ブレーキが
かかっていないカルーセル支持板906を必要な方向に
回転させ、ペデスタル72を正確に調心できるようにす
る。その後初めて、カルーセル90を適所にロックす
る。バンパー786も、調心のうまくいっていないウエ
ハ40を再調心する。
【0234】フォークアーム766とリブ768がリモ
ートシャフトの回りに旋回するカンチレバーのデザイン
は、モーメントアームが長くなり介在する支持組立体の
剛性が制限されるため、フォーク762が周縁方向にも
垂直方向にもふらつくという欠点がある。このふらつき
を防止しつつフォーク組立体74の実質的に自由な運動
を妨害しないようにするためには、3つの調心フォーク
組立体790のそれぞれが、それぞれのリセスにねじ止
めされて洗浄ベイズン76の外壁741に、周縁方向に
120゜の間隔をおく位置及び軸方向の位置で、固定さ
れる。このような位置は、フォーク回転シャフト780
及びバンパー786の双方から放射方向内向きの位置で
フォーク62に固定されこれを下向きに下げるポスト7
92に対応している。調心フォーク組立体792は、ベ
イズン壁741から放射方向内向きに伸びる2つの歯7
94を有しているため、フォーク回転シャフト780の
下向きに下がるポスト792を非常にゆるく把持するこ
とができるため、フォーク762が所定の回転の制限を
越えて回転して周縁方向にふらつくことが防止される。
フォーク762は歯794の中のブッシングの周囲を回
転し、この回転は、歯794の一方又は他方に係合する
ポスト792により回転が停止するまで行われる。
【0235】上述のウエハ支持体のデザインは、ウエハ
の処理側がペデスタル上に載っているものであり、ウエ
ハの処理側が接触する必要性のない従来技術のデザイン
の思想とは対立するものである。もう1つのデザイン
は、このような接触は、ペデスタルのフェースから上向
きに伸びウエハのリム又はウエハの処理側の最外周遠と
係合するように配置される三つのフィンガを含んでい
る。レッジ又はテーパーが、フィンガの上チップで内向
きに面してウエハをフィンガに対して調心させる。その
ため、ウエハの処理側の中心部分は、ペデスタルの上方
に懸下されたままとなっている。ペデスタルのフェース
の中に反射光センサが具備され、ウエハがフィンガ上に
配置されたときを検知する。
【0236】(移送ステーション支持体及び運動)前述
したように、また、図44の断面図に最もよく示されて
いるように、移送ペデスタル72と洗浄ベイズン76と
は、機械ベース22のテーブルトップ23に対して、そ
れぞれ独立に垂直方向に運動することができる。
【0237】ベイズンハウジング78は、テーブルトッ
プ23の上部に固定されたショルダー1714の開口1
712の中を通過する。空気圧シリンダー1716が、
洗浄ハウジング78の下端の側部に固定される。その出
力シャフト1718が、垂直上向きに伸びて、そのフッ
ト1720が、プレート1724を介してショルダー1
714の底部に取り付けられるジョー1722の中に把
持される。ベイズン空気圧シリンダー1716は、この
ように、ベイズンハウジング78及びテーブルトップ2
3に対して付随するの要素の相対運動を与える。空気圧
シリンダー1716はまた、ペデスタル92を運動させ
るが、空気圧シリンダー1716により動かされる別々
の運動手段は、ベイズンハウジング78と独立にペデス
タル92を運動させる。ここで図示されている垂直レー
ルは、シュラウド1714に取り付けられており、ベイ
ズンハウジング78に取り付けられているハンド(図示
せず)はレールと係合し、ベイズン空気圧シリンダー1
716により垂直方向に動かしたときの、ベイズンハウ
ジングに対する横方向安定性を提供する。
【0238】ベイズンハウジング78の底部内側リップ
1726は、ベイズンハウジング78の中で上向きに伸
びる支持スリーブ772の底部を支持している。2つの
円筒状ターサイトブッシング1728及び1730が、
支持スリーブ772とペデスタルコラム79との間に介
在して、これを横方向に支持しつつ垂直方向に自由にガ
イドしている。上ブッシング1728は、スリーブ77
2にねじ止めされているカラー1732によって、支持
スリーブに対し下向きに押圧される。下ブッシング17
30だけが、支持スリーブ772の下端に隣接してお
り、これに対し、また、ペデスタル支持コラム79に対
し、下カラー760によって保持されている。ボルト
(図示せず)1組が、下カラー760及びベイズンハウ
ジング78の下リップ1726を貫通し、支持スリーブ
772の下端の中にねじ込められて、ベイズンハウジン
グ78と支持スリーブ772とを堅く接合する。前述の
ように、フォーク組立体74のそれぞれが、支持スリー
ブ772の下端でウィング770を貫通するシャフト7
69上を旋回する。
【0239】ペデスタルコラム79及び即ちペデスタル
72が、図46の斜視図で更に示されるように、3つの
レッジを有するスパイダー1740により、ベイズンハ
ウジング78の底部に運動可能な状態で保持されてい
る。スパイダー1740は、図44Aの拡大断面図に示
されるように、Oリング1742によりペデスタルコラ
ムに堅く保持され、くさび型のスペーサー1743はそ
れらの間に配置され、これら全ては、下側テーパーエッ
ジを有する管状リング1744の中に配置される。軸方
向の圧縮力により、Oリング1742がスパイダー17
40、くさび型スペーサー1743及びペデスタルコラ
ム79と弾性的に接触するため、これらが一緒に固定さ
れる。カラー1746の上にあるリップは、スパイダー
1740い対してねじ止めすることによりバイアスが与
えられ、Oリング1742をそれぞれのテーパーのとが
った点に強制して、ペデスタルコラム79を放射方向に
係合させ、これらとのあらゆる相対運動を防止する。
【0240】図44及び46Aに示されるように、スパ
イダー1740のそれぞれの脚1750は、その遠端ジ
ョー構造体を有し、このジョー構造体は、下ジョー17
52と、分枝する上ジョー1754とを有し、上ジョー
1754の2つの歯の間にスリット1755を有してい
る。スパイダー支持シャフト1756は、上ジョー17
54の歯と歯の間を通過し、下ジョー1752と上ジョ
ー1754との間に係合するフット1758をその下端
に取り付けられて有している。
【0241】スパイダー支持シャフト1756は、空気
圧シリンダー1760の垂直配向シャフトであり、ベイ
ズンシャフトハウジング78の側部に取り付けられてい
る。このように、ペデスタル空気圧シリンダー1760
の作動により、ペデスタル72及びこれに支持されるウ
エハが、洗浄ベイズンに対して垂直に運動する。3つの
ガイドポスト1762が、スパイダー1740のアーム
1750のブッシング1764を貫通する。ガイドポス
ト1762の上端は、ベイズンシャフトハウジング78
に固定される図44の下カラー760に固定され、この
ため、スパイダー1740及び付随するウエハ72の運
動の安定性を与える。上述の支持体及び運動機構は、3
つの空気圧シリンダー1760を用いてペデスタルを移
動させているが、この空気圧シリンダー1つだけを用い
るように再設計を行うことは容易であろう。
【0242】(ウエハの移送ステーションへの搬送)搬
送システムからポリシングシステム20へのウエハ40
の搬送において、図47Aに示されるように、洗浄ベイ
ズン76及びこれに付随する要素が下げられて、実質的
に垂直方向静的なウエハヘッド110から離し、ペデス
タル72を適当に下げて、移送ロボットブレード38が
その下側に付随しているウエハと共に、垂直静的なウエ
ハヘッド110の下を通過しペデスタル72の上方を通
過させることができる。ウエハブレード38が中心に配
置されたとき、ペデスタル72を持ち上げ、その弾性表
面722でウエハを柔らかく受容することができる。そ
の後、ペデスタル72を下げ、ウエハブレード38を引
っ込める。図示のように、ウエハ40が最初は調心がう
まくいっていなくてもよい。
【0243】ウエハの搬送においては、移送洗浄ベイズ
ンシュラウド76及びその内側の部品を下げて、ウエハ
移送ペデスタル72から遠ざけられる。ロボットブレー
ド38は、ウエハ40を保持するその底部に真空チャッ
ク穴を有しており、ウエハ40をある地点に移動させ、
洗浄ベイズン67の上方に伸びるペデスタル72の頂部
の上方で下を向くようにウエハ40を配置させる。そし
て、ペデスタル72が持ち上げられ、ウエハ表面と接触
するようになり、ウエハはロボットブレードから解放さ
れる。ペデスタルが下げられ、又は、ロボットブレード
が僅かに持ち上げられ、ロボットブレード38がウエハ
ヘッド110とペデスタル72との間の位置から外向き
に水平に回転するときウエハとロボットブレードとが接
触しないようにしている。ウエハヘッド110及び洗浄
ベイズンシュラウド76は、その後、持ち上げられ(図
47B)、ウエハヘッド110の周縁を包囲する。
【0244】その後、図47Bに例示されるように、ペ
デスタル72をある程度持ち上げつつも、ベイズン76
を実質的に持ち上げ、実質的に静的なウエハヘッド11
0とペデスタル72上に置かれたウエハ40とを包囲す
るようにする。この動作の間、ウエハ調心組立体74
が、その解放された、放射方向外向きの位置にある。ベ
イズンシュラウド76が持ち上げられてウエハ40がフ
ォーク762の歯764に水平方向に調心されたとき、
フォーク空気圧シリンダー774が作動して、ペデスタ
ル72に支持されたウエハ40の周縁に接触しなけれ
ば、フォーク764の歯がペデスタル72の中心の方へ
と移動し近付く。フォーク764は、そのバンパー78
6がウエハヘッド110の外側に接触するまで、放射方
向内向きに移動するだろう。この接触により、2つの歯
のフォーク762が、フォーク旋回ポスト780の回り
で周縁方向に調心する。図48A及び48Bに示される
ように、更に放射方向内向きに移動することにより、ウ
エハヘッド110をペデスタル72の中心72aに調心
させ、また、歯764により、ウエハ40の中心40a
をペデスタル72の中心72aに調心させる。ウエハ4
0に最初に接触する歯764は、同じフォーク762の
反対の歯764がウエハ40と接触するまで、踊るよう
に旋回する。その後、2つの歯764は、図47Dに示
されるように、既に概ね中心合せがされたウエハ40を
別の2つのフォーク762の方へと押し、これは他の2
つのフォーク組立体のバンパー786がウエハヘッド1
10に接触して停止するまで続けられる。ウエハ40が
ペデスタルに適正に調心されていれば、調心フォーク7
62及び歯764は、ウエハ40にかろうじて接触する
程度でよい。
【0245】ウエハ40をウエハヘッド110に取り付
けるためにペデスタル72の中心に調心することにより
発生する押圧力は、調心フォーク762の6本の歯76
4のいくつかに分散される。フォーク762のそれぞれ
の押圧力は、ヨークを介してウエハ40上に2点で実質
的に均等に配分される。ウエハ40がペデスタル72の
中心の方へ移動すれば、スライド接触を要しずに旋回し
てウエハのエッジとの接触を維持するためのヨークの水
平回転が自由であるため、ウエハを破壊し調心ジョーと
ウエハとの間の大きな面積の滑りに対する摩擦抵抗を分
散させるような、ウエハエッジへの応力集中を低減す
る、このため、同じ力が小さな面積にかかったときに激
しくなる局所的な変形による局所的な欠点の発生の可能
性を低減する。調心ヨークを用いることにより、ウエハ
が押圧されてウエハヘッド110と調心されたときに、
ウエハの回転及び滑りが可能となる。
【0246】ウエハ40がウエハヘッド110と調心さ
れれば、図47D及び26Cに示されるように、ウエハ
がウエハヘッド110の下部1110のリセス1115
の下に配置されている。
【0247】その後、図47Eに示されるように、フォ
ークアクチュエーター774により、フォーク組立体7
4を放射方向に引っ込める。そして、ペデスタル72を
上昇させ、ウエハヘッド110の下部1110のウエハ
受容リセス1115の中までウエハ40を持ち上げる。
ウエハ40は、ウエハ受容リセス1115の内主面に対
してしっかりと押圧され、ウエハ40とウエハヘッド1
10との間の真空又は表面張力による付着力が、ペデス
タル72が下げられる前は確保される。構成によって
は、ウエハヘッド110はウエハ受容リセス1115に
真空ポートを有し、インターロックセンサにより真空ポ
ートがウエハ40によりシールされたときを感知しても
よい。これにより、ウエハ40がウエハヘッド110に
しっかりと付着することが確保され、また、以前下から
ウエハ40を支持していたペデスタル72を、ウエハヘ
ッド110にウエハが適正に付着しないおそれなしに下
降できることを確保する。そして、洗浄ベイズン76を
下降させ、ウエハヘッド110及びいま付着しているウ
エハ40がポリシングのために回って次のポリシングス
テーションに至るよう準備ができている。
【0248】(ウエハクリーニング及び移送ステーショ
ンからの搬出)図49A、48B及び49Cは、ウエハ
のポリシングが終了した後の、ウエハヘッドのフラッシ
ュ洗浄及びウエハヘッド110からのウエハの取り出し
を行っている操作の、横正面及び上面を表す。
【0249】図50A、50B及び50Cは、ペデスタ
ル72の中心での中心ノズル724の背後に配置される
チェックバルブ組立体1770の動作を示している。
【0250】図49Aは、ポリシングが終了した後の、
洗浄ベイズン76に包囲されペデスタル72の底側に面
するウエハヘッド110に付着したままの、ポリシング
後のウエハ40を示している。洗浄のジェット流の全て
が作動し、即ち洗浄組立体77の3つの側の6本のノズ
ル746及び754の全て及びペデスタル72の表面の
オフセットノズル726(以下に説明するチェックバル
ブのため中心ノズル724は除かれる)の全てが、脱イ
オン水又はその他の化学品溶液を、ウエハヘッド110
の底部及び一部の側部並びにペデスタル72の頂部全体
から、活発にスプレーし、ポリシング中にウエハヘッド
110及びウエハ40に集まりこれらに付着した粒子を
クリーニングする。ウエハヘッド110の底部の全ての
領域及び全ての隙間をフラッシュ洗浄してクリーニング
するように、ウエハヘッド110はスプレーが作動中は
回転可能である。洗浄ベイズン76にスプレーされた水
は、中心ベイズン支持ハウジング78の中に排水され、
リサイクルされ、あるいは廃棄される。
【0251】3C3ウエハヘッド110”の外側と洗浄
ベイズンシュラウド76のポーチルーフ74との間の約
0.168”(4.3mm)の近接したクリアランス
は、除去しなければ、水が、ベイズンシュラウド76か
ら飛散し機械の他の領域の中に入る可能性を低減する。
0.146”(3.7mm)まで狭くしたクリアランス
が、バンパー786のロールとウエハヘッド110との
間に存在していることを、注記するべきである。
【0252】50Aから理解されるように、チェックバ
ルブ組立体1770は、その中心ノズル724の背後の
ペデスタル72にねじ止めされるインサート1772を
有している。ペデスタル72の中心で中心ポート724
に接続する垂直通路730と、横通路728との交点
で、ブロック1774が、垂直通路730の底部のテー
パー壁1778とそれとの間でバルブボール1776を
把持している。図示の如く、ペデスタルコラム79の中
心通路732から供給される加圧水が、ボール1776
を垂直通路730のテーパー壁に対して強制するため、
中心ポート724が遮蔽される。この遮蔽により、水の
圧力を、ペデスタル72の中心ではなく全面に配置され
るポート726に均等に配分する。図50A〜50Cの
チェックバルブが適所にない場合は、スプレーしようと
する水の大部分は大きな中心ノズル724から流出し、
ペデスタル72のその他の小さなオフセットノズル72
6にはほとんど供給されない。
【0253】図49A及びBは、搬出工程の次のステッ
プを示している。ペデスタル空気圧シリンダー1760
がペデスタル72を上昇させてウエハ40と接触させ、
真空源が、ペデスタルコラム79の底部を介して流体通
路728及び732までの経路まで通じるが、これらの
流体通路728及び732は、直前は、オフセットスプ
レーポート726に水を供給していたものである。スプ
レーノズル724及び726は、ここでは、真空吸引ポ
ートとして用いられる。ペデスタル72の頂部の弾性膜
722は、ウエハ40とペデスタル72の頂部との間に
緊密なシールを与える。真空ラインの中の圧力を下げ
て、真空シールがペデスタル真空供給通路で感知されれ
ば直ちに、ウエハヘッド110のウエハ受容リセス11
15には、ウエハ40の背後に加圧ガスが供給され、ウ
エハヘッド110からウエハ40をより容易に解放でき
るようになる。こうしない場合は、ペデスタル72への
真空シールが、ウエハ40をウエハヘッド110へと保
持するための真空力又はその他の付着力と競合しなけれ
ばならなくなる。
【0254】図50Bでは、ペデスタル72の中心ポー
ト724でのチェックバルブ1770のボール1776
が落ちてブロック1774の上で停止し、垂直通路73
0を解放し、ペデスタル72の中心を含む大きな領域に
真空を直接作用させることが可能であることに注意すべ
きである。
【0255】ペデスタル72の頂部の真空によりウエハ
40が把持された後、ペデスタルの真空が維持され、図
49Cに示されるようにペデスタルは第2の洗浄の位置
にまで下げられる。ウエハ40がウエハヘッド110に
付着していた間にウエハの背面又はウエハの隣接部に捕
捉されたスラリ又はその他の粒子は、いま露出され、洗
浄組立体77のノズル746及び754が作動してウエ
ハ40の背面全面及びウエハ受容リセス1115に水を
スプレーし、全ての粒状物及びスラリ粒子をフラッシュ
洗浄により取り除くことが可能となる。この第2の洗浄
のステップの間、ウエハヘッド110が回転して、洗浄
水を更に均等に与えることができるが、この洗浄水は第
2の洗浄ステップでは、洗浄組立体77の側部の3つの
位置から流出し、ペデスタル72の頂部のポートからは
流出しない。第2の洗浄の操作の間、ウエハペデスタル
72の頂部の流体ポート724及び726へと真空圧力
が連続的に与えられ、ウエハの表面をフラッシュする水
の力によるウエハ40の移動を防止する。図50Cで
は、チェックバルブ1770のボール1776が開の位
置にあるままであることに注意すべきである。ペデスタ
ル72上のウエハ40の第2の洗浄が終了すれば、ベイ
ズン空気圧シリンダー1716によって洗浄ベイズン7
6を下げ、ペデスタル空気圧シリンダー1760によっ
て僅かなストロークだけペデスタル72を下げて、ロボ
ットブレード38の挿入(約0.25インチないし6m
mが必要)を可能にする。そして、ペデスタル72を上
昇させて、ロボットブレード38がウエハ40の裏面に
接触することを確保する。真空シールがロボットブレー
ド38とウエハ40の裏面との間で検知されれば、ペデ
スタル72の中の真空を解放し、真空力がウエハ40を
保持しようとして競合しないようにする。そして、ペデ
スタル72を下げ、ロボットブレード38を移動させ
て、ポリシングされたばかりのウエハを移送のためウエ
ハカセット42へ配置させる。
【0256】(テーブルトップの構成)図52は、図2
の52−52線での断面図であり、プラーテン回転モー
ター232により回転されるプラーテン52上のウエハ
(図示せず)をポリシングするウエハヘッド110aの
位置を示し、また、種々の部品の相互の位置関係を示
す。対向して配置されるウエハヘッド110cは、移送
ステーション70に配置され、その位置では、ウエハヘ
ッド110c及び付随するウエハがポリシングの後洗浄
され、あるいは、ウエハが移送ステーション70に受容
された後ウエハヘッド110cに搬入される。
【0257】移送洗浄ベイズンシュラウド76が下げら
れウエハヘッド110cから遠ざけられ、他のウエハヘ
ッド100がカルーセルハブ902に対して最上最内の
位置に引っ込められたとき、カルーセル支持板906
が、回転してウエハヘッド110を新たな位置に置く。
ステーション間の洗浄がない場合は、回転は90゜であ
る。ステーション間の線上を行う場合は、回転は典型的
には45゜である。
【0258】カルーセル支持板906は、中心ポストベ
アリング984を介して静的なスリーブ状の中心ポスト
902に回転可能な状態で支持される。カルーセル駆動
モーター986が、中心ポスト902により支持され、
その出力側はハーモニックドライブ988、例えば前述
のハーモニックドライブ供給者により入手可能なユニッ
トサイズ65のもの等に接続する。ハーモニックドライ
ブ988は、非常に高いトルク倍率を提供して、カルー
セル支持板906を適正に、回転し且つ保持することが
可能となる。
【0259】ハーモニックドライブ988は、ステーシ
ョン間でウエハヘッド110組立体を回す回転速度を許
容されるように与える。しかし、ウエハヘッド100
を、その半径方向の位置を変えながら回転するポリシン
グパッド54に係合させつつ、ウエハのポリシング及び
移送のために特定の参照位置にカルーセル支持板906
を正確に保持するには、このハーモニックドライブの静
的な保持トルクは不十分である。
【0260】更にブレーキを与えるためには、図53の
斜視図に例示するようなギアロッキングシステムをカル
ーセル駆動モーター986とハーモニックドライブ98
8(これら2つの間をつなぐ駆動シャフト990の上に
ある)との間に配置してもよい。シャフトギア991
が、駆動シャフト990にしっかりと固定されている。
太い第1の遊動ギア992が第1の遊動シャフト993
に、回転可能な状態で且つ放射方向にしっかりと保持さ
れている。太い第1の遊動ギア992の上部は常にシャ
フトギア991と係合している。細い第2の遊動ギア9
94が、第2の遊動シャフト995の上で自由に回転
し、これが常に第1の遊動ギア992と、通常は第1の
遊動ギア992の下部でシャフトギア991と係合する
部分ではない他のところと係合する。しかし、第2の遊
動ギアは、ギアのためのハウジングに固定された空気圧
シリンダー996により、軸方向に並真空可能である。
ロッキングしている空気圧シリンダー996が作動すれ
ば、第2の遊動ギア994は第1の遊動ギア992の上
部の方へスライドし、シャフトギア991とも係合す
る。この3つのギア991、992及び994の係合に
より、これらが移動することが防止される。第2の遊動
シャフト993は、第2の遊動シャフト995と共に、
駆動シャフト990の回転を防止するトルクアームを与
える。
【0261】あるいは、又は、おそらく好ましくは、デ
ィスクブレーキ組立体を用いてもよい。ローたーディス
クが990に取り付けられ、キャリパーがローターディ
スクの反対側に設置されるアームを有し、ブレーキパッ
ドがディスクに面するアーム上にある。キャリパーが選
択的に空気圧シリンダーを閉じ、キャリパーアーム上の
ブレーキパッドがローターディスクの反対側を支え、更
に回転することを防止する。
【0262】図52に戻れば、ウエハヘッド回転モータ
ー及びその他の電気装置及びウエハヘッドシャフトの上
端でのロータリーカップリング1042への流体ライン
に対する配線は、その上部の配線開口998を通ってカ
ルーセルカバー908におよそ侵入する配線及びホース
のバンドル997を介して通じている。この配線によ
り、ウエハへの妨害を防止し、スラリ環境が配線/ホー
ス開口998を介してカバーの中に侵入する可能性が低
減される。カルーセル90の回転によっては、配線及び
配管のバンドルがからまったり圧迫されたりすることは
なく、何故なら、カルーセル90の回転は360゜未満
に制限され、例えば、4つのヘッド組立体の配置におい
ては、4つの中間洗浄ステーション全てが用いられてい
る場合は270゜又は315゜に制限される。続いて行
われる処理の間、第1のウエハが第1のヘッドに搬送さ
れ、漸進的に90゜回転して、搬送の位置から270゜
の第3のステーションに到達するまで、その後のステー
ションのそれぞれに至るまで回転する。次の回転のシー
ケンスでは、この第1のウエハをもう一度90゜回転さ
せて、搬送ステーションに戻すが、配線及び配管のから
まりや圧迫を防止するため、進行方向(時計回り)に9
0゜と等価な、反対方向(反時計回り)への270゜の
回転が行われて、上述の図5A〜5F及び6A〜6Dで
説明したように、ウエハは移送/搬送の位置に戻され
る。このシーケンスで搬送しようとする第2のウエハ及
び第3のウエハの前向きの進行は、機能上のシーケンス
が同じのままであるが、ポリシングステーション間で2
70゜の逆回転により中断される。
【0263】(搬送装置の概説)図1の斜視図に例示さ
れるように、また、前述の部分で簡潔に説明したよう
に、搬送装置30は、ウエハカセット42を保持ステー
ション32と保持タブ34との間に移動させ、また、ウ
エハ40それぞれを、保持タブ34及びポリシング装置
20のカセット42間に移送する。これは、いま非常に
詳細に説明した通りである。これらの移動の組合わせの
両方とも、リスト組立体37により、並びに、一部は、
オーバーヘッドトラック36から下がるアーム35によ
り、行われる。
【0264】図54の部分断面図及び部分側面図に更に
例示されるように、リスト組立体37は、ウエハブレー
ド38を用いてウエハ40を移動させ、爪39を用いて
カセット42を移動させる。このような様々な運動を行
うために、アーム35がその垂直軸の回りに回転可能で
且つこの垂直軸に沿って伸縮可能であり、リスト組立体
37は水平軸の回りに回転可能であり且つこれ自身は水
平面の回りに回転可能である。
【0265】図54の側面断面図に例示されるように、
アーム35は、オーバーヘッドトラック36から懸下し
ており、トラック36に沿って移動して、保持ステーシ
ョン42と保持タブ34との間にカセットを移動できる
ようにし、また、個々のウエハ40を保持タブ34の中
の様々な位置から、ウエハ40がポリシング装置20へ
と搬送できるような位置にまで移動させることができる
ようにする。
【0266】搬送装置30の詳細の説明は、ブレード3
8及び爪39の説明から始めることにする。
【0267】(ブレード及び爪)図55の分解斜視図に
例示されるように、リスト組立体37は、ハブ部分31
4を有する爪部分312と、そこから放射方向に伸びる
爪39と、ブレードブラケット316とを有している。
爪39は、図57の側面図に更に示されるように、2つ
の平行なフィンガ318と、爪39に垂直な2つのフィ
ンガチップ320戸を有している。また、爪39の裏側
には、ハブ部分314に面するナックルリッジ322を
有している。
【0268】ブレード本体324が、皿穴平坦ねじによ
ってブレードブラケット316の開リセスにねじ止めさ
れ、ブレード本体324の一方の側がブレードブラケッ
ト316のの側部と共にフラッシュ洗浄されるようにし
ている。ブレード本体324のフラッシュ側には、その
遠端に略方形の真空リセス328が具備され、図56に
最も良く示されるように、これは、開口330を介して
真空チャンネル332に連通し、ブレード本体324に
沿って軸方向に伸張する。開口330は、図60の底面
図に更に示されるように、真空リセス328及び真空チ
ャンネル332を、ブレード本体324の反対側からブ
レード本体324の厚さよりも大きな深さに開けること
により形成される。ここで、ブレード38の「底」と
は、ウエハがポリシング装置20に搬入出する際、ウエ
ハ42を下側に真空保持するための真空リセス328を
有する側をさす。
【0269】図56の上斜視図に示されるように、真空
チャンネル332の周縁の周りに、包囲レッジ334が
彫られている。このレッジ334には、インサート33
6がフィットして溶接され、真空チャンネル332をシ
ールできるようにしている。しかし、インサート336
は、その近端に貫通穴338を有し、真空源への真空ポ
ートを与えている。図59の上面図には、ブレード本体
324にフィットするインサート336の様子が示され
る。図55の下斜視図及び図58の側面図に示されるよ
うに、真空穴340が、ブレードブラケット316の裏
側に開けられている。真空穴340の垂直端が、ブレー
ドインサート36の貫通穴338の上にあってこれをシ
ールしている。真空穴340の水平端は、真空ホース3
42のねじカップリングに接続している。このように、
真空ホース342に与えられる真空を用いて、ウエハ4
0をブレード30にチャックすることが可能となる。こ
の真空によるチャック作用を用いて、垂直に配向するウ
エハをカセット42から取り出すことと、ブレード38
の下側にウエハ40を水平に保持することの両方を行
う。ブレード38は、その基板裏側でウエハ40を真空
チャックし、その処理側には部分的に形成された回路が
妨害されないようにしている。このように、処理側への
機械的なダメージが防止される。ブレード38がウエハ
40のチャックを離し、その処理側、移送ステーション
70のペデスタル72の柔らかい弾性体表面722に下
ろす。真空によるチャック作用が、保持タブ38の液体
中で行われることもあるため、真空の供給は、前述のよ
うな、正の空気圧により圧力が与えられた正の液体又は
流体の圧力源から負のエア圧を発生させる種類の真空ジ
ェネレータ343によってなされる。前述の如く、この
ような真空ジェネレータにより、真空が液体に引かれた
ときに、主ないしハウス真空源の汚染が防止される。真
空ジェネレータ343は、リスト37の側部でホイール
ハウジング344に固定されている。また、真空ホース
342に接続するエア圧センサー345もそこに取り付
けられ、ホース342の中の圧力を感知する。これは、
真空チャックがウエハをチャックしているときを感知す
るには特に有効である。
【0270】図61の斜視図に示されるように、爪39
及びブレード38は、爪39のハブ部分312をギア組
立体のギアにねじ止めすることにより、リスト37に一
緒に組み立てられるが、このギア組立体は、回転可能及
び並進可能な状態でアーム35に支持されるウォームホ
イールハウジング344の中に回転可能な状態で支持さ
れている。
【0271】図57の側面図に示されるように、ウォー
ムホイール346が爪39及びブレード38に固定さ
れ、これが外側リセスボールベアリング組立体348上
に回転可能な状態で保持され、この組立体348の内側
レースはウォームホイールハウジング344に固定され
るシャフト350に固定され、外側レースはウォームホ
イールハウジング344に固定されている。図57の側
面図及び図61の上面断面図に示されるように、アーム
35から垂直に下がるウォームギア352は、ウォーム
ホイール346に係合する。ウォームギア352が回れ
ば、ブレード38及び爪39がウォームホイール346
のシャフト350の周りで垂直平面内を回転する。詳細
は後述するが、この回転を用いて、(1)ブレード38
及び爪39をこれらの動作位置から交換させることと、
(2)かつてブレード38上にあったウエハ40を、カ
セット42内でのこれらの垂直配向と水平配向との間で
ポリシングステーション20への提出のために回転する
ことと、(3)カセット42から爪39を外すことと
が、行われる。
【0272】(トラック及びアーム)ここでは、説明を
オーバーヘッドトラック36及びこれを支えるアーム3
5に戻すことにする。アーム35は、カセット42とこ
れに収容されるウエハ40との間に水平に移動し、リス
ト組立体37を支持し、回転し、垂直に移動させる。
【0273】図1に示されるオーバーヘッドトラック3
6は、保護カバー360によりカバーされる。ベルトモ
ーター361が一方の端部から突き出ているが、モータ
ー361は、別の端部にある方が有利である。
【0274】キャリッジ362は、アーム35を回転可
能な状態で支持しており、これは、図62の斜視図に更
に示されるように、スライダー364にボルト止めさ
れ、このスライダー364は、オーバーヘッドトラック
36に沿って直線状に伸びる側レール366により、一
方の側にスライド可能な状態で水平に支持されている。
レール366は、ボックスビーム368の側部に固定さ
れており、このボックスビーム368は、オーバーヘッ
ドトラック36のための主な支持部材を形成する。スラ
イダー364の頂部に固定されるカンチレバーブラケッ
ト370は、ボックスビーム368の上方に伸び、それ
自身を2つの接続点により駆動ベルト372に固定す
る。駆動ベルト372はその内側に歯を有しており、歯
を有する2つのシーブ374及び376を包囲する。第
1のシーブ374は、図63の端斜視図に例示されるよ
うに、ボックスビーム368の一方の側に回転可能な状
態で支持されたシャフトに取り付けられている。第2の
シーブ376は同様に、ボックスビーム368の同じ側
に、自由に回転できる態様で支持される。シーブ374
及び376に隣接するボックスチャンネル368の両方
の端部は、上部に切込み380を有し、この切込み38
0を貫通してシーブ374及び376が突出しているた
め、駆動ベルト372の上部が、ボックスビーム368
の外側に導かれ、底部が、ボックスビーム368の内側
に導かれる。
【0275】図62及び63の斜視図並びに図64の切
断上面図に例示されるように、チャンネルクロージング
ベルト380は、2つの自由に回転するキャプスタン3
82の周囲に包囲され、キャプスタン382は駆動ベル
トシーブ374及び376のシャフト378の下の位置
でボックスチャンネル368の側壁に装着されるシャフ
ト384の周りを回転する。チャンネルクロージングベ
ルト380の中心のリッジ385が、キャプスタン38
2内の対応するグルーブ385aと咬み合い、水平スラ
イド364が端部から端部へと移動した際のベルト38
0の調心を維持する。
【0276】チャンネルクロージングベルト380の端
部は、アーム35及びリスト組立体37にほぼ対応する
レール366からの距離のところで、キャリッジ362
の底部に固定される。チャンネルクロージングベルト3
80はこのようにスライドするシールを与え、このシー
ルは、保護カバー360の底部を閉じて、粒子がハウジ
ングの内側から出て処理しようとするウエハの上に落ち
たりスラリが機械を汚染したりすることを防止する。
【0277】図62の斜視図に示される種々の部品38
7a、387b及び388は、トラック36に沿って長
手方向に伸び、更に支持及びカバーをする。例示される
ように、下コーナー部分388及びカバー360によ
り、開長手方向スロット389が与えられ、アーム35
が、キャリッジ362から下がっているときは、このス
ロット389に沿ってスライドする。しかし、スロット
389のため、ポリシング粒子が上向きに移動してデリ
ケートなトラック36の機械要素及びキャリッジ362
の中に入り込むようになり、また更に、機械的な粒子が
下向きにウエハまで通過してこれらを汚染してしまう。
チャンネルクロージングベルト380により、キャリッ
ジ362が一方の端部から他方の端部へと移動する際に
キャリッジ362を安定化させる機能に加えて、カバー
360内部からの粒状物及び粒子がウエハ42に落ちる
事を防止する機能と、更に機械部品をスラリから保護す
る機能とを有している。
【0278】駆動ベルト372に対する自由回転シーブ
376のためのシャフト378と、チャンネルクロージ
ングベルト380に対するキャプスタン382の一方の
ためのシャフト384との双方が、壁の長手方向伸張ス
ロットに設置されるフランジによりボックスチャンネル
壁のそれぞれに装着されている。フランジのそれぞれ
は、それ自身と各スロットの外側に配置されるアンカー
ポストとの間のねじ止めカップリングにより選択的にバ
イアス力が与えられる。このように、それぞれのベルト
372又は380に選択的に張力が与えられる。
【0279】図65の軸断面図に最も良く示されるよう
に、キャリッジ362は円形ベアリング組立体390の
外側レースを把持し、他方、カラー394のフランジ3
92は内側レースを把持する。水平なウォームホイール
396が、カラー394によりこの上方に支持される。
図64の垂直面図に更に示されるように、ウォームギア
386がウォームホイール396に係合してアーム35
及びリスト組立体37を、アーム35の垂直軸の周りで
水平面内に回転させる。
【0280】図62の斜視図及び図54の側断面図の両
方で示されるように、アームC部分392の平坦なヘッ
ド板390は、キャリッジ362により回転可能な状態
で支持されるカラー392の底部に、ボルト止めされて
いる。アームカバー394は、使用中はアーム35を包
囲する。
【0281】アーム35の伸縮の様子は、図54及び6
5の長手方向図及び側面図に示されるウォームモーター
1300によって、制御される。これは、キャリッジ3
62の中に装着され、その垂直配向出力シャフトがウォ
ームギア1302に接続し、ウォームギア1302は下
向きに、カラー394の中及びアームC部分のヘッド板
397の中を通り、アーム35の中に至る。垂直に下が
るウォーム1302は、Lブラケット1306の上部の
中の移動ウォームナット1304に係合する。図61の
斜視図に最も良く示されるように、Lブラケット130
6は、C部分392の垂直部分1310に固定された垂
直リニアベアリングレール1308に係合するリニアベ
アリングダブテールグルーブを有している。ウォームド
ライブ1300、1302、1304は、約101/2
ンチ(27cm)の垂直方向の移動ができ、この長さ
は、8インチ(200mm)のウエハ40をカセット4
2から操作して、テーブルトップ23の上に配置される
ペデスタル75の上部に配置するに十分である。
【0282】図54の側面図及び図61の斜視図に示さ
れるように、モーター1314は、Lブラケット130
6のフット1316の上に装着される。出力シャフト1
318は、フット1316の中を支持コラム1320の
中央通路に沿って通過する。ハーフカラー1322が2
つ、図57の側面図及び図61の斜視図に示されるよう
に、支持コラム1320の環状リセスの中にフィット
し、ウォームホイールハウジング344の中にねじ止め
され、支持コラム1320をアーム35の底部でウォー
ムホイールハウジング344に固定する。出力シャフト
1318は、ウォームホイールハウジング344の中を
貫通し、その下端に、ウォームホイール346に係合し
ブレード38及び爪39を回すウォームギア352を有
している。
【0283】このように、モーター1314による回転
により、ブレード38及び爪39が垂直平面内に回転
し、モーター384による回転により、これらが水平面
内に回転し、モーター1300による回転によりこれら
が垂直に並進し、モーター361による回転により、こ
れらが水平に並進し、全部で4つの運動をさせる。
【0284】図61の斜視図に示されるように、中空の
トロンボーン1324が、ウォームホイールハウジング
344の耳1326に固定され、C部分398のフット
1310の中をアーム35の内側まで垂直部分1310
に平行にスライドする。トロンボーン1324は、負圧
空気圧ライン342(又は局所真空ジェネレータを用い
る場合は正圧ライン)及びリスト組立体37のシャフト
350に沿って導かれブレード38及び爪39の絶対的
な角度位置を感知するための電気ラインを内包する。
【0285】種々のモーター及びロボットブレードへの
配線及び配管は、図62のトラックカバー360の正面
の後ろでこれと平行に配置される鎖状ローリングワイヤ
トレー(図示せず)を介する経路が与えられる。このロ
ーリングワイヤトレーの端部はトラフに固定され、この
中にトレーの固定端がある。このトラフは、トラックカ
バー360を支持するブラケット上に支持される。配線
及び配管は、ローリングワイヤトレーに結合され、フレ
キシブルなローリングワイヤトレーは、キャリッジ36
2に近付く前にCベンドを作り、ワイヤトレーの他方の
端部はキャリッジ362に固定される。ローリングワイ
ヤトレーの第2の端部は、キャリッジ362がオーバー
ヘッドトラック36に沿って移動した時にこれを追いか
ける。そして、配線及び配管は、キャリッジ362内の
ウォーム駆動モーター1300の周囲を通り、キャリッ
ジ362と懸下アーム35との間の図65の回転カラー
394の周りのフランジボルトとフランジボルトとの間
に介在する1つ以上の開口を介して、懸下アーム35に
至る。配線又は配管が接続される部品の回転は、プラス
マイナス約180゜の範囲の回転におよそ制限されるた
め、配線や配管をからませることなく、この範囲内での
前進後退運動により、ウエハの操作に必要な全ての角度
を実現することができる。
【0286】(保持タブ)保持タブ34の詳細が、図6
7の軸断面図に示される。タブ34自身は一体のもので
あり、好ましくはポリプロピレン又はその他のウエハカ
セットに用いる種類のプラスチック材料である。これ
は、略方形の外壁1430と、同じ形状の内側堰143
2とを有しており、内側堰1432は、捕捉ベイズン1
434により外壁から分離され、外壁1430の上部1
440の下にチップ1438を有する外下向きテーパー
付き上部1436を有している。浴302が、内側堰1
432の中のベイズンの中に充填され、捕捉ベイズン1
434の中にオーバーフローするまで堰1432のチッ
プまで充填される。
【0287】多数のウエハ40をそのスロットリッジ4
30の中に保持する1つ以上のカセット−−4つが好ま
しい数のようである−−が、タブ34の中に搬送され
る。堰1432の頂部1438に、タブ34内に保持さ
れるウエハの頂部よりも上になるような位置が与えら
れ、図68の側正面図に示されるように、これは、堰1
432の壁の中を横断して伸びる、先端が切られた逆三
角形の一連のチャンネル1438を有している。チャン
ネル1438は、ウエハ40の頂部の上である浴302
の予定頂部レベルの僅か下に底部1439を有し、これ
らの底部は、チャンネル1438の平均幅よりも実質的
に短い幅を有している。底部1439の限られた幅の中
を流れることができる液体の量が限られているため、浴
302のレベルは、典型的には、このレベルより実質的
に上に上昇する。この上昇は、チャンネル1438間で
の非均一性又は高さの差を克服するに十分であり、この
ため、浴302がチャンネル1438の2〜3本だけを
介して排するされることが防止される。
【0288】カセット42のそれぞれは脚1442を有
しており、脚1442は、タブ34の底部1446に固
定された2つのレール1444により横方向に調心さ
れ、レール1444から外向きに伸びる3組のピン14
48によって保持される。図69に示されるように、こ
の3組のピン1448は、レール1440に沿って垂直
方向にずれるように配置され、カセット42を必要な角
度3゜で支持するようにしている。この傾斜角は好まし
いと思われるが、10゜まで、場合により15゜までの
角度によれば、ウエハ40を実質的に垂直にしつつ特定
の位置及び角度に保持することに同様に有効であろう。
カセット脚1442のエッジ1450は、レール144
0から伸びる1組の調心ピン1452により横方向に調
心され、カセット脚1442の下向き配置エッジと係合
する。
【0289】タブ34のベイズンは、その底部にドレイ
ン穴1454を有し、供給管1456が、タブ34の底
部コーナーでレール1440に沿って長手方向に伸び
る。供給管1456に沿った底部コーナーはカーブして
おり、材料1457が鋭いコーナーに充填され、このコ
ーナーへの粒子の蓄積を防止する。供給管1456は、
ベイズンの中心に向かう方向が与えられたノズル穴14
58数個と、タブ底部1446の下方に貫通する供給通
路1460とを有している。捕捉ベイズン1434は、
その底部にオーバーフロードレイン1460を有し、堰
1438をオーバーフローする浴水302を排水する。
流体レベルセンサー1464が外壁1430に固定さ
れ、堰1432の頂部1438の地点及びこの下数イン
チの地点の浴302のレベルを感知する。
【0290】タブ底部1446の下方には配管が配置さ
れ、その構成は所望のプロセス、例えば連続オーバーフ
ロー、再循環、又は連続排水等に依拠する。図67に示
される典型的な構成は、供給流入口1466を通り3方
バルブ1468を介してポンプ1470に供給される新
鮮な浴水を有しており、このポンプ1470は浴水を、
フィルター1471を介して長手供給管1456へ輸送
し、そこからベイズンの中へと輸送する。レベルセンサ
ー1464により、ベイズンがオーバーフローするまで
充填された、即ち、堰1436の頂部1438に至った
ことを感知したとき、3方バルブ1468を切り換え
て、オーバーフローした水を、オーバーフロードレイン
1460から排水を行う捕捉ベイズン1434の中へと
再循環させる。ドレインポンプ1472を作動して底部
ドレイン1454から浴水をタブドレイン1474へと
選択的に輸送することにより、定期的にベイズンを排水
させ、その後、上述の如く、ベイズンを供給流入口14
66により再充填する。あるいは、更に頻繁なベース
で、ベイズンを部分的に空にした後、新鮮な浴水で満た
す。ドレインポンプ1472は、オペレータがカセット
42をマニュアルでタブ34から持ち上げるとこが望ま
しい場合に更に有用である。浴302は腐食性である場
合もあるため、そのレベルを一時的に下げて、オペレー
タがカセット42の上部を掴むことができるようにす
る。その後、ベイズンを再充填すればよい。
【0291】その他の配管の構成も可能である。再循環
を確保するため、再循環ポンプ1470は、ベイズンド
レイン1454に接続する流入口を有していてもよい。
再循環が望ましくない場合は、捕捉ベイズン1434を
外に排水し、長手供給管1458に新鮮な浴水のみを供
給すればよい。
【0292】このタブ34は、少なくとも2つの方法を
向上させることが可能である。第1は、捕捉ベイズン1
434は狭く深く、クリーニングを困難にしている。均
等に効果的な捕捉ベイズンは、堰1432の外側且つ真
下に配置される、比較的浅いハンギングのチャンネルで
ある。第2に、多孔質の水平板をカセット42の底部と
ドレイン穴1454との間に配置させたときに、再循環
の流れが更に均一となり予測可能になって、ポンプ14
72がタブ34のより広い領域から浴液体を引っ張るこ
とができる。
【0293】(搬送装置の動作)搬送装置30の動作
を、以下に説明する。図1の斜視図及び図66の端面図
に非常に概略的に例示するように、搬送装置は、同じ装
備で2つの機能を実行する。
【0294】第1に、ウエハブレード38が、オーバー
ヘッドトラック36に依存してアーム35と協働で、保
持タブ34に充填された浴302の中に保管されている
多数のウエハカセット42から個々のウエハ40を搬送
することである。カセット42のそれぞれは、カセット
42の対向する垂直壁に形成された浅い垂直スロットに
より、略垂直の配向で多数のウエハ40を保持して、ウ
エハ42の対向する2つのエッジが対向する2つのスロ
ットに把持されるようにする(図67及び71A参
照)。カセット42は、例えばフルオロウェア社から市
販されている。これは典型的には、ウエハ40を傷つけ
ないように、また用いる液体に化学的に不活性であるよ
うに、ポリプロピレン又はPVDFプラスチックで形成
されている。浴302は、脱イオン水等の液体で構成さ
れており、付着したスラリがウエハ上で硬くなる事を防
止する。また、メタル層のCMPを行う際に、酸化され
てしまう新しいメタル表面をこの浴が保護をする。保持
タブ34を1つだけが例示されこれを詳細に説明してき
たが、多数の保持タブを用いてもよく、特に、1つをポ
リシングしていないウエハをポリシング装置20へ搬入
するため、そして1つをポリシング済みのウエハをそこ
から搬出するために用いてもよい。
【0295】第2に、爪39は、アーム35と協働で、
カセット42全体を、オーバーヘッドトラック36の長
手方向に沿って、保持タブ34と保持ステーション32
の間で移送する。オペレータ又は自動移送装置が、ポリ
シングしようとするウエハ40で満杯となっているカセ
ット42を、保持ステーション32の正確にインデック
スされた位置に配置させ、また、ポリシング済みのウエ
ハ40で満杯のカセット42をそこから取り出す。しか
し、更に自動化することも可能であり、これには特にポ
リシング後のクリーニングのステップが挙げられる。
【0296】(ウエハの搬送)図70A、70B、70
C、70D及び70Eは、概略的な斜視図であり、保持
タブ34(明確さの理由で、これらの図面には示してい
ない)の中に配置される数個のカセット42のうちの1
つをロボットブレード38が取りあげて、ポリシング装
置20の機械ベース22の頂部にある移送ステーション
70の上に載せる、搬送の操作のシーケンスを示すもの
である。ウエハ40を移送ステーション70から移送し
てカセット42へと戻す搬出の操作は、ここに例示する
シーケンスと反対で行われる。
【0297】これらの操作のシーケンスを行っている
間、移送ステーション70のベイズンシュラウド76
は、機械ベース22の中で下向きに引っ込められ、少な
くとも実際のウエハ移送の間は、移送ペデスタル72が
上に持上がり、機械ベース22のテーブルトップ23及
びシュラウド76の頂部の両方の上に突き出る。また、
この一連の操作の間、カルーセル支持板906のアーム
の1つが移送ステーション70の上に配置され、ウエハ
ヘッドシステム100(図示せず)が、移送ペデスタル
72の上にあるカルーセル支持板906のスロット91
0の中に配置される。図9のウエハヘッド100の最下
の部材、即ちフローター部材1112が、ウエハヘッド
110のボール部材1110の内部に上向きに引き込め
られれば、移送ペデスタル72の頂部とフローター部材
1112との間には、ウエハブレード82と操作しよう
とするこれに付随したウエハ40のための十分なクリア
ランスが存在することになる。この要求は厳しいもので
あるが、ウエハヘッドシステム100の垂直ストローク
が短いため、システムの設計が単純になり、カルーセル
90の質量も低減される。また、移送の操作中に、ウエ
ハヘッドシステム100の1つが移送ステーション70
の上に配置されるため、移送及び洗浄の操作中も他の3
つのウエハヘッドシステムでポリシングを継続できるた
め、システムのスループットが向上する。
【0298】図70Aに例示されるように、搬送の操作
の始めとして、アーム35をオーバーヘッドトラック3
6に沿って直線的に移動させて、下向きに配向するブレ
ード38が、選択したカセット42内の選択したウエハ
40の上に配置される。前述のように、搬入出の操作中
は、カセット42は保持タブ34内に浸漬されている。
保持タブ34内のカセット42が、垂直から約3゜の傾
斜420で支持されている。ウエハ40のデバイスの側
が、図67及び71Aで例示される、カセット42の中
でウエハを直立で保持するスロットリッジ430から、
僅かに上向きに離れて面しているような、配向になって
いる。オーバーヘッドトラック36に沿ったアーム35
の正確な線上の位置を制御して、ウエハブレード38を
選択されたウエハ40の基板側の上に、そのウエハとこ
れに隣接するウエハ又はカセット壁との間に入れてフィ
ットさせ、ブレード38の真空リセス328を基板側と
平行に且つこれと面するようにする。このブレード38
の略下向きの配向が、保管されているウエハ40との平
行から3゜ずれていることが必須であるため、爪39を
妨害なく略水平な位置に置くことができる。
【0299】そして、アーム35を垂直から僅かにずれ
た方向に沿って浴302の中に下げ、図70Bに例示さ
れるように、ウエハ40をウエハブレード38上に大ま
かに調心する。この傾斜経路は、座標的な運動を必要と
する。ブレード38の真空リセス328に真空を与え、
他方、これはウエハから分離したままである。そして、
アーム35をがブレードを、選択したウエハ40の方へ
とゆっくりと動かす。図58の真空センサーにより真空
が感知されたときは、ウエハは既に真空チャックされて
おり、アーム35の直線上の移動が停止する。浴液の一
部が接触前に吸引されているものの、ウエハ40が一旦
チャックされれば、漏洩はほとんどなく、あったとして
も真空ジェネレータ343により吸収される。
【0300】真空チャック操作が完了した後、図70C
に示されるように、アーム35がウエハブレードを3゜
のずれで垂直上向きに引き上げる。一旦ウエハ40がカ
セット42及び浴302から取り出されれば、リスト組
立体37がウエハブレード38を水平軸の周りに図70
Dの位置まで回し、そこでは、ブレード38はウエハ4
0をその下側で真空により保持し、その処理側は下向き
になっている。このウエハブレード38の配向は、爪3
9を垂直上向きにアーム35の近くに配置させ、カルー
セル90又はテーブルトップを含む機械ベース22の何
れかを妨害しないようにする。また、ウエハ40がカセ
ット42及び浴302から取り出された後、アーム35
を水平にオーバーヘッドトラック36に沿って移動させ
て、クリーンルーム壁に開いたスライドドアを介して移
送ステーション70へ上へ搬送するための適正な位置
へ、ブレード38及びこれに付随するウエハ40を運
ぶ。アーム35の上昇、回転及び直線の運動は、ウエハ
40が一旦浴302の上方にきたときと同時に行われ
る。
【0301】ウエハブレード38及びこれに付随するウ
エハ40は、水平の配向で、垂直方向及びオーバーヘッ
ドトラック36に沿った直線方向には適正な位置が与え
られ、アーム35はウエハブレード38を垂直軸の周り
に回転させて、ウエハ40をスライドドアの開口を介し
て移動させ、図70Eに示されるように、それを移送ペ
デスタル72の真上且つ懸下するウエハヘッドシステム
100の下に配置させる。移送ペデスタル72を上昇さ
せて、その弾性体表面722にウエハ40の処理側を係
合ないし略係合させる。真空リセス328の真空を解放
することにより、ウエハ40はウエハブレード38から
チャック解放され、移送ペデスタル72の上部のポート
724及び726に真空を与えることにより移送ペデス
タル72上に再びチャックされる。ウエハ40がペデス
タル72上に一旦チャックされれば、これは下げられ、
アーム35がいまは何も有していないウエハブレード3
8を水平に回して移送ステーション70及び機械ベース
22から遠ざけ、ウエハ搬送の操作が終了する。その
後、移送ステーション70は、3つの爪組立体72を用
いて、ウエハ40を移送ペデスタル72の表面上に調心
する。
【0302】典型的には、その後、搬送装置30は他の
ウエハを、ポリシング、カルーセル回転及び洗浄の後
に、上述の搬入とはほぼ逆の一連の操作によってポリシ
ング装置20から搬出する準備をする。しかし、ウエハ
40を保持タブ34内のカルーセル42に戻す際に、ブ
レード38の下向きの運動を、ウエハ40の底部がと係
合すると予想される地点から1センチ程上に、ウエハ4
0がカルーセル42の側スロット430に係合する前
に、停止させることが推奨される。この地点では、ウエ
ハ40は、ブレード38の真空リセス328からチャッ
クを解放されるべきであり、残りの距離を落ちるままに
しておくべきである。ウエハ40をブレード38上への
調心あるいはタブ中でのカセット42の調心を達成する
ことは、非常に困難である。ウエハ40がカセット42
を叩くようになり、他方で重量のある移動するロボット
アーム35に真空チャックされたままであれば、衝突に
よりウエハを破壊しあるいは少なくともダメージを与え
てしまうだろう。
【0303】(カセットの搬送)搬送装置30は、保持
ステーション32と保持タブ34との間でカセット42
を移送することにも用いられる。アーム35の底部でリ
スト組立体に取り付けられている爪39は、この動きに
有効であるように設計される。
【0304】図71A、71B及び71Cの正面図で部
分的にだとなっている図に例示されているように、爪3
9が、アーム35の下端から回り、アーム35から垂直
下向きに下がるまで回る。そして、これはカセット42
の側方に配置され、また、200mmウエハに対して
は、これはカセット42の長手方向側部424から伸び
るクローズドハンドル422を有している。図71Aに
示されるように、ナックルリッジ322がカセット42
のハンドル422の背面426の内側を通過するよう
に、爪39が配置される。そして、図71Bに示される
ように、爪39が水平に移動してカセット42から遠ざ
かり、ナックルリッジ322がハンドル422の背面4
26の下になるようにする。そして、図71Cに示され
るようにアーム35が更に垂直に爪39を持ち上げ、ナ
ックルリッジ39がウエハカセット42に取り付けられ
たハンドル422の背面426の底部に係合するように
する。爪39が背面426及びカセット42のその側を
更に上昇させ、カセットが傾き下側が爪39のフィンガ
チップ320と係合するようにする。カセット42の回
転は、ナックルリッジ39及びフィンガチップ320が
カセット42をしっかりと掴むに十分な程度に、制限さ
れる。これを越えて回転をすれば、混んでいるタブ34
の中の隣り合うカセット42同士がぶつかる危険が生じ
る。この構成では、爪39がカセット42及びそのウエ
ハ40を支持し、これらを、オーバーヘッドトラック3
6の長手方向のあらゆる位置に移動させることが可能で
ある。例示の如く、ウエハブレード38を、水平位置で
爪39の動作を妨害しない位置まで回転させる。
【0305】カセット42を爪39から搬出させるに
は、アーム35がカセット42を下ベアリング面に対し
て下げることにより実現され、このとき、アーム35が
爪39をカセット39から外向きに移動させたとき、カ
セット42により、そのハンドル422の背面426
を、爪39の背面でリッジナックル32から傾斜を直し
係合を外すようにする。爪39を僅かに内向きに動かす
ことにより、これをハンドル422の背面426から取
り出し、爪がカセット42から垂直上向きに引き込めら
れ、カセット42を保持ステーション32又は保持タブ
34内に残すようにする。
【0306】図71A、71B及び71Cも、カセット
の内側の底壁432及び2つの側壁に形成されウエハ4
0に係合しこれを調心する、スロットリッジ430を示
している。本発明に用いられるウエハカセットのタイプ
の1つでは、カセットの最も底の部分が開き、ウエハ4
0をカセット42の脚1442の上に懸下する。このカ
セットでは、スロットリッジ430は、2つの45゜に
配向した底壁及び2つの対向する側壁に形成される。
【0307】図72A、72B及び72Cは、ウエハカ
セット42の動きを示す正面図であり、これらは、ウエ
ハカセットがポリシング装置20に隣接する保持タブ3
4の中の位置(この位置から、これらカセット42から
のウエハ40が容易に上昇し回転してポリシング装置2
0に搬入出される)と、離れた保持ステーション32で
の位置との間を動くときの様子を示す。カセット42に
より、離れた保持ステーション32では、ポリシングし
ようとするウエハ40が前の処理のステップから受容さ
れたときに移動させ、及び/又は、カセット42内の既
にポリシング済みのウエハをその後の処理のステップに
送る。
【0308】カセット42の動きの例を説明する。図7
2Aに示されるように、リスト組立体37を回転させ、
カセットの動きの経路の上方且つおよそこれと外れて水
平に配置されるウエハブレード38に対して、爪39が
下を向いて面するような配向に、爪39を配置させる。
【0309】アーム35は、オーバーヘッドトラック3
6に沿って直線上に配置され、その爪39がカセットハ
ンドル422の中を通過して、その背面426と動かそ
うとするカセット42の側壁424との間を通過するよ
うにする。
【0310】図72Bに示されるように、アーム35
は、爪39を必要なずれ角で下向きに垂直方向にずらし
て、図71A、71B及び71Cのプロセスに示される
ように、カセット#1のハンドル422に係合させる。
アーム35及びこれについている爪39が、カセットを
保持タブ34の中の第1の位置1’から上に上げて、図
72Cに示されるように、離れたカセット保持ステーシ
ョン32の上に載せる。保持ステーション32における
載せるステップは、前述のように、保持タブ34での上
昇のステップの逆である。
【0311】カセット42が保持ステーション32に載
せられたら直ちに、オペレータはマニュアルでこれを取
り除いてスラリが固化し又はメタルが酸化することを防
止し、すぐ後にポリシングしていないウエハのカセット
と取り替えることが、期待される。他方、移送アーム3
5がウエハ40を保持タブ34とポリシング装置20の
移送ステーション70との間に移送することが可能であ
る。オペレータがポリシングしていないウエハ40のカ
セット42を保持ステーションに置いて適当な時間の
後、図72A、72B及び72Cに示されるとは逆の一
連の動作により、移送アーム35がこのカセットを保持
ステーション32から保持タブ34の中へと移動させ
る。
【0312】保持ステーション32と保持タブ34との
間を移動するカセット42は、ウエハで満杯になってい
てもよく、又は、空でもよく、ポリシングしていないウ
エハが、未ポリシングウエハで満杯のカセットから空の
ウエハ受容カセットへ移送されてもよく、あるいは、い
わゆる当業者に想到されるような別の方法に従ってもよ
い。
【0313】好ましい具体例では単一の保持ステーショ
ン32について説明してきたが、多数の保持ステーショ
ンも可能である。特に、1つの保持ステーションを未ポ
リシングウエハに利用し、別の保持ステーションをポリ
シング済みのウエハに利用して、ポリシング済みのウエ
ハと未ポリシングのウエハに、別々の保持タブを利用で
きるようにしてもよい。ここに例示した保持ステーショ
ンは単一のカセットに関するものであったが、長時間の
保管に対するウエハ処理の問題を解決することができれ
ば、多数のカセットを用いてもよい。更に、別々の保持
ステーションをポリシングステーションの別々の側に配
置してもよい。
【0314】上述のポリシングシステムは複雑なもので
あり、多数の新規な特徴を有している。これらの特徴の
多くは、それ自身でインヴェンティヴであり、ウエハポ
リシング以外の用途にも使用できるものである。
【0315】ここに説明したシステムでは、4つのウエ
ハヘッドと、3つのポリシングステーションと、1つの
移送ステーションを有しているが、これらの要素がこれ
よりも少なかったり多かったりする他の構成によって
も、本発明の利点を享受することができる。
【0316】半導体ウエハのポリシングについてシステ
ムの説明をしてきたが、ここでのウエハなる語は、ポリ
シングに必要な少なくとも1つの側に平坦な面を有して
いるあらゆるワークピースという、より広い意味に用い
ることができる。特に、ガラス及びセラミックの基板及
びパネルは、ここで説明した発明を用いてポリシングす
ることが可能である。ウエハヘッドが非円形ワークピー
スに受容することに適合できれば、ワークピースは実質
的に円形である必要はない。
【0317】このように、本発明により、ポリシングし
ようとする基板の高いスループットを有するポリシング
の方法及び装置を提供するものである。この装置の比較
的単純なデザインは、機械的に堅固であり、比較的小さ
な床面積しか占有しないものである。このポリシング装
置は、ほぼ完全に自動化することが可能であり、保守及
び交換が容易である。このデザインの利点は、ポリシン
グ以外の技術分野にも適用可能な数々の新規な機械部品
によって実現される。
【0318】本発明を、特定の具体例に関して説明して
きたが、いわゆる当業者には、本発明の本質及び範囲か
ら離れることなく、形態及び詳細部の変更を行うことが
可能であることが認識されるだろう。
【図面の簡単な説明】
【図1】本発明に従った装置の斜視図である。
【図2】図1のポリシング装置の分解図であり、上ハウ
ジングとそのメカニズムを、下ハウジングとそのメカニ
ズムと別々に表した図である。
【図3】グレージングが研磨速度を経時的に低下させる
事を模式的に例示するグラフである。
【図4】回転中のウエハ及び回転中のパッドの領域での
研磨速度の変化を例示する図である。
【図5】A,B,C,D,E及びFともに、ウエハの漸
次的な運動を示し、これらが順に搬入され、本発明に従
ったカルーセルキャリアポリシング装置で研磨される所
を示す図である。
【図6】A,B,C及びDとも、図5E及びFで示した
と同様に、移送クリーニングステーションへのウエハの
出入りを示し、ポリシングカルーセルでの基板の実際の
動きを示す図である。
【図7】図2のカルーセルの分解図である。
【図8】本発明に従ったカルーセルの、上ハウジングを
取り去ったときの上面図である。
【図9】ウエハヘッドの1つのタイプを含む、図8の8
−8線に沿った断面図である。
【図10】図9と関連した、ウエハヘッドのシャフトハ
ウジングへの接続状態を表す拡大図である。
【図11】ウエハヘッドの第2のタイプの断面図であ
る。
【図12】図12は、ウエハヘッドの第2のタイプの断
面図であり、図12Aは、図11及び図12に関するウ
エハヘッドの第3のタイプの断面図である。
【図13】新規な回転ユニオンの断面図である。
【図14】A,B及びCとも、ウエハヘッド組立体が放
射方向に最内位置から最外位置へ往復運動するときに、
シャフトフォロワースロットスプラッシュシールド板の
漸次的な位置を示す図である。
【図15】A,B及びCとも、図14A,B及びCに対
応して、ウエハヘッド組立体が放射方向に最内位置から
最外位置へ往復運動するときに、シャフトフォロワース
ロットスプラッシュシールド板の漸次的な端面断面を示
す図である。
【図16】A,B及びCとも、図14A,B及びCに対
応して、キャリアアームの半径軸に沿って行われるスプ
ラッシュ板の動作を漸次的に側面断面図で表す図であ
る。
【図17】A,B及びCとも、図14A,B及びCと同
様に、スプラッシュ板の上面図を示す図である。
【図18】本発明に従ったポリシング装置の、カルーセ
ルヘッド板とウエハヘッド組立体とを取り除いた上面図
である。
【図19】図18の19−19線での断メカニズム図で
ある。
【図20】図19のプラーテンのリザーバー部分の拡大
断面図である。
【図21】図20のリザーバーの空気圧ポンプの更なる
拡大断面図である。
【図22】プラーテンの側部及び上方に配置されるオー
バーヘッドスラリ分配器の断面図である。
【図23】図22のオーバーヘッドスラリ分配器の平面
図である。
【図24】図22のオーバーヘッドスラリ分配器の分配
端の拡大図である。
【図25】スラリ散布システムの模式的な線図である。
【図26】A,B,C,D及びEとも、隣接し合うポリ
シングステーションの間に配置される中間洗浄ステーシ
ョンの横断面図である。これら5つの似通った図の順番
は、ウエハヘッド及びこれに附属した、中間洗浄ステー
ションで洗浄しようとするウエハの、漸次的な動作を示
すものである。Fは、A〜Eの中間洗浄ステーションの
うちD図の26F−26F線に沿った縦断面図である。
Gは、A〜Eの中間洗浄ステーションのうちE図の26
G−26G線に沿った上面図である。
【図27】中間洗浄ステーションの第2の具体例の側方
断面図である。
【図28】図27の洗浄ステーションの平面図である。
【図29】本発明に従ったポリシングパッドコンディシ
ョナー装置の側方断面図である。
【図30】コンディショナーヘッドの中にフィットする
コンディショニングディスクの破断斜視図である。
【図31】図29のコンディショナーヘッドの拡大図で
ある。
【図32】従来技術の構成のコンディショナーヘッド装
置の模式図である。
【図33】本発明に従ったコンディショナーヘッド装置
の模式図である。
【図34】コンディショナーアームと駆動シーブを有す
るコンディショナー支持/駆動端の分解図である。
【図35】コンディショナーアーム支持体と駆動機構
の、部分的に平面模式図を含む断面図である。
【図36】A,B及びCとも、コンディショナー装置が
コンディショナーヘッドを持ち上げてこれを洗浄カップ
から外に出し、コンディショナーヘッドをポリシングパ
ッドの位置まで下げる、漸次的なステップを示す図であ
る。
【図37】本発明に従ったコンディショナーヘッド洗浄
カップの側方断面図である。
【図38】本発明に従った 洗浄ステーションの拡大上
面図である。
【図39】A,B及びCともに、図36A,B及びCに
示す研磨プラーテン、ウエハヘッド及びコンディショナ
ーヘッドの大まかな相対運動を示す、研磨位置の上面図
である。
【図40】本発明に従ったウエハ移送調心クリーニング
ステーションの斜視図である。
【図41】図40のウエハ移送調心クリーニングステー
ションの上面図である。
【図42】図40のウエハ移送調心クリーニングステー
ションの部分的断面図であり、ウエハをウエハヘッドに
調心するための調心ジョーを作動させるために用いられ
るニューマティックアクチュエーターを示す図である。
【図43】図40のウエハ移送調心クリーニングステー
ションん部分的な断面図であり、スプレーノズル及びサ
クションポートへの中心流体通路及び周縁流体通路を示
す図である。
【図44】図44は、移送ステーションペデスタルとこ
れを包囲する洗浄ベイズンの断面図である。図44A
は、ペデスタルコラムとベイズンハウジングの間の接続
を例示する図44の一部の、拡大断面図である。
【図45】図42に関する、調心ジョーから調心ヨーク
への接続部の斜視図である。
【図46】ペデスタルシャフトの下端のスパイダー組立
体の斜視図である。
【図47】A,B,C,D及びEとも、本発明に従っ
て、研磨を行うためにウエハヘッドのウエハ受容リセス
にウエハを調心し搬入する、漸次的ステップを示す、側
方断面図である。
【図48】A,B及びCとも、ウエハ移送調心クリーニ
ングステーションの上断面図であり、ウエハヘッドに搬
入されるウエハの調心の様子を、図47A,B及びCの
斜視図に対応して示す図である。
【図49】A,B及びCとも、ウエハ移送クリーニング
ステーションの側方断面図であり、ウエハ及びウエハが
付随しているままのウエハヘッドが全ての使用可能なノ
ズルによりしっかりとリンスされていく段階的ステップ
と、ウエハがヘッドから解放され真空によりペデスタル
上に保持されロボットブレードでウエハをポリシング装
置からとりだす前にこの構成の組立体のリンスが行われ
る段階的ステップとを、示す図である。
【図50】A,B及びCとも、図49A,B及びCに対
応する、ペデスタルの中のチェックバルブの断面図であ
り、図49と同じ段階的ステップを示す図である。
【図51】A,B及びCとも、図49A,B及びC並び
に図50A,B及びCに対応する、ウエハ移送調心クリ
ーニングステーションの上面断面図であり、図49と同
じ段階的ステップを示す図である。
【図52】本発明に従い、ヘッド板がポリシングステー
ション上方の適所に配置され、ウエハヘッド組立体の1
つがウエハ移送調心クリーニングステーションの上且つ
内部の適所に配置されている様子を示す断面図であり、
例えば図2の装置の52−52線の断面を表す図であ
る。
【図53】カルーセルの底部のギアロッキング組立体の
斜視図である。
【図54】本発明に従ったウエハ搬送装置の前面部分断
面図である。
【図55】図53の装置に用いられるロボットブレード
及びウエハカセットトレー上昇爪を有する「L」型部材
の上面図である。
【図56】図55のロボットブレードの底面の斜視図で
ある。
【図57】図54のウエハ搬送装置のブレード、爪及び
アームの底部の背面部分断面図である。
【図58】図54のロボットブレードの側面図である。
【図59】図58のロボットブレードの上断面図であ
る。
【図60】図58のロボットブレードの底面部分断面図
である。
【図61】図54のウエハ搬送装置の下降アーム及びリ
スト組立体の破断部を含む斜視図である。
【図62】図54のウエハ搬送装置のオーバーヘッドト
ラックの破断部を含む斜視図である。
【図63】図62のオーバーヘッドトラックの端面の斜
視図である。
【図64】図54のオーバーヘッドトラックの上面図で
ある。
【図65】図54のウエハ搬送装置の端部の部分断面図
である。
【図66】本発明に従ったウエハ及びカセット搬送装置
の端面図であり、ウエハ浴及びウエハ浴中のウエハカセ
ットのポリシング装置に対する配置を示す図である。
【図67】液体浴中で1つ以上のウエハカセットを保持
するタブの軸方向断面図である。
【図68】
【図69】図67の堆積部の支持レールの正面図であ
る。
【図70】A,B,C,D及びEとも、本発明に従い、
ウエハがポリシング装置の搬入出を行うための、ロボッ
トブレードの運動及び漸次的ステップを示す図である。
【図71】A,B及びCとも、ウエハカセットを上昇さ
せる際の、「L」型部材のカセット上昇フォークの運動
を示す図である。
【図72】A,B及びCとも、バッチ操作で特定のカセ
ットを動かして漸次的且つ連続的な研磨を可能にするウ
エハカセットの漸次的な運動を示し、また、本発明に従
った装置の有用性を示す図である。
【符号の説明】
10…ポリシングシステム、20…ポリシング装置、2
2…下部機械ベース、23…テーブルトップ、24…上
部外部カバー、25…カバー、30…ウエハ搬送装置、
32…保持ステーション、34…タブ、35…下降アー
ム、36…オーバーヘッドトラック、37…リスト組立
体、38…ウエハブレード、39…カセット爪、40…
ウエハ、42…カセット、50…ポリシングステーショ
ン、52…回転プラーテン、54…ポリシングパッド、
60…パッドコンディショナー装置、62…回転アー
ム、64…コンディショナーヘッド、65…支持組立
体、67…洗浄ベイズン、68…洗浄ベイズン、70…
移送ステーション、72…ペデスタル、74…フォーク
組立体、76…シュラウド、77…洗浄組立体、78…
ベイズンシャフトハウジング、79…管状ペデスタルコ
ラム、80…中間洗浄ステーション、90…カルーセ
ル、92…支持中心ポスト、100…ウエハヘッドシス
テム、110…ヘッド、110’…3Cウエハヘッド、
110”…3C3ウエハヘッド、232…プラーテン回
転モーター、302…浴、312…爪部分、314…ハ
ブ部分、316…ブレードブラケット、318…フィン
ガ、320…フィンガチップ、322…ナックルリッ
ジ、324…ブレード本体、328…真空リセス、33
0…開口、332…真空チャンネル、334…レッジ、
336…インサート、338…貫通穴、340…真空
穴、342…真空ホース、343…真空ジェネレータ、
344…ホイールハウジング、345…エア圧力センサ
ー、346…ウォームホイール、348…外側レースボ
ールベアリング組立体、350…シャフト、352…ウ
ォームギア、360…保護カバー、361…ベルトモー
ター、362…キャリッジ、364…スライダー、36
6…側レール、368…ボックスビーム、370…カン
チレバーブラケット、372…駆動ベルト、374,3
76…シーブ、380…切込み、382…キャプスタ
ン、384…シャフト、385…リッジ、388…下コ
ーナー部品、389…開長手方向スロット、390…円
形ベアリング組立体、392…フランジ、394…カラ
ー、396…ウォームホイール、397…ヘッド板、3
98…C部分、420…傾斜、422…クローズドハン
ドル、424…長手方向側部、426…背面、430…
スロットリッジ、432…底壁、500…プラーテン組
立体、510…プラーテントップ、512…プラーテン
ベース、513…ねじ、514…リム、515…ドレイ
ンチャンネル、516…カラー、518…プラーテンリ
ングベアリング、519…コーニス、520…ねじ、5
22…カラー、524…ねじ、526…フェンス、52
8…トラフ、530…貫通穴、532…ドレイン管、5
34…ねじ、536…フランジ、540…プラーテンモ
ーター組立体、542…装着ブラケット、543…モー
ター、544…モーターシーブ、546…駆動ベルト、
548…ハブシーブ、550…リザーバーハブ、552
…プラーテンハブ、554…斜め通路、556…リン
グ、558…垂直通路、560…ブローポート、56
1,562,564,565…垂直通路、568,57
0,571,572…リセス、574…テーパー穴、5
76…急速解放継手、578…高圧エアライン、610
…リセス、612…コンディショニングディスク、61
4…下向き面、615…円形穴、616…開口、618
…有効回転中心、619…壁、619a…ゲート、62
0…フェース板、621…保持パッド、622…ジンバ
ル回転中心、624…駆動軸、626…垂直軸、627
…水平トルク中心、628…垂直トルク、630…エッ
ジ、632…前縁、636…共通中心、640…ボール
アンドソケットジョイント、642…ソケット部分、6
44…ボール部分、652…ベアリング要素、654…
凸表面、656…コンディショナーヘッドシャフト、6
58…凹表面、660…ボールベアリングケージ、66
2…ボールベアリング、664…Oリング、666…リ
セス、668…外面壁、670…ナット、672…上リ
ム、674…外側フランジ、676…ショルダーボル
ト、678…下向き対面ヘッド、680…リップ、68
2…周縁駆動ピン、685,686…駆動ピン穴、68
8…下側環状ベアリング、690…環状スペーサー、6
92…上部外側カラー、693…下側外側スカート、6
94…底部外側カラー、696…環状ばね、684…キ
ャリア板、688…D字型シールド板、722…弾性
膜、724…中心ポート、726…オフセットポート、
728…流体通路、730…垂直通路、732…流体通
路、736…流体ホース、738…ねじ切りユニオン、
740…ポーチルーフ、741…外側壁、742…下側
部材、743…内底部、744…放射方向通路、746
…第1のタップノズル穴、748…垂直通路、750…
上側部材、752…垂直通路、754…第2のタップノ
ズル穴、756…供給管、758…通路、759…ドレ
イン通路、760…カラー、761…ドレイン管、76
2…フォーク、764…歯、766…フォークアーム、
768…垂直リブ、769…シャフト、770…ウィン
グ、770…支持スリーブ、772…支持スリーブ、7
74…空気圧シリンダー、776…出力シャフト、77
8…緊張緩和ねじ、780…フォーク回転シャフト、7
82…ブッシング、784…バンパー組立体、786…
バンパー、790…調心フォーク組立体、792…ポス
ト、800…中間洗浄ステーション、810…洗浄室、
812…開口、814,816…側部、820…スプレ
ー管、822…ノズル開口、824…プラグ、826…
支持部材、828…テーパー弾性シール、830…供給
管、832…供給開口、834…ドレイン管、836…
ドレイン開口、840…洗浄液、850…洗浄ハウジン
グ、852…洗浄キャビティ、854…洗浄開口、85
6…コンタクトパッド、860…リッジノズルマウン
ト、862…リッジピーク、864…ノズル穴、866
…垂直供給通路、872…Oリングリセス、874…垂
直通路、876…テーパー穴、878…排水溝、902
…中心ポスト、904…カルーセル軸、906…カルー
セル支持板、908…カルーセルクオーターカバー、9
10…スロット、912…リニアベアリング組立体、9
14…レール、916…リニアガイド、917…ボール
ベアリング、918…親ねじ、924…位置フラグ、9
26…フィン、928…光学センサー、938…垂直通
路、940…スプラッシュ板組立体、942…中心シー
ルド板、944…外側シールド板、946…リセス、9
48…スプラッシュスロット、950…上伸張フラン
ジ、952…スプラッシュフォロワー、954…円形
穴、956…フランジ、960…スプラッシュフラン
ジ、962…周縁スカート、964…上向き伸張部分、
966…レッジ、968…スプラッシュシールドベアリ
ング、970…内向き伸張フランジ、972…カラー組
立体、974…ねじ、976…垂直ピン、978…ロー
ラーベアリング、980…水平ガイドグルーブ、984
…中心ポストベアリング、986…駆動モーター、98
8…ハーモニックドライブ、990…駆動シャフト、9
91…シャフトギア、992…第1の遊動ギア、993
…第1の遊動シャフト、994…第2の遊動ギア、99
5…第2の遊動シャフト、996…空気圧シリンダー、
997…バンドル、998…配線開口、1002…ヘッ
ド回転モーター、1012…ウエハヘッドモーター、1
014…ヘッド回転駆動シャフト、1015…包囲非回
転シャフトハウジング、1034…ローリングシール、
1040…第1の軸チャンネル、1042…ロータリー
カップリング、1044…第1の通路、1046…シャ
フトフランジ、1048…垂直通路、1050…上ハ
ブ、1052…斜め通路、1054…垂直通路、105
6…第2のシャフトシャフトチャンネル、1058…プ
ラグ、1062,1064…ドエル穴、1066…周
縁、1068…周縁ナット、1070…リップ、107
2…スライドシール、1074…垂直通路、1080…
下側リングベアリング、1082…上側リングベアリン
グ、1084…内側座ぐり孔、1086…ノッチ付きリ
テーナーリム、1088…ねじ、1090…ショルダ
ー、1092…シャフトブッシング、1094…保持ナ
ット、1095…クランプカラー、1096…モーター
ブラケット、1110…ボール部材、1112…フロー
ターゲット部材、1114…リセス、1115…ウエハ
受容リセス、1116…保持リング、1118…中心シ
ャフトブッシング組立体、1120…ブッシング、11
30…中心シャフト、1132…流体緊密キャビティ、
1134…ローリングシール、1040…第1の軸チャ
ンネル、1042…ロータリーカップリング、1160
…ボール部材、1162…リセス、1164…キャリア
板、1166…ボス、1168…外周ナット、1170
…リップ、1172…中心リセス、1174…スナップ
リング、1176…キーウェイ、1178…キーウェ
イ、1180…ピン、1182…上チャンバ、1184
…下チャンバ、1186…板状部材、1188…ブラ
ダ、1190…ベローズ、1192…ベローズキャビテ
ィ、1194…ベローズインサート、1196…上ベロ
ーズ板、1198…下ベローズ板、1300…ウォーム
モーター、1302…ウォームギア、1304…ウォー
ムナット、1306…Lブラケット、1308…垂直リ
ニアベアリングレール、1310…垂直部分、1314
…モーター、1316…フット、1318…出力シャフ
ト、1320…支持コラム、1322…ハーフカラー、
1324…トロンボーン、1326…耳、1430…外
壁、1432…堰、1434…ベイズン、1436…テ
ーパー付き上部、1438…チップ、1439…底部、
1440…上部、1442…脚、1444…レール、1
446…底部、1448…ピン、1450…エッジ、1
452…調心ピン、1454…ドレイン穴、1456…
供給管、1457…材料、1458…ノズル穴、146
0…供給通路、1464…流体レベルセンサー、146
4…供給流入口、1468…3方バルブ、1470…ポ
ンプ、1471…フィルター、1472…ドレインポン
プ、1474…タブドレイン、1602…ねじ、160
4…U字型アーム本体、1608…下側フランジ、16
10…レッジ、1612…内側スペーサー、1614…
蛇腹、1616…歯状シーブ、1618…遠端壁、16
20…チャンネルカバー、1622…ハウジング、16
24…駆動ベルト、1626…歯状駆動シーブ、163
0…コンディショナー支持シャフトハウジング、163
2…近端、1634…水平才差運動軸、1636…平坦
面、1638…保持穴、1642…スタブシャフト、1
646…球状ベアリング、1648…ベアリングカバー
板、1650…垂直スカート、1652…穿孔、165
4…タップ穴、1656…水力ラム、1660…ヨー
ク、1662…旋回支持板、1663…スカート、16
64…駆動シャフト、1665…ギア、1666…コン
ディショナーヘッドモーター、1667…ギア、166
8…ハーモニックドライブ、1670…アーム掃引駆動
モーター、1672…ギア、1674…リム駆動ギア、
1676…モーターブラケット、1712…開口、17
14…ショルダー、1716…空気圧シリンダー、17
18…出力シャフト、1720…フット、1722…ジ
ョー、1724…プレート、1726…リップ、172
8,1730…ブッシング、1732…カラー、174
0…スパイダー、1742…Oリング、1743…スペ
ーサー、1744…管状リング、1746…カラー、1
750…脚、1752…下ジョー、1754…上ジョ
ー、1755…スリット、1756…スパイダー、17
58…フット、1760…ペデスタル空気圧シリンダ
ー、1762…ガイドポスト、1770…チェックバル
ブ組立体、1772…インサート、1774…ブロッ
ク、1776…バルブボール、2100…ロータリーユ
ニオン、2114…スピンドル、2116…垂直通路、
2118…ドエル、2120…リセス、2122…急速
遮断クランプ、2134…アンチ回転シャフト、214
0…ロータリー組立体、2142…部分、2143…環
状回転部材、2144…タップ穴、2146…放射方向
通路、2148…環状マニホールド、2150…フラン
ジシャフト部分、2151…真空穴、2152…垂直真
空通路、2156…ワッシャー、2160…側方通路、
2162…貫通穴、2164…テーパー付き穴、216
8…テーパー付き穴、2170…下側リングベアリン
グ、2172…カラー、2176…レッジ、2178…
レッジ、2180…上フランジ、2186…上側リング
ベアリング、2187…ベアリングフランジ、2188
…ねじ、2189…Oリング、2190…ウェーブば
ね、2192…上フランジ、2194…ねじ、2610
…クリーニングカップ、2612…モーター、2614
…中心ベイズン、2616…堰、2618…長手方向ス
リップ、2620…洗浄供給ライン、2622…周縁ド
レイン、2624…包囲ダム、2626…ドレイン通
路、2628…シャフト、2630…支持ベアリング、
2632…供給ライン、2634…排水ライン、263
6,2638…接続部、2640,2642…点線、5
100…リザーバーシステム、5110…ダム壁、51
12…内側リップ、5114…リザーバー、5116…
スラリ、5120…スラリ供給組立体、5122…ブラ
ケット、5124…テーパー穴、5126…水平通路、
5128…垂直通路、5130…流体レベルセンサ、5
140…ダイアフラムポンプ、5144…下側ダイアフ
ラムキャビティ、5146…下側ダイアフラムキャビテ
ィ、5148…上側ポンプ部材、5150…ダイアフラ
ム、5152…通路、5154…Oリングシールチャン
バ、5155…第2の通路、5156…テーパー穴、5
157…流体ライン、5158…カップリング、516
0…軸通路、5162…モーターシャフト、5164…
ロータリーカップリング、5170…上部分、5172
…中間部分、5174…下部分、5176…バルブボー
ル、5184,5186…通路、5200…オーバーヘ
ッドスラリディスペンサー、5202…ディスペンシン
グチューブ、5204…ディスペンサーベース、520
6…端部、5208…地点、5210,5212…供給
管、5214,5216…管配給端、5230…スラリ
供給モジュール、5232…供給ユニット、5234…
流量制御ユニット、5236…バルクヘッドユニット、
5238…供給源、5240…供給タンク、5242…
供給管、5244…ポンプ、5246…戻り管、524
8…オンオフバルブ、5250…流量チェックバルブ、
5252,5254…オンオフバルブ、5256…パー
ジライン、5258…オンオフバルブ、5260…計量
ユニット、5262…方向変換バルブ、5264…バル
ク流量コントローラ、5266…方向変換バルブ、52
68…専用DIWライン、9102…バウチャンバ、9
104…膜、9106…共形材料、9110…リテーナ
ーリング、9114…コンタクトリング、9116…外
向き環状レッジ部分、9118…内向き環状レッジ、9
120…ばね、9122…ドーナツ状ブラダ、9124
…中レッジ、9130,9132,9134,913
6,9138,9142,9152…垂直通路、914
0,9144,9150…側方通路、9154…ポー
ト、9166…通路、9292…ベース組立体、920
4…ハウジング組立体、9206…リテーナーリング組
立体、9208…ベローズシステム、9210…1次的
圧力チャンバ、9212…2次的圧力チャンバ、921
4…キャリアベース、9216…底面、9220…窪
み、9222…環状領域、9224…リム、9226…
導管、9228…中心軸、9230…環状板、9232
…内側部分、9234…ボルト、9238…中心開口、
9240…ストップシリンダー、9242…管状の本
体、9244…下フランジ、9246…上フランジ、9
248…リップ、9250…中心キャビティ、9252
…中心チャンネル、9260…キャリアハウジング、9
262…円筒キャビティ、9264…内側環状面、92
66…外側環状面、9268…リッジ、9270…ハ
ブ、9272…中環状領域、9274…ねじ切りネッ
ク、9276…スロープ部分、9278…レッジ、92
80…内側板、9282…外側板、9290…リップ、
9292…開口、9294…天井部、9230,930
2,9304…導管、9306…Oリング、9310…
内ベローズ、9312…外ベローズ、9314…第3の
ベローズ、9316…第3の円筒ベローズ、9320…
L字型リング支持体、9322…水平アーム、9324
…垂直アーム、9330…バッキングリング、9332
…ボルト、9333…バッキングリングの外側部分、9
334…バッキングリングの内側部分、9335…フレ
キシブルシール、9336…垂直伸張フランジ、934
0…リテーナーリング、9342…下向き突起部分。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ユージーン ギャントヴァーグ アメリカ合衆国, カリフォルニア州, サンタクララ, フォーベス アヴェニュ ー 2679 (72)発明者 ハリー キュー. リー アメリカ合衆国, カリフォルニア州, マウンテン ヴュー, ダブリュー. ミ ドルフィールド ロード 2261 (72)発明者 ロバート ディー. トレス アメリカ合衆国, カリフォルニア州, サンタクララ, サン アントニオ ドラ イヴ 2167 (72)発明者 ノーム シェンドン アメリカ合衆国, カリフォルニア州, サン カルロス, ノーザム アヴェニュ ー 34 (72)発明者 サソン サムク アメリカ合衆国, カリフォルニア州, ロス アルトス ヒルズ, ムーディー ロード 25625

Claims (73)

    【特許請求の範囲】
  1. 【請求項1】 基板をポリシングするための装置であっ
    て、 ポリシングしようとする少なくとも2つの基板と、 少なくとも2つの第2のポリシング面と、 回転可能なカルーセルと、 前記カルーセルから懸下し自身の上に前記基板のそれぞ
    れを保持する少なくとも2つの第1の基板ヘッド組立体
    と、 前記カルーセルにつながり前記カルーセルを動かして、
    前記基板ヘッドのうち選択された1つを前記ポリシング
    面のうち選択された1つの上に配置させる、配置部材
    と、を備える装置。
  2. 【請求項2】 移送装置を更に備え、 前記基板ヘッドの1つを前記移送装置の上方に配置しつ
    つ同時に前記基板ヘッドの1つを前記ポリシング面の1
    つの上に配置させるように、前記カルーセルは前記配置
    部材によって配置が与えられる、請求項1に記載の装
    置。
  3. 【請求項3】 前記カルーセルが、ポリシングの操作の
    間に、前記ポリシング面の端から端までを前記ヘッドで
    掃引させる、請求項2に記載の装置。
  4. 【請求項4】 前記カルーセルが、前記ポリシング面の
    上を、前記カルーセルの回転方向に対して実質的に放射
    方向に、前記ヘッドで掃引させる、請求項3に記載の装
    置。
  5. 【請求項5】 前記移送装置が、垂直方向に可動である
    ペデスタルと、前記ペデスタル上に十分に調心がうまく
    いっていない基板と接触可能である、複数の放射方向に
    可動なフィンガ組立体とを備える、請求項2に記載装
    置。
  6. 【請求項6】 前記基板にスプレーするように調心され
    たジェットを有するスプレーアームを少なくとも1つ有
    する洗浄装置を更に有する請求項5に記載の装置。
  7. 【請求項7】 前記ポリシング面のうちの1つの上に配
    置可能なコンディショナー部材を更に有する請求項1に
    記載の装置。
  8. 【請求項8】 前記コンディショナー部材が、前記ポリ
    シング面のうちの1つの上に配置可能なコンディショナ
    ーフェースを有し、前記フェースは前記ポリシング面の
    上面と同一線上にある軸の回りを回転可能である請求項
    7に記載の装置。
  9. 【請求項9】 連続的な基板コンディショニング装置で
    あって、 自身の上面の上に支持されるそれぞれのポリシングパッ
    ドを回転させる、複数のプラーテンと、 自身の底部側の上にそれぞれの基板を保持する複数の基
    板ヘッドと、 前記基板ヘッドを可動な状態で支持し、前記基板ヘッド
    上に保持される前記基板のそれぞれを、複数の前記ポリ
    シングパッドに連続的に係合させる、支持体とを備える
    連続装置。
  10. 【請求項10】 前記支持体が、回転軸の周りに回転可
    能な状態であり、前記基板ヘッドが、前記回転軸に対し
    て前記支持体の異なる角度位置、で支持される、請求項
    9に記載の連続装置。
  11. 【請求項11】 N個の前記プラーテンが前記回転軸の
    周りに配置され、前記基板ヘッドがN+1個ある、請求
    項10に記載の連続装置。
  12. 【請求項12】 前記基板ヘッドから基板を搬入出する
    ための移送ステーションを更に備え、N個の前記プラー
    テンと前記移送ステーションとが、前記回転軸の周りに
    等しい角度の間隔で配置される、請求項11に記載の連
    続装置。
  13. 【請求項13】 連続的なポリシングのプロセスであっ
    て、 複数の基板を、複数の基板ヘッドのそれぞれに載置する
    ステップであって、前記基板ヘッドは回転可能なカルー
    セル上のそれぞれの角度位置に支持される、前記ステッ
    プと、 複数のポリシング面を、支持構造体上に支持されるそれ
    ぞれの回転可能部材の上に載置するステップと、 多数のディスクリートな角度段階で前記カルーセルを回
    転させて、前記ポリシング面の全てに隣接する前記基板
    のそれぞれを順に配置させるステップとを有するポリシ
    ングの方法。
  14. 【請求項14】 前記回転可能部材が前記支持構造体上
    に配置され、前記基板ヘッドの前記角度位置には、前記
    カルーセルの所定の回転により複数の基板が同時に前記
    ポリシング面のそれぞれに隣接するように配置されるよ
    うな、配置が与えられる、請求項13に記載のポリシン
    グの方法。
  15. 【請求項15】 前記基板を移送ステーションで基板ヘ
    ッドに搬入出して前記基板の前記表面の前記ポリシング
    をその他の場所で実施するステップを更に有し、前記移
    送ステーションは前記支持構造体上の位置に配置される
    ことにより、前記カルーセルの前記所定の回転により更
    に、前記基板のうち別の基板を同時に前記移送ステーシ
    ョンに隣接するように配置させるようになる、請求項1
    4に記載のポリシングの方法。
  16. 【請求項16】 ポリシング装置であって、 ポリシング面を支持し且つ保持する回転可能なプラーテ
    ンと、 前記ポリシング面と摩擦接触して基板を保持するための
    基板ヘッドであって、ポリシング操作中は前記基板ヘッ
    ドの軸の周りに回転可能で、且つ、前記ポリシング操作
    中はポリシングパッドの上を直線方向に往復運動可能で
    ある、前記基板ヘッドとを備えるポリシング装置。
  17. 【請求項17】 ウエハ搬送プロセスであって、 タブの中の浴の中に複数のウエハを収容するカセットを
    移送するステップであって、前記浴の中に静置されてい
    る前記カセットの中に収容されている前記ウエハの主面
    は実質的に垂直に伸びる、前記ステップと、 前記浴の中に配置されている前記カセットの中に収容さ
    れている前記ウエハの選択された1つをウエハ処理装置
    へと移送するステップとを有するウエハ搬送プロセス。
  18. 【請求項18】 前記カセットが、前記主面が垂直方向
    に15゜未満の角度で傾斜するように、前記浴中に静置
    される請求項17に記載のウエハ搬送プロセス。
  19. 【請求項19】 前記移送するステップが、前記選択さ
    れたウエハを前記浴中に挿入されたブレードに真空チャ
    ックする請求項17に記載のウエハ搬送プロセス。
  20. 【請求項20】 ウエハ搬送システムであって、 複数の基板を保持するための、複数の別々の収容位置を
    自身の中に有するカセットと、 自身の中に収容された液体浴の中に前記カセットを保持
    するためのタブと、 可動アームに取り付けられ、前記液体浴中に保持された
    前記カセットに保持された前記複数の基板の何れか1つ
    に並置するために、前記タブの中に配置可能な、ブレー
    ドであって、前記ブレードは、前記何れか1つの基板に
    向かって並置する側にリセスを有する、前記ブレード
    と、 前記浴中の前記何れか1つの基板を選択的に真空チャッ
    クするように、前記リセスに接続された、選択的な真空
    源とを備えたウエハ搬送システム。
  21. 【請求項21】 前記リセスの中のガス圧力を測定し
    て、前記浴中で前記何れか1つの基板が真空チャックさ
    れた事を決定するセンサーを、更に備える請求項20に
    記載のウエハ搬送システム。
  22. 【請求項22】 プラーテン組立体の頂部上のポリシン
    グ面にポリシング液を供給する方法であって、 前記プラーテン組立体を回転させる回転のステップであ
    って、壁面と底面が前記プラーテン組立体に堅固に取り
    付けられて、前記底面の上側でリザーバーを画成する、
    前記回転のステップと、 前記プラーテン組立体を回転可能な状態で支持する本体
    に取り付けられた静的な液体ポートから、前記ポリシン
    グ液を前記リザーバーに充填させる、充填のステップ
    と、 前記リザーバーから前記回転するプラーテン組立体に形
    成された通路を介して前記ポリシング面に隣接する前記
    プラーテン組立体の頂部まで、前記ポリシング液をポン
    プ輸送する、輸送のステップとを有する方法。
  23. 【請求項23】 前記輸送のステップが、前記回転する
    プラーテン組立体上に載置されるポンプにより行われる
    請求項22に記載の方法。
  24. 【請求項24】 前記プラーテン組立体に回転可能な状
    態でつながった空気圧ラインを介して、前記ポンプに空
    気圧を与えるステップを更に有する請求項23に記載の
    方法。
  25. 【請求項25】 ポリシング装置及び液体ポリシング供
    給装置であって、 自身の表面上にポリシング面を有する回転可能なプラー
    テン組立体と、 前記プラーテン組立体の回転軸の周りで且つ前記ポリシ
    ング面の下で、前記回転可能なプラーテンに固定される
    略環状のリザーバーと、 前記ポリシング面と前記リザーバーとの間に垂直に配置
    され前記回転可能なプラーテン組立体と相対的に固定さ
    れる、ポリシング液のためのポートと、 前記ポリシング液を前記リザーバーから前記ポリシング
    面に隣接する前記回転可能なプラーテン組立体の上面に
    ポンプ輸送することが可能な、前記回転可能なプラーテ
    ン組立体の上で回転するポンプとを備えるポリシング装
    置。
  26. 【請求項26】 前記回転可能なプラーテン組立体に固
    定され、前記ポンプへ選択的に動力を与えるために前記
    ポンプにつながっている静的なラインと回転可能なライ
    ンとをつなげる、ロータリーカップリングを更に有する
    請求項25に記載のポリシング装置。
  27. 【請求項27】 前記プラーテンが、実質的にその回転
    中心に配置されるポートを有し、前記ポンプが前記ポリ
    シング液を前記ポートへポンプ輸送する請求項25に記
    載のポリシング装置。
  28. 【請求項28】 回転するプラーテン上に支持されたポ
    リシングパッドを取り除く方法であって、前記回転プラ
    ーテンと前記ポリシングパッドとの間の境界面の中心部
    分に流体を吹き付けて、前記プラーテンから前記パッド
    を引き剥がす、吹き付けのステップを有する、方法。
  29. 【請求項29】 前記プラーテンの回転を停止させるス
    テップと、 前記プラーテンに固定されたカップリングに流体ホース
    を取り付けるステップと、 その後、前記流体ホースに前記流体の正流体圧を与える
    ステップとを、前記吹き付けのステップの前に有する請
    求項28に記載の方法。
  30. 【請求項30】 パッド引き剥がしを有するポリシング
    装置であって、 ポリシングパッドを自身の上に支持する回転可能なプラ
    ーテンと、 前記プラーテンを介して前記パッドを支持するその中心
    部分まで通じる通路と、 前記通路に選択的に接続する正流体圧の流体源であっ
    て、前記正流体圧はバブルを発生して前記パッドを前記
    プラーテンから分離させる、前記流体源とを備えるポリ
    シング装置。
  31. 【請求項31】 前記プラーテンが回転していない間に
    着脱しようとする前記通路と前記流体源との間に、着脱
    可能な接続部を更に有する、請求項30に記載のポリシ
    ング装置。
  32. 【請求項32】 基板の中心合せを行うための装置であ
    って、 自身の表面上に基板を受容するためのペデスタルと、 前記ペデスタルを支持するコラムと、 前記ペデスタルの周囲に実質的に等角度間隔で配分され
    る3つの中心合せ組立体であって、前記中心合せ組立体
    のそれぞれは、 前記ペデスタルの外周縁におよそ配置される中心合せ部
    材と、 前記ペデスタルの下に放射方向に伸びて、自身の遠端上
    に前記中心合せ部材を支持するアームと、 前記コラムに沿って下向きに伸びて、前記アームの近端
    を自身の上面で支持するリブとを備える前記中心合せ組
    立体と、 前記コラムに隣接する前記リブの下端部を支持するヒン
    ジと、 自身の上端と下端との間で前記リブに接続するアクチュ
    エーターとを備える中心合せ装置。
  33. 【請求項33】 中心部材のそれぞれが、前記アームの
    前記遠端上で旋回可能な状態で支持され、且つ、前記ペ
    デスタル上で支持される前記基板と選択的に係合可能な
    2つのフィンガを自身の端部に有する、爪を備える、請
    求項32に記載の中心合せ装置。
  34. 【請求項34】 前記コラムとこれに付随する前記ペデ
    スタルとが、前記中心合せ部材に対して垂直に可動であ
    る請求項32に記載の中心合せ装置。
  35. 【請求項35】 前記中心合せ部材のそれぞれが前記ペ
    デスタルの外周縁に配置された垂直ピンを有し、中心合
    せ装置のそれぞれに対して、前記垂直ピンのどちらの側
    にも実質的に水平に伸びて前記中心合せ装置の運動を相
    対的に固定する、1対の調心歯が具備される、請求項3
    2に記載の中心合せ装置。
  36. 【請求項36】 ロータリー流体ユニオンであって、 中心シャフトと、前記中心シャフトを包囲しそれと共に
    回転可能である略環状の外側部材と、 前記中心シャフトに沿って軸方向に通過し、自身から外
    面に向かって接続するそれぞれの横断通路を有する、第
    1の複数の軸通路と、 前記外側部材に形成された第1の複数の流体通路と、 前記中心シャフト又は前記外側部材に形成され、前記中
    心シャフトの前記横断通路のそれぞれ1つ及び前記外側
    部材の前記流体通路のそれぞれ1つと流体連通する、第
    1の複数の環状マニホールドと、 前記マニホールドの側部に配置され、前記マニホールド
    の中心に向かって伸びるリップ部分を有し、裏側部分を
    有する、環状リップシールと、 前記リップシールの前記裏側部分の背面に配置され、自
    身の流体圧よりも低い流体圧の流体圧源に接続する、流
    体通路とを備えるロータリーユニオン。
  37. 【請求項37】 前記環状マニホールドのそれぞれの軸
    方向に対立する側に2つのリップシールが配置される請
    求項36に記載のロータリーユニオン。
  38. 【請求項38】 前記リップシールの前記裏側部分が、
    前記外側部材に取り付けられた裏面上に置かれている請
    求項37に記載のロータリーユニオン。
  39. 【請求項39】 前記流体通路が、軸方向に別れる別々
    の軸方向部分を有する請求項38に記載のロータリーユ
    ニオン。
  40. 【請求項40】 前記裏面が、前記軸方向部分同士の間
    に配置可能な環状部材である請求項39に記載のロータ
    リーユニオン。
  41. 【請求項41】 自己張力による機械的表面処理装置で
    あって、 機械的に処理しようとする略平坦な基板を保持するため
    の受容面と、 自身が回転し且つ第1の方向に前記基板を押圧すると
    き、前記基板を機械的に処理するため表面処理面を自身
    の上に保持するための、回転表面コンディショニングヘ
    ッドと、 前記コンディショニングヘッドに固定され且つこれを回
    転する円形回転部分を、自身の遠端で支持するアーム
    と、 旋回軸の周りに前記アームの近端を旋回可能な状態で支
    持する支持構造体と、 前記支持構造体に固定され、且つ、前記旋回軸から前記
    第1の軸に対向する配置で、自身の端部に取り付けられ
    る円形駆動部材を有する、駆動シャフトと、 回転部材と前記駆動部材との間に巻かれる弾性ベルトと
    を備える表面処理装置。
  42. 【請求項42】 前記コンディショニングヘッドが回転
    している間に前記受容面が回転する請求項41に記載の
    表面処理装置。
  43. 【請求項43】 前記支持構造体と前記アームとの間に
    接続され、前記コンディショニングヘッドに前記基板の
    方へのバイアスを与えるための、アクチュエーターを更
    に備える。
  44. 【請求項44】 ジンバルヘッドないし水平保持ヘッド
    であって、 基板の第2の平坦面の周りに回転させようとする第1の
    平坦面を保持するためのヘッドと、 前記第1の面におよそ垂直に配向する回転可能な駆動シ
    ャフトと、 前記駆動シャフトと前記ヘッドとの間に接続され、前記
    第1の面と前記第2の面との間の境界面に又は前記境界
    面の下に配置され前記ヘッドを回転させるジンバル中心
    を有する、ジンバル構造体とを備えるジンバルヘッド。
  45. 【請求項45】 前記ジンバル構造体が、 前記基板に対して前記第1の面を保持することが可能
    で、且つ、第1の球状面を有する、第1の下側部材と、 前記駆動シャフトに接続し、第2の球状面を有する、上
    側部材であって、前記第1の球状面と前記第2の球状面
    とは、前記第1の平坦面と前記第2の平坦面との間の地
    点に、又は、前記地点から前記球状面の半径よりも短い
    距離だけ下に、実質的に共通の中心を有する、前記上側
    部材と、 前記第1の球状面と前記第2の球状面との間に介在する
    ボールベアリング組立体とを備える請求項44に記載の
    ジンバルヘッド。
  46. 【請求項46】 前記駆動シャフトと前記ヘッドとに横
    方向の配向を与える実質的配向調心ピンを少なくとも1
    つ更に備える請求項44に記載のジンバルヘッド。
  47. 【請求項47】 前記第1の部材と前記第2の部材との
    間に配置され、前記第1の部材と前記第2の部材との間
    の才差運動角度を制限するような位置が与えられる、O
    リングを、更に備える請求項45に記載のジンバルヘッ
    ド。
  48. 【請求項48】 前記基板がコンディショニングしよう
    とするポリシングパッドを備える請求項44に記載のジ
    ンバルヘッド。
  49. 【請求項49】 自身に対して押圧される基板をポリシ
    ングするためポリシング面に負荷を与えるための、回転
    プラーテンと、 前記プラーテンに隣接して支持されるアームに固定され
    且つプラーテンの上に配置されて前記ポリシング面をコ
    ンディショニングする、研磨面を有する、コンディショ
    ニングヘッドと、 前記コンディショニングヘッドが前記ポリシング面をコ
    ンディショニングしていないときには自身に前記研磨面
    が面する、前記コンディショニングヘッド保持のための
    受けとを備えるポリシングシステム。
  50. 【請求項50】 前記受けが流動する液体を収容する請
    求項49に記載のポリシングシステム。
  51. 【請求項51】 前記受けが、前記コンディショニング
    ヘッドを収容するための第1の位置と前記コンディショ
    ニングヘッドが前記ポリシング面をコンディショニング
    するときのための第2の位置との間に、回転可能な状態
    で配置することができる請求項49に記載のポリシング
    システム。
  52. 【請求項52】 自身の上側で基板を保持するプラーテ
    ンに、前記基板をチャックし及びチャックを解放するた
    めの方法であって、前記プラーテンは自身の保持面に複
    数の穴を有し、 前記穴に負の空気圧を与えて前記プラーテンに前記基板
    をチャックするステップと、 前記穴に正の空気圧を与えて前記プラーテンから前記基
    板のチャックを解放するステップとを有する方法。
  53. 【請求項53】 前記穴に液体を与えるステップを有す
    る請求項52に記載の方法。
  54. 【請求項54】 自身の上面の中に穴を有するプラーテ
    ンの上に保持される基板をチャックして洗浄する方法で
    あって、 前記穴に負の空気圧を与えて前記プラーテンに前記基板
    をチャックするステップと、 前記穴を介して洗浄液を放出するステップとを有する方
    法。
  55. 【請求項55】 前記穴が、前記プラーテンの中心に隣
    接する中心穴と前記プラーテンの前記中心と周縁との間
    の複数のオフセット穴とを有し、更に、前記放出のステ
    ップにおいて前記中心穴を介して洗浄液を放出すること
    を防止し、前記チャックのステップでは前記中心穴に正
    空気圧を与える、請求項54に記載の方法。
  56. 【請求項56】 基板を基板保持板から前記プラーテン
    上へと配置させるステップを更に有し、前記放出のステ
    ップでは基板保持ヘッドの底部を洗浄する請求項54に
    記載の方法。
  57. 【請求項57】 基板を保持するためのカセットとカセ
    ットとの間に基板を移送し、自身の側部に取り付けられ
    た上昇具と水平受容面を有する基板処理システムとを有
    する、基板ハンドリングシステムであって、 オーバーヘッドトラックと、 前記トラックから垂直軸に沿って下がり、前記トラック
    に沿って直線上を可動であるアームと、 前記アームの底部から下がり、前記垂直軸に沿って前記
    アームから伸張することができ、前記垂直軸の周りに回
    転可能であり、自身の水平軸の周りに回転可能である、
    リスト組立体と、 前記リスト組立体に付随し、前記水平軸から外向きに伸
    張可能であり、前記基板の1つに選択的に係合可能であ
    る、ブレードと、 前記リスト組立体に付随し、前記水平軸から外向きに伸
    張可能であり、前記上昇具の1つに選択的に係合可能で
    ある、カップリング部材とを備えるハンドリングシステ
    ム。
  58. 【請求項58】 前記ブレードが、前記基板の1つを真
    空チャックするために、自身の主面上に真空ポートを有
    する請求項57に記載のハンドリングシステム。
  59. 【請求項59】 前記保持具のそれぞれが、前記カセッ
    トの側部から水平に伸張し、前記カセットの前記側部か
    ら或る空間をもって分離される背面を有するハンドルを
    有し、前記カップリング部材が、前記空間の中に垂直に
    挿入可能であり、且つ、前記カセットの前記側部と接触
    する第1の側部と前記ハンドルの底部と係合するための
    水平に伸張する接触面を有する第2の側部とを有する、
    請求項58に記載のハンドリングシステム。
  60. 【請求項60】 前記ブレードと前記カップリング部材
    とが、前記リスト組立体の前記水平軸から、約90゜の
    角度間隔を有するそれぞれの方向に沿って伸張する、請
    求項57に記載のハンドリングシステム。
  61. 【請求項61】 第1の部材に形成されたスロットの中
    を通過し、前記スロットに沿った長手方向に可動である
    シャフトをシールするためのスプラッシュ板組立体であ
    って、 前記第1の部材の表面に形成され、前記第1の部材の中
    を通過する前記スロットを有する、リセスと、 前記スロットの周縁の周りの前記リセスの底部の上に上
    がる第1のリッジと、 前記スロットお側部から横方向にずれる場所で、前記リ
    セスの底部から上向きに伸張するガイド部材と、 前記シャフトを回転可能な状態でシールし、自身の周縁
    の周りに下向きに下がる第2のリッジを有する、D字型
    部材と、 前記第1の部材に形成され前記長手方向からずれた角度
    で伸張する、線形チャンネルと、 前記D字型部材から垂直に伸張して、前記線形チャンネ
    ルに係合する、ガイド部材とを備えるスプラッシュ板組
    立体。
  62. 【請求項62】 洗浄及び保持のステーションであっ
    て、 基板を支持することができる上面を有するプラーテン
    と、 前記上面に形成された複数の流体ポートと、 前記プラーテンを支持し、自身の中を通過し前記プラー
    テンを介して前記流体ポートに流体接続する垂直チャン
    ネルを有する、コラムと、 液体源と、 真空源と、 前記液体源及び前記真空源と前記垂直チャンネルとの間
    のY字型接続部とを備える洗浄及び保持ステーション。
  63. 【請求項63】 前記プラーテンが、実質的に円形であ
    り、 前記複数の流体ポートが、前記垂直通路の上にある前記
    プラーテンの中心に配置される前記ポートの中心の1つ
    と前記流体ポートの中心の1つからずれている複数の前
    記流体ポートとを有しており、 前記中心流体ポートと前記垂直通路との間に動作可能な
    状態で配置されて、ガスをその中に流しつつも、その中
    の前記流体が前記垂直通路から流れ出すことを防止す
    る、チェックバルブを更に備える、請求項62に記載の
    洗浄及び保持ステーション。
  64. 【請求項64】 ウエハ移送及び洗浄の方法であって、 複数の流体ポートを自身の上支持面上に複数の流体ポー
    トを有するプラーテンを与えるステップと、 前記プラーテンの上のウエハヘッドの底部側にウエハを
    保持するステップと、 前記流体ポートから前記ウエハヘッドの上に保持される
    前記ウエハに液体を放出するステップと、 前記ウエハヘッドと前記プラーテンとを相互の方に垂直
    に相対的に移動させて、ウエハ移送の位置で運動を停止
    させるステップと、 前記ウエハヘッドと前記プラーテンとが前記ウエハ移送
    位置にある間に、前記ウエハを前記ウエハヘッドから前
    記プラーテンへと移送するステップと、を有する方法。
  65. 【請求項65】 前記移送のステップでは、前記流体ポ
    ートに負の気体圧を与えて前記ウエハを前記プラーテン
    にチャックする工程を有する請求項64に記載の方法。
  66. 【請求項66】 前記プラーテンも前記ウエハヘッドも
    ウエハを保持していないとき、前記流体ポートから前記
    プラーテンの上の前記ウエハヘッドへ放出するステップ
    を更に有する請求項64に記載の方法。
  67. 【請求項67】 基板洗浄装置であって、 ウエハを支持するためのペデスタルと、 自身の下向き側でウエハを選択的に保持するための、前
    記ペデスタルの上に配置可能な、ウエハヘッドと、 自身の中に前記ペデスタルを有し、自身の上開口を介し
    て前記ウエハヘッドの前記下向き側を受容するようにサ
    イズが与えられた、ベイズンシュラウドと、 液体を前記ベイズンシュラウドの中間に向けてジェット
    噴射するための、前記ベイズンシュラウドの横側に配置
    された、複数のスプレージェットと、を備え、 前記ペデスタルと、前記ウエハヘッドと、前記ベイズン
    シュラウドとの少なくとも1つが垂直方向に可動で、前
    記スプレージェットが以下の工程を交互に行うことが可
    能であり、即ち、 (a)前記ウエハが前記プラーテン上に支持されている
    間、前記ウエハの第1の側と、前記ウエハヘッドの前記
    下向き側とに、スプレーを行う工程と、 (b)前記ウエハが前記ウエハヘッドにより保持されて
    いる間、前記ウエハの第2の側にスプレーを行う工程と
    を交互に行うことが可能である、基板洗浄装置。
  68. 【請求項68】 ウエハポリシングシステムであって、 第1の方向に面する側部上のポリシングパッドそれぞれ
    を押し、第1のラインに沿って配置された中心それぞれ
    の周りに回転可能である、2つのプラーテンと、 前記2つのプラーテン同士の間に配置され、前記第1の
    方向に面する側に細長い開口を有する、洗浄室であっ
    て、前記開口は、前記第1のラインと実質的に平行な従
    の軸とこれに実質的に垂直な主の軸とを有し、前記洗浄
    室は、前記開口に面するノズルであって、前記ノズルか
    ら液体を前記第1の方向に少なくとも一部が沿うように
    向ける、前記ノズルを少なくとも1つ備える、前記洗浄
    室とを備えるウエハ洗浄システム。
  69. 【請求項69】 前記ウエハと接触可能な前記第1の方
    向に面する前記洗浄室の前記開口の側に配置された柔軟
    な材料を更に備える請求項68に記載のウエハ洗浄シス
    テム。
  70. 【請求項70】 ウエハをポリシングする方法であっ
    て、 ウエハを回転させて、第1の回転ポリシングパッドと摩
    擦係合させるステップと、 前記ウエハを回転させて細長いチャンバのシール面に押
    圧するステップであって、前記細長いチャンバは、前記
    ウエハの直径に実質的に沿って伸びる主の軸と、これに
    実質的に垂直に伸びる従の軸とを有する、前記ステップ
    と、 前記チャンバの中の前記シール面に押圧されている前記
    回転ウエハの方へ、液体をスプレーするステップと、 その後、前記ウエハを回転させて、第2の回転ポリシン
    グパッドと摩擦係合させるステップとを有する方法。
  71. 【請求項71】 ポリシング装置であって、 自身の周縁側のスロット開口に伸びる複数のスロットを
    有する回転可能なカルーセルと、 自身の側部のウエハのそれぞれを選択的に保持するため
    の複数のウエハヘッドであって、前記ウエハヘッドは、
    前記スロット開口を介して前記スロットへ挿入可能であ
    り、また、この挿入の後に前記カルーセルに緊密とする
    ことが可能である、前記ウエハヘッドとを備えるポリシ
    ング装置。
  72. 【請求項72】 前記スロットに沿って伸張して前記ウ
    エハヘッドを保持するそれぞれのスライドを更に備え、
    前記ウエハヘッドは、前記スロットに沿った放射方向に
    前記ウエハが移動可能であるように、前記スライドに固
    定できる、請求項71に記載のポリシング装置。
  73. 【請求項73】 プラーテンからポリシングパッドを取
    り除く方法であって、ポリシングパッドを押す前記プラ
    ーテンの第1の主の面に流体チャンネルを与えるステッ
    プと、 前記流体チャンネルに実質的に大気圧よりも高い圧力を
    与えて、前記ポリシングパッドと前記プラーテンとの間
    にバブルを発生させるステップとを有する方法。
JP32207596A 1995-10-27 1996-10-28 ケミカルメカニカルポリシングの連続処理システム Pending JPH09174420A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/549,336 US5738574A (en) 1995-10-27 1995-10-27 Continuous processing system for chemical mechanical polishing
US08/549336 1995-10-27

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2001380667A Division JP2002198329A (ja) 1995-10-27 2001-12-13 ケミカルメカニカルポリシングの連続処理システム

Publications (1)

Publication Number Publication Date
JPH09174420A true JPH09174420A (ja) 1997-07-08

Family

ID=24192580

Family Applications (3)

Application Number Title Priority Date Filing Date
JP32207596A Pending JPH09174420A (ja) 1995-10-27 1996-10-28 ケミカルメカニカルポリシングの連続処理システム
JP2001380667A Pending JP2002198329A (ja) 1995-10-27 2001-12-13 ケミカルメカニカルポリシングの連続処理システム
JP2007266975A Expired - Fee Related JP4641540B2 (ja) 1995-10-27 2007-10-12 研磨装置および研磨方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2001380667A Pending JP2002198329A (ja) 1995-10-27 2001-12-13 ケミカルメカニカルポリシングの連続処理システム
JP2007266975A Expired - Fee Related JP4641540B2 (ja) 1995-10-27 2007-10-12 研磨装置および研磨方法

Country Status (6)

Country Link
US (4) US5738574A (ja)
EP (1) EP0774323B1 (ja)
JP (3) JPH09174420A (ja)
KR (2) KR100395153B1 (ja)
AT (1) ATE231046T1 (ja)
DE (1) DE69625778T2 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999033612A1 (fr) * 1997-12-26 1999-07-08 Ebara Corporation Dispositif de polissage
JP2001144053A (ja) * 1999-06-14 2001-05-25 Applied Materials Inc エッジ接触ロードカップ
JP2001308040A (ja) * 1999-12-21 2001-11-02 Applied Materials Inc 侵食およびディッシングが低減された高スループット銅cmp
JP2001319902A (ja) * 2000-05-09 2001-11-16 Fujikoshi Mach Corp ウェーハの研磨装置
JP2003109926A (ja) * 2001-09-26 2003-04-11 Applied Materials Inc 基板の受け渡し方法および機械化学的研磨装置
JP2003533359A (ja) * 2000-05-12 2003-11-11 マルチプレーナーテクノロジーズ インコーポレーテッド 独立のリテーナリングと多領域圧力制御とを備えた空気圧ダイアフラムヘッドおよび該空気圧ダイアフラムヘッドを用いた方法
JP2005123485A (ja) * 2003-10-17 2005-05-12 Ebara Corp 研磨装置
JP2007096019A (ja) * 2005-09-29 2007-04-12 Fujitsu Ltd 研磨装置、試料台パッド及び研磨方法
US7258599B2 (en) 2005-09-15 2007-08-21 Fujitsu Limited Polishing machine, workpiece supporting table pad, polishing method and manufacturing method of semiconductor device
JP2010114468A (ja) * 2010-02-08 2010-05-20 Ebara Corp 研磨装置及び研磨方法
JP2010130020A (ja) * 2008-11-26 2010-06-10 Semes Co Ltd 基板支持ユニットと、それを使用する基板研磨装置及び方法
JP2011061237A (ja) * 1999-06-18 2011-03-24 Applied Materials Inc ケミカルメカニカルポリッシャ用のウェーハ搬送ステーション
US8251775B2 (en) 2008-08-29 2012-08-28 Applied Materials, Inc. Mechanism and method for detecting the motion of a shaft
JP2014086666A (ja) * 2012-10-26 2014-05-12 Ebara Corp 研磨装置及び研磨方法
KR20160131242A (ko) * 2015-05-06 2016-11-16 주식회사 케이씨텍 기판 연마장치의 디척 방법
JP2021510237A (ja) * 2018-01-10 2021-04-15 ラム リサーチ コーポレーションLam Research Corporation 追加の回転軸を有する回転インデクサ

Families Citing this family (469)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885138A (en) 1993-09-21 1999-03-23 Ebara Corporation Method and apparatus for dry-in, dry-out polishing and washing of a semiconductor device
US5895270A (en) * 1995-06-26 1999-04-20 Texas Instruments Incorporated Chemical mechanical polishing method and apparatus
KR100487590B1 (ko) * 1995-08-21 2005-08-04 가부시키가이샤 에바라 세이사꾸쇼 폴리싱장치
US7097544B1 (en) * 1995-10-27 2006-08-29 Applied Materials Inc. Chemical mechanical polishing system having multiple polishing stations and providing relative linear polishing motion
GB2347790B (en) * 1995-11-14 2000-11-01 Nec Corp Method of regulating a retainer ring of a polishing apparatus to an appropriate configuration
JP3129172B2 (ja) * 1995-11-14 2001-01-29 日本電気株式会社 研磨装置及び研磨方法
JP3580936B2 (ja) * 1996-02-26 2004-10-27 株式会社荏原製作所 ポリッシング装置のプッシャー及びポリッシング装置
US6050884A (en) * 1996-02-28 2000-04-18 Ebara Corporation Polishing apparatus
US6413156B1 (en) * 1996-05-16 2002-07-02 Ebara Corporation Method and apparatus for polishing workpiece
JP3624054B2 (ja) * 1996-06-18 2005-02-23 東京エレクトロン株式会社 処理装置および処理方法
JP3183388B2 (ja) * 1996-07-12 2001-07-09 株式会社東京精密 半導体ウェーハ研磨装置
US6183354B1 (en) 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6056632A (en) * 1997-02-13 2000-05-02 Speedfam-Ipec Corp. Semiconductor wafer polishing apparatus with a variable polishing force wafer carrier head
US6354926B1 (en) * 1997-03-12 2002-03-12 Lam Research Corporation Parallel alignment method and apparatus for chemical mechanical polishing
US6116994A (en) * 1997-04-11 2000-09-12 Ebara Corporation Polishing apparatus
US6110025A (en) * 1997-05-07 2000-08-29 Obsidian, Inc. Containment ring for substrate carrier apparatus
US6139406A (en) 1997-06-24 2000-10-31 Applied Materials, Inc. Combined slurry dispenser and rinse arm and method of operation
US6036583A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Conditioner head in a substrate polisher and method
US6113479A (en) 1997-07-25 2000-09-05 Obsidian, Inc. Wafer carrier for chemical mechanical planarization polishing
US6116990A (en) * 1997-07-25 2000-09-12 Applied Materials, Inc. Adjustable low profile gimbal system for chemical mechanical polishing
TW434095B (en) * 1997-08-11 2001-05-16 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
JP3795198B2 (ja) 1997-09-10 2006-07-12 株式会社荏原製作所 基板保持装置及び該基板保持装置を備えたポリッシング装置
DE69838161T2 (de) 1997-10-20 2008-04-17 Ebara Corp. Poliervorrichtung
DE69830121T2 (de) * 1997-10-31 2006-02-23 Ebara Corp. Polierschlamm Spendevorrichtung
US6107203A (en) * 1997-11-03 2000-08-22 Motorola, Inc. Chemical mechanical polishing system and method therefor
DE69825143T2 (de) 1997-11-21 2005-08-11 Ebara Corp. Vorrichtung zum polieren
JPH11204468A (ja) * 1998-01-09 1999-07-30 Speedfam Co Ltd 半導体ウエハの表面平坦化装置
KR100286980B1 (ko) * 1998-02-11 2001-04-16 윤종용 웨이퍼 연마 설비 및 웨이퍼 연마 방법
DE69908591T2 (de) * 1998-02-14 2004-04-29 Lam Research Corp., Fremont Vorrichtung zum Laden von Halbleiterscheiben
JP3583612B2 (ja) * 1998-03-31 2004-11-04 信越半導体株式会社 ウェーハの研磨方法
US6200199B1 (en) 1998-03-31 2001-03-13 Applied Materials, Inc. Chemical mechanical polishing conditioner
FR2776552B1 (fr) 1998-03-31 2000-06-16 Procedes & Equipement Pour Les Machine modulaire de polissage et de planarisation de substrats
TW393378B (en) * 1998-04-08 2000-06-11 Applied Materials Inc Apparatus and methods for slurry removal in chemical mechanical polishing
US6218306B1 (en) * 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US5897426A (en) 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US6390904B1 (en) 1998-05-21 2002-05-21 Applied Materials, Inc. Retainers and non-abrasive liners used in chemical mechanical polishing
US6251215B1 (en) * 1998-06-03 2001-06-26 Applied Materials, Inc. Carrier head with a multilayer retaining ring for chemical mechanical polishing
US6354918B1 (en) 1998-06-19 2002-03-12 Ebara Corporation Apparatus and method for polishing workpiece
JP4052736B2 (ja) * 1998-07-21 2008-02-27 株式会社荏原製作所 ポリッシング装置
JP3702668B2 (ja) * 1998-09-28 2005-10-05 株式会社村田製作所 電子部品チップ供給装置
US6033290A (en) * 1998-09-29 2000-03-07 Applied Materials, Inc. Chemical mechanical polishing conditioner
US6220941B1 (en) 1998-10-01 2001-04-24 Applied Materials, Inc. Method of post CMP defect stability improvement
JP3048142B2 (ja) * 1998-10-19 2000-06-05 株式会社東京精密 ウェーハ加工装置
US6217430B1 (en) 1998-11-02 2001-04-17 Applied Materials, Inc. Pad conditioner cleaning apparatus
US6358124B1 (en) 1998-11-02 2002-03-19 Applied Materials, Inc. Pad conditioner cleaning apparatus
US6863593B1 (en) 1998-11-02 2005-03-08 Applied Materials, Inc. Chemical mechanical polishing a substrate having a filler layer and a stop layer
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP3979750B2 (ja) * 1998-11-06 2007-09-19 株式会社荏原製作所 基板の研磨装置
US6319098B1 (en) 1998-11-13 2001-11-20 Applied Materials, Inc. Method of post CMP defect stability improvement
JP3375907B2 (ja) * 1998-12-02 2003-02-10 神鋼電機株式会社 天井走行搬送装置
US6783434B1 (en) * 1998-12-25 2004-08-31 Hitachi Chemical Company, Ltd. CMP abrasive, liquid additive for CMP abrasive and method for polishing substrate
US6475070B1 (en) * 1999-02-04 2002-11-05 Applied Materials, Inc. Chemical mechanical polishing with a moving polishing sheet
US6309279B1 (en) * 1999-02-19 2001-10-30 Speedfam-Ipec Corporation Arrangements for wafer polishing
US6491570B1 (en) 1999-02-25 2002-12-10 Applied Materials, Inc. Polishing media stabilizer
US6231428B1 (en) 1999-03-03 2001-05-15 Mitsubishi Materials Corporation Chemical mechanical polishing head assembly having floating wafer carrier and retaining ring
US6368189B1 (en) 1999-03-03 2002-04-09 Mitsubishi Materials Corporation Apparatus and method for chemical-mechanical polishing (CMP) head having direct pneumatic wafer polishing pressure
US6354922B1 (en) * 1999-08-20 2002-03-12 Ebara Corporation Polishing apparatus
SG97860A1 (en) * 1999-03-05 2003-08-20 Ebara Corp Polishing apparatus
US6358128B1 (en) 1999-03-05 2002-03-19 Ebara Corporation Polishing apparatus
US6227950B1 (en) * 1999-03-08 2001-05-08 Speedfam-Ipec Corporation Dual purpose handoff station for workpiece polishing machine
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
JP3693847B2 (ja) * 1999-03-26 2005-09-14 Necエレクトロニクス株式会社 研磨後ウェハの保管方法および装置
US6296557B1 (en) 1999-04-02 2001-10-02 Micron Technology, Inc. Method and apparatus for releasably attaching polishing pads to planarizing machines in mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6220942B1 (en) 1999-04-02 2001-04-24 Applied Materials, Inc. CMP platen with patterned surface
US6244931B1 (en) * 1999-04-02 2001-06-12 Applied Materials, Inc. Buffer station on CMP system
US6217426B1 (en) 1999-04-06 2001-04-17 Applied Materials, Inc. CMP polishing pad
US20040053566A1 (en) * 2001-01-12 2004-03-18 Applied Materials, Inc. CMP platen with patterned surface
US20040072518A1 (en) * 1999-04-02 2004-04-15 Applied Materials, Inc. Platen with patterned surface for chemical mechanical polishing
US6113468A (en) * 1999-04-06 2000-09-05 Speedfam-Ipec Corporation Wafer planarization carrier having floating pad load ring
US6468135B1 (en) 1999-04-30 2002-10-22 International Business Machines Corporation Method and apparatus for multiphase chemical mechanical polishing
US6322427B1 (en) * 1999-04-30 2001-11-27 Applied Materials, Inc. Conditioning fixed abrasive articles
EP1052062A1 (en) * 1999-05-03 2000-11-15 Applied Materials, Inc. Pré-conditioning fixed abrasive articles
US6361422B1 (en) * 1999-06-15 2002-03-26 Applied Materials, Inc. Method and apparatus for transferring semiconductor substrates using an input module
KR100304706B1 (ko) * 1999-06-16 2001-11-01 윤종용 화학기계적 연마장치 및 연마 헤드 내부의 오염 물질 세척방법
KR100316712B1 (ko) * 1999-06-22 2001-12-12 윤종용 화학기계적 연마장치에 웨이퍼를 로딩/언로딩하기 위한 로드컵의 페디스탈
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
JP3797822B2 (ja) 1999-06-30 2006-07-19 株式会社荏原製作所 ポリッシング装置
JP2001018161A (ja) 1999-07-07 2001-01-23 Ebara Corp 研磨装置
JP2001018169A (ja) 1999-07-07 2001-01-23 Ebara Corp 研磨装置
GB9915925D0 (en) * 1999-07-08 1999-09-08 Univ Loughborough Flow field plates
US6855043B1 (en) 1999-07-09 2005-02-15 Applied Materials, Inc. Carrier head with a modified flexible membrane
US6722963B1 (en) * 1999-08-03 2004-04-20 Micron Technology, Inc. Apparatus for chemical-mechanical planarization of microelectronic substrates with a carrier and membrane
DE60032423T2 (de) 1999-08-18 2007-10-11 Ebara Corp. Verfahren und Einrichtung zum Polieren
EP1080840A3 (en) * 1999-08-30 2004-01-02 Mitsubishi Materials Corporation Polishing apparatus, polishing method and method of conditioning polishing pad
US6306008B1 (en) * 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6481951B1 (en) 1999-09-16 2002-11-19 Applied Materials, Inc. Multiple sided robot blade for semiconductor processing equipment
US6186880B1 (en) * 1999-09-29 2001-02-13 Semiconductor Equipment Technology Recyclable retaining ring assembly for a chemical mechanical polishing apparatus
US6422921B1 (en) 1999-10-22 2002-07-23 Applied Materials, Inc. Heat activated detachable polishing pad
US6273797B1 (en) * 1999-11-19 2001-08-14 International Business Machines Corporation In-situ automated CMP wedge conditioner
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6379223B1 (en) 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6379226B1 (en) * 1999-12-08 2002-04-30 Memc Electronic Materials, Inc. Method for storing carrier for polishing wafer
US6679755B1 (en) 1999-12-09 2004-01-20 Applied Materials Inc. Chemical mechanical planarization system
TWI223734B (en) * 1999-12-21 2004-11-11 Asml Netherlands Bv Crash prevention in positioning apparatus for use in lithographic projection apparatus
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
TW430594B (en) * 1999-12-29 2001-04-21 United Microelectronics Corp Method for controlling polishing time in CMP process
US6383058B1 (en) * 2000-01-28 2002-05-07 Applied Materials, Inc. Adaptive endpoint detection for chemical mechanical polishing
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US7678245B2 (en) * 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6629881B1 (en) 2000-02-17 2003-10-07 Applied Materials, Inc. Method and apparatus for controlling slurry delivery during polishing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7670468B2 (en) * 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US6962524B2 (en) * 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US20040182721A1 (en) * 2003-03-18 2004-09-23 Applied Materials, Inc. Process control in electro-chemical mechanical polishing
US6991528B2 (en) * 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7125477B2 (en) * 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
US7066800B2 (en) * 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US7303662B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Contacts for electrochemical processing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US6669538B2 (en) 2000-02-24 2003-12-30 Applied Materials Inc Pad cleaning for a CMP system
US6562184B2 (en) 2000-02-29 2003-05-13 Applied Materials, Inc. Planarization system with multiple polishing pads
US6350188B1 (en) * 2000-03-10 2002-02-26 Applied Materials, Inc. Drive system for a carrier head support structure
US6517414B1 (en) 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6227948B1 (en) * 2000-03-21 2001-05-08 International Business Machines Corporation Polishing pad reconditioning via polishing pad material as conditioner
JP3556148B2 (ja) * 2000-03-23 2004-08-18 株式会社東京精密 ウェハ研磨装置
US6336853B1 (en) 2000-03-31 2002-01-08 Speedfam-Ipec Corporation Carrier having pistons for distributing a pressing force on the back surface of a workpiece
US6447379B1 (en) 2000-03-31 2002-09-10 Speedfam-Ipec Corporation Carrier including a multi-volume diaphragm for polishing a semiconductor wafer and a method therefor
US6390905B1 (en) 2000-03-31 2002-05-21 Speedfam-Ipec Corporation Workpiece carrier with adjustable pressure zones and barriers
US7140956B1 (en) 2000-03-31 2006-11-28 Speedfam-Ipec Corporation Work piece carrier with adjustable pressure zones and barriers and a method of planarizing a work piece
US6572730B1 (en) 2000-03-31 2003-06-03 Applied Materials, Inc. System and method for chemical mechanical planarization
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6616513B1 (en) 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6706139B1 (en) * 2000-04-19 2004-03-16 Micron Technology, Inc. Method and apparatus for cleaning a web-based chemical mechanical planarization system
US6264185B1 (en) * 2000-04-19 2001-07-24 Shoda Iron Works Co., Ltd. Suction pad
US6354928B1 (en) * 2000-04-21 2002-03-12 Agere Systems Guardian Corp. Polishing apparatus with carrier ring and carrier head employing like polarities
US6623343B2 (en) 2000-05-12 2003-09-23 Multi Planar Technologies, Inc. System and method for CMP head having multi-pressure annular zone subcarrier material removal control
US6435941B1 (en) 2000-05-12 2002-08-20 Appllied Materials, Inc. Apparatus and method for chemical mechanical planarization
US6558232B1 (en) 2000-05-12 2003-05-06 Multi-Planar Technologies, Inc. System and method for CMP having multi-pressure zone loading for improved edge and annular zone material removal control
US6506105B1 (en) 2000-05-12 2003-01-14 Multi-Planar Technologies, Inc. System and method for pneumatic diaphragm CMP head having separate retaining ring and multi-region wafer pressure control
US6436832B1 (en) 2000-05-23 2002-08-20 Applied Materials, Inc Method to reduce polish initiation time in a polish process
US6358126B1 (en) 2000-05-23 2002-03-19 Ebara Corporation Polishing apparatus
US6645046B1 (en) 2000-06-30 2003-11-11 Lam Research Corporation Conditioning mechanism in a chemical mechanical polishing apparatus for semiconductor wafers
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
JP2002025961A (ja) * 2000-07-04 2002-01-25 Disco Abrasive Syst Ltd 半導体ウエーハの研削方法
US6722965B2 (en) 2000-07-11 2004-04-20 Applied Materials Inc. Carrier head with flexible membranes to provide controllable pressure and loading area
US6394440B1 (en) * 2000-07-24 2002-05-28 Asm America, Inc. Dual orientation leveling platform for semiconductor apparatus
US7198561B2 (en) * 2000-07-25 2007-04-03 Applied Materials, Inc. Flexible membrane for multi-chamber carrier head
US6857945B1 (en) 2000-07-25 2005-02-22 Applied Materials, Inc. Multi-chamber carrier head with a flexible membrane
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6561884B1 (en) 2000-08-29 2003-05-13 Applied Materials, Inc. Web lift system for chemical mechanical planarization
US6488565B1 (en) 2000-08-29 2002-12-03 Applied Materials, Inc. Apparatus for chemical mechanical planarization having nested load cups
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6482072B1 (en) 2000-10-26 2002-11-19 Applied Materials, Inc. Method and apparatus for providing and controlling delivery of a web of polishing material
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6648730B1 (en) 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
US6793565B1 (en) 2000-11-03 2004-09-21 Speedfam-Ipec Corporation Orbiting indexable belt polishing station for chemical mechanical polishing
US6592439B1 (en) 2000-11-10 2003-07-15 Applied Materials, Inc. Platen for retaining polishing material
US6447368B1 (en) 2000-11-20 2002-09-10 Speedfam-Ipec Corporation Carriers with concentric balloons supporting a diaphragm
US6468131B1 (en) 2000-11-28 2002-10-22 Speedfam-Ipec Corporation Method to mathematically characterize a multizone carrier
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6406362B1 (en) * 2001-01-04 2002-06-18 Speedfam-Ipec Corporation Seal for use with a chemical mechanical planarization apparatus
US6402602B1 (en) 2001-01-04 2002-06-11 Speedfam-Ipec Corporation Rotary union for semiconductor wafer applications
US6620027B2 (en) 2001-01-09 2003-09-16 Applied Materials Inc. Method and apparatus for hard pad polishing
US6613200B2 (en) 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US6949466B2 (en) * 2001-09-18 2005-09-27 Oriol Inc. CMP apparatus and method for polishing multiple semiconductor wafers on a single polishing pad using multiple slurry delivery lines
JP3433930B2 (ja) * 2001-02-16 2003-08-04 株式会社東京精密 ウェーハの平面加工装置及びその平面加工方法
US6592680B2 (en) * 2001-03-22 2003-07-15 Agilent Technologies, Inc. Integrated circuit assembly cleaning apparatus and method
US6675058B1 (en) * 2001-03-29 2004-01-06 Advanced Micro Devices, Inc. Method and apparatus for controlling the flow of wafers through a process flow
US6749494B2 (en) * 2001-04-11 2004-06-15 Michael C. Mandall Conditioning tool
US6942545B2 (en) * 2001-04-20 2005-09-13 Oriol, Inc. Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers
US7137879B2 (en) * 2001-04-24 2006-11-21 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US7201639B2 (en) * 2001-04-24 2007-04-10 Hitachi Global Storage Technologies Netherlands B.V. Powder for disks
US6582277B2 (en) 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
WO2002095809A2 (en) * 2001-05-18 2002-11-28 Lam Research Corporation Apparatus and method for substrate preparation implementing a surface tension reducing process
US6817923B2 (en) 2001-05-24 2004-11-16 Applied Materials, Inc. Chemical mechanical processing system with mobile load cup
US6857941B2 (en) * 2001-06-01 2005-02-22 Applied Materials, Inc. Multi-phase polishing pad
US6726527B2 (en) * 2001-06-08 2004-04-27 Edward A. Lalli Automatic disc repair system
US6641462B2 (en) 2001-06-27 2003-11-04 Speedfam-Ipec Corporation Method and apparatus for distributing fluid to a polishing surface during chemical mechanical polishing
US6790768B2 (en) 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US7086933B2 (en) * 2002-04-22 2006-08-08 Applied Materials, Inc. Flexible polishing fluid delivery system
US6708397B2 (en) * 2001-08-09 2004-03-23 Johnson & Johnson Vision Care, Inc. Inlay station with alignment assemblies and transfer tubes
US6561744B2 (en) * 2001-08-10 2003-05-13 Taiwan Semiconductor Manufacturing Co., Ltd Wafer blade for wafer pick-up from a water tank and method for using
US6503131B1 (en) 2001-08-16 2003-01-07 Applied Materials, Inc. Integrated platen assembly for a chemical mechanical planarization system
US6677239B2 (en) 2001-08-24 2004-01-13 Applied Materials Inc. Methods and compositions for chemical mechanical polishing
JP2003077993A (ja) * 2001-08-30 2003-03-14 Nec Yamagata Ltd ウェーハ用ホルダ、及び、ウェーハの吸着解放方法
US6638145B2 (en) * 2001-08-31 2003-10-28 Koninklijke Philips Electronics N.V. Constant pH polish and scrub
US6586336B2 (en) 2001-08-31 2003-07-01 Oriol, Inc. Chemical-mechanical-polishing station
US6659846B2 (en) 2001-09-17 2003-12-09 Agere Systems, Inc. Pad for chemical mechanical polishing
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6606917B2 (en) * 2001-11-26 2003-08-19 Emerson Electric Co. High purity coriolis mass flow controller
US20030098069A1 (en) * 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6863595B1 (en) * 2001-12-19 2005-03-08 Cypress Semiconductor Corp. Methods for polishing a semiconductor topography
US6758724B2 (en) * 2002-01-09 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Online tension monitor system for robot x-belt of mirra CMP
US20060255016A1 (en) * 2002-01-17 2006-11-16 Novellus Systems, Inc. Method for polishing copper on a workpiece surface
US20030134576A1 (en) * 2002-01-17 2003-07-17 Saket Chadda Method for polishing copper on a workpiece surface
US6837983B2 (en) * 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6841057B2 (en) 2002-03-13 2005-01-11 Applied Materials Inc. Method and apparatus for substrate polishing
US6739958B2 (en) 2002-03-19 2004-05-25 Applied Materials Inc. Carrier head with a vibration reduction feature for a chemical mechanical polishing system
US7024268B1 (en) 2002-03-22 2006-04-04 Applied Materials Inc. Feedback controlled polishing processes
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6769968B2 (en) 2002-03-29 2004-08-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Interchangeable conditioning disk apparatus
US7160173B2 (en) * 2002-04-03 2007-01-09 3M Innovative Properties Company Abrasive articles and methods for the manufacture and use of same
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US20030201185A1 (en) * 2002-04-29 2003-10-30 Applied Materials, Inc. In-situ pre-clean for electroplating process
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US7189313B2 (en) * 2002-05-09 2007-03-13 Applied Materials, Inc. Substrate support with fluid retention band
US6893331B2 (en) * 2002-05-09 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd Gimbal assembly for semiconductor fabrication and other tools
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US6790123B2 (en) 2002-05-16 2004-09-14 Speedfam-Ipec Corporation Method for processing a work piece in a multi-zonal processing apparatus
EP1372038B1 (en) * 2002-06-13 2005-11-23 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6815376B2 (en) * 2002-06-14 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic substrate edge bead processing apparatus and method
US6875076B2 (en) 2002-06-17 2005-04-05 Accretech Usa, Inc. Polishing machine and method
CN100520706C (zh) 2002-06-19 2009-07-29 布鲁克斯自动技术公司 半导体制造用竖直传送带与空中升降机组合式自动物料搬运系统
KR100495659B1 (ko) * 2002-06-21 2005-06-16 삼성전자주식회사 화학적 기계적 평탄화 기계의 폴리싱 스테이션
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US20050160616A1 (en) * 2002-07-13 2005-07-28 Krauss-Maffei Kunststofftechnik Gmbh Multi-format spindle transport device for transporting and cooling flat substrates
US7040957B2 (en) * 2002-08-14 2006-05-09 Novellus Systems Inc. Platen and manifold for polishing workpieces
US7101253B2 (en) * 2002-08-27 2006-09-05 Applied Materials Inc. Load cup for chemical mechanical polishing
US7112270B2 (en) * 2002-09-16 2006-09-26 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20050061674A1 (en) * 2002-09-16 2005-03-24 Yan Wang Endpoint compensation in electroprocessing
JP2004106084A (ja) * 2002-09-17 2004-04-08 Ebara Corp ポリッシング装置及び基板処理装置
CN100400236C (zh) * 2002-09-27 2008-07-09 小松电子金属股份有限公司 一种研磨装置和晶片制造方法
DE10245548A1 (de) * 2002-09-30 2004-04-15 Infineon Technologies Ag Vorrichtung und Verfahren zum Steuern von Polierprozessen bei der Halbleiterherstellung
KR101544699B1 (ko) * 2002-10-11 2015-08-18 무라다기카이가부시끼가이샤 오버헤드 호이스트를 탑재한 오버헤드 호이스트 수송 차량
US20070092359A1 (en) * 2002-10-11 2007-04-26 Brooks Automation, Inc. Access to one or more levels of material storage shelves by an overhead hoist transport vehicle from a single track position
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US6729947B1 (en) * 2002-11-04 2004-05-04 Texas Instruments Incorporated Semiconductor wafer handler
US7089081B2 (en) * 2003-01-31 2006-08-08 3M Innovative Properties Company Modeling an abrasive process to achieve controlled material removal
US6934595B1 (en) * 2003-02-26 2005-08-23 National Semiconductor Corp. Method and system for reducing semiconductor wafer breakage
US7842169B2 (en) * 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US6905399B2 (en) * 2003-04-10 2005-06-14 Applied Materials, Inc. Conditioning mechanism for chemical mechanical polishing
US20060180486A1 (en) * 2003-04-21 2006-08-17 Bennett David W Modular panel and storage system for flat items such as media discs and holders therefor
US6939210B2 (en) * 2003-05-02 2005-09-06 Applied Materials, Inc. Slurry delivery arm
US6783437B1 (en) 2003-05-08 2004-08-31 Texas Instruments Incorporated Edge-sealed pad for CMP process
US6890597B2 (en) * 2003-05-09 2005-05-10 Applied Materials, Inc. HDP-CVD uniformity control
US20040250859A1 (en) * 2003-06-12 2004-12-16 Poulin James M. Method for protecting a pneumatic control system from ingested contamination
US6769972B1 (en) * 2003-06-13 2004-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. CMP polishing unit with gear-driven conditioning disk drive transmission
US6830504B1 (en) 2003-07-25 2004-12-14 Taiwan Semiconductor Manufacturing Company Barrier-slurry-free copper CMP process
US7074109B1 (en) 2003-08-18 2006-07-11 Applied Materials Chemical mechanical polishing control system and method
US7025658B2 (en) * 2003-08-18 2006-04-11 Applied Materials, Inc. Platen and head rotation rates for monitoring chemical mechanical polishing
US8066552B2 (en) * 2003-10-03 2011-11-29 Applied Materials, Inc. Multi-layer polishing pad for low-pressure polishing
US20050173259A1 (en) * 2004-02-06 2005-08-11 Applied Materials, Inc. Endpoint system for electro-chemical mechanical polishing
US11260500B2 (en) * 2003-11-13 2022-03-01 Applied Materials, Inc. Retaining ring with shaped surface
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
EP1694464B1 (en) 2003-11-13 2010-05-26 Applied Materials, Inc. Retaining ring with shaped surface
US7044832B2 (en) * 2003-11-17 2006-05-16 Applied Materials Load cup for chemical mechanical polishing
US7101138B2 (en) * 2003-12-03 2006-09-05 Brooks Automation, Inc. Extractor/buffer
US7186164B2 (en) * 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
KR100871548B1 (ko) 2003-12-30 2008-12-01 동부일렉트로닉스 주식회사 화학기계적 연마장비의 헤드아암 밸런스 측정용 지그장치 및 측정 방법
KR100857998B1 (ko) * 2003-12-31 2008-09-10 동부일렉트로닉스 주식회사 씨엠피용 헤드
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7418978B2 (en) * 2004-01-30 2008-09-02 Applied Materials, Inc. Methods and apparatus for providing fluid to a semiconductor device processing apparatus
US20050181711A1 (en) * 2004-02-12 2005-08-18 Alexander Starikov Substrate confinement apparatus and method
US7255771B2 (en) * 2004-03-26 2007-08-14 Applied Materials, Inc. Multiple zone carrier head with flexible membrane
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7276743B2 (en) * 2004-05-13 2007-10-02 Applied Materials, Inc. Retaining ring with conductive portion
US7608173B2 (en) * 2004-12-02 2009-10-27 Applied Materials, Inc. Biased retaining ring
US7195535B1 (en) * 2004-07-22 2007-03-27 Applied Materials, Inc. Metrology for chemical mechanical polishing
US20060025049A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Spray slurry delivery system for polish performance improvement and cost reduction
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
ITMI20041788A1 (it) * 2004-09-20 2004-12-20 St Microelectronics Srl "macchina rotativa a piu' stazioni per la levigatura di wafer di componenti elettronici a semiconduttore"
KR20070055567A (ko) * 2004-09-27 2007-05-30 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 화학적 기계적 평탄화 장치 및 방법, 컴퓨터 프로그램제품, 장치 제조 방법 및 컴퓨터 시스템
WO2006039436A2 (en) * 2004-10-01 2006-04-13 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7520968B2 (en) * 2004-10-05 2009-04-21 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
EP2797109B1 (en) * 2004-11-01 2018-02-28 Ebara Corporation Polishing apparatus
US20060113281A1 (en) * 2004-11-26 2006-06-01 Kuo-Lung Sung Method of precise wafer etching
US20070224925A1 (en) * 2006-03-21 2007-09-27 Rajeev Bajaj Chemical Mechanical Polishing Pad
US7134948B2 (en) * 2005-01-15 2006-11-14 Applied Materials, Inc. Magnetically secured retaining ring
US7655565B2 (en) * 2005-01-26 2010-02-02 Applied Materials, Inc. Electroprocessing profile control
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
WO2006081589A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Tungsten electroprocessing
US7427340B2 (en) * 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7186171B2 (en) * 2005-04-22 2007-03-06 Applied Materials, Inc. Composite retaining ring
US7740247B2 (en) * 2005-05-06 2010-06-22 Advanced Ion Beam Technology, Inc. Compound sliding seal unit suitable for atmosphere to vacuum applications
US20070032176A1 (en) * 2005-08-04 2007-02-08 Chih-Ming Hsu Method for polishing diamond wafers
US7210980B2 (en) 2005-08-26 2007-05-01 Applied Materials, Inc. Sealed polishing pad, system and methods
US7520795B2 (en) * 2005-08-30 2009-04-21 Applied Materials, Inc. Grooved retaining ring
US7223157B2 (en) * 2005-08-30 2007-05-29 United Microelectronics Corp. Chemical-mechanical polishing apparatus and method of conditioning polishing pad
US20070062910A1 (en) * 2005-09-22 2007-03-22 Ming-Hsin Yeh Complex CMP process and fabricating methods of STI structure and interconnect structure
US7198548B1 (en) 2005-09-30 2007-04-03 Applied Materials, Inc. Polishing apparatus and method with direct load platen
WO2007094869A2 (en) * 2005-10-31 2007-08-23 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
US20070096315A1 (en) * 2005-11-01 2007-05-03 Applied Materials, Inc. Ball contact cover for copper loss reduction and spike reduction
US7297047B2 (en) * 2005-12-01 2007-11-20 Applied Materials, Inc. Bubble suppressing flow controller with ultrasonic flow meter
JP5318324B2 (ja) * 2005-12-06 2013-10-16 東京応化工業株式会社 サポートプレートの貼り合わせ方法
US20070131562A1 (en) * 2005-12-08 2007-06-14 Applied Materials, Inc. Method and apparatus for planarizing a substrate with low fluid consumption
US8454413B2 (en) * 2005-12-29 2013-06-04 Applied Materials, Inc. Multi-chamber carrier head with a textured membrane
US20070153453A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Fully conductive pad for electrochemical mechanical processing
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070181442A1 (en) * 2006-02-03 2007-08-09 Applied Materials, Inc. Method and apparatus for foam removal in an electrochemical mechanical substrate polishing process
US20070212983A1 (en) * 2006-03-13 2007-09-13 Applied Materials, Inc. Apparatus and methods for conditioning a polishing pad
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
JP4814677B2 (ja) 2006-03-31 2011-11-16 株式会社荏原製作所 基板保持装置および研磨装置
US20070235344A1 (en) * 2006-04-06 2007-10-11 Applied Materials, Inc. Process for high copper removal rate with good planarization and surface finish
US20070251832A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Method and apparatus for electrochemical mechanical polishing of cu with higher liner velocity for better surface finish and higher removal rate during clearance
US20090186560A1 (en) * 2006-05-02 2009-07-23 Nxp B.V. Wafer de-chucking
US7166016B1 (en) * 2006-05-18 2007-01-23 Applied Materials, Inc. Six headed carousel
US7422982B2 (en) * 2006-07-07 2008-09-09 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US20080038993A1 (en) * 2006-08-08 2008-02-14 Jeong In-Kwon Apparatus and method for polishing semiconductor wafers
US7789736B2 (en) * 2006-10-13 2010-09-07 Applied Materials, Inc. Stepped retaining ring
US7699688B2 (en) 2006-11-22 2010-04-20 Applied Materials, Inc. Carrier ring for carrier head
US7575504B2 (en) 2006-11-22 2009-08-18 Applied Materials, Inc. Retaining ring, flexible membrane for applying load to a retaining ring, and retaining ring assembly
US7727055B2 (en) 2006-11-22 2010-06-01 Applied Materials, Inc. Flexible membrane for carrier head
US7977241B2 (en) * 2006-12-20 2011-07-12 Freescale Semiconductor, Inc. Method for fabricating highly reliable interconnects
US7651384B2 (en) * 2007-01-09 2010-01-26 Applied Materials, Inc. Method and system for point of use recycling of ECMP fluids
US8012000B2 (en) * 2007-04-02 2011-09-06 Applied Materials, Inc. Extended pad life for ECMP and barrier removal
CN101663739B (zh) * 2007-04-20 2011-10-26 株式会社荏原制作所 研磨装置
JP2008277635A (ja) * 2007-05-01 2008-11-13 Tokyo Seimitsu Co Ltd ウェハ研磨装置、ウェハ研磨システム及びウェハ研磨方法
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
US8389099B1 (en) 2007-06-01 2013-03-05 Rubicon Technology, Inc. Asymmetrical wafer configurations and method for creating the same
US8348720B1 (en) 2007-06-19 2013-01-08 Rubicon Technology, Inc. Ultra-flat, high throughput wafer lapping process
US8033895B2 (en) 2007-07-19 2011-10-11 Applied Materials, Inc. Retaining ring with shaped profile
US8137162B2 (en) * 2007-07-25 2012-03-20 Edmond Arzuman Abrahamians Semiconductor wafer polishing machine
KR101358645B1 (ko) 2007-09-04 2014-02-05 삼성전자주식회사 웨이퍼 연마 캐리어 장치 및 그가 채용되는 화학적 기계적연마 설비
CN101459044B (zh) * 2007-12-13 2010-06-09 中芯国际集成电路制造(上海)有限公司 化学机械抛光中凹陷现象检测单元、制作方法及检测方法
KR101617716B1 (ko) * 2008-03-25 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 개량된 캐리어 헤드 멤브레인
WO2009126823A2 (en) * 2008-04-09 2009-10-15 Applied Materials, Inc. A polishing system having a track
CN101990703B (zh) * 2008-04-25 2012-11-21 应用材料公司 高产量化学机械抛光系统
KR20090118751A (ko) * 2008-05-14 2009-11-18 삼성전자주식회사 화학적 기계적 연마 방법 및 장치
KR101958874B1 (ko) 2008-06-04 2019-03-15 가부시키가이샤 에바라 세이사꾸쇼 기판처리장치, 기판처리방법, 기판 파지기구, 및 기판 파지방법
US20100041316A1 (en) * 2008-08-14 2010-02-18 Yulin Wang Method for an improved chemical mechanical polishing system
US8475231B2 (en) 2008-12-12 2013-07-02 Applied Materials, Inc. Carrier head membrane
US10160093B2 (en) 2008-12-12 2018-12-25 Applied Materials, Inc. Carrier head membrane roughness to control polishing rate
TW201044487A (en) * 2009-03-30 2010-12-16 Automation Tooling Syst Systems and methods for handling wafers
CN101850647B (zh) * 2009-03-31 2014-12-10 海德堡印刷机械股份公司 用于传递不同介质的旋转传递装置
DE102009025243B4 (de) * 2009-06-17 2011-11-17 Siltronic Ag Verfahren zur Herstellung und Verfahren zur Bearbeitung einer Halbleiterscheibe aus Silicium
JP2011110637A (ja) * 2009-11-25 2011-06-09 Asahi Glass Co Ltd 磁気ディスク用ガラス基板の製造方法
US9017140B2 (en) 2010-01-13 2015-04-28 Nexplanar Corporation CMP pad with local area transparency
US20110189856A1 (en) * 2010-01-29 2011-08-04 Kun Xu High Sensitivity Real Time Profile Control Eddy Current Monitoring System
TW201201957A (en) * 2010-01-29 2012-01-16 Applied Materials Inc High sensitivity real time profile control eddy current monitoring system
US8393940B2 (en) 2010-04-16 2013-03-12 Applied Materials, Inc. Molding windows in thin pads
JP5460537B2 (ja) * 2010-06-17 2014-04-02 東京エレクトロン株式会社 基板裏面研磨装置、基板裏面研磨システム及び基板裏面研磨方法並びに基板裏面研磨プログラムを記録した記録媒体
CN101972988B (zh) * 2010-06-28 2012-05-16 清华大学 一种抛光垫修整头
CN102310358A (zh) * 2010-07-08 2012-01-11 中芯国际集成电路制造(上海)有限公司 化学机械研磨设备及使用该化学机械研磨设备的研磨方法
US20120021671A1 (en) * 2010-07-26 2012-01-26 Applied Materials, Inc. Real-time monitoring of retaining ring thickness and lifetime
EP3406402B1 (en) 2010-08-06 2021-06-30 Applied Materials, Inc. Substrate edge tuning with retaining ring
JP5511600B2 (ja) * 2010-09-09 2014-06-04 株式会社荏原製作所 研磨装置
KR101198902B1 (ko) 2010-10-04 2012-11-07 김오수 스핀들 유닛 및 이를 이용한 연마장치와 연마방법
JP5291746B2 (ja) * 2011-03-22 2013-09-18 株式会社荏原製作所 研磨装置
US9023667B2 (en) 2011-04-27 2015-05-05 Applied Materials, Inc. High sensitivity eddy current monitoring system
CN102779584B (zh) * 2011-05-13 2014-05-07 扬州曙光电缆有限公司 一种电缆石墨涂敷机
JP5691843B2 (ja) * 2011-05-27 2015-04-01 富士通セミコンダクター株式会社 半導体装置の製造方法および化学機械研磨装置
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8613474B2 (en) 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US9190312B2 (en) * 2011-07-27 2015-11-17 Advanced Ion Beam Technology, Inc. Extremely low temperature rotary union
JP5837367B2 (ja) * 2011-09-01 2015-12-24 株式会社ディスコ 研削装置
WO2013039608A2 (en) * 2011-09-12 2013-03-21 Applied Materials, Inc. Carrier head with composite plastic portions
US10035237B2 (en) * 2011-11-02 2018-07-31 The Boeing Company Robotic end effector including multiple abrasion tools
US20130115862A1 (en) * 2011-11-09 2013-05-09 Applied Materials, Inc. Chemical mechanical polishing platform architecture
JP5908266B2 (ja) * 2011-11-30 2016-04-26 株式会社Screenホールディングス 陽極化成装置及びそれを備えた陽極化成システム並びに半導体ウエハ
WO2013112764A1 (en) * 2012-01-25 2013-08-01 Applied Materials, Inc. Retaining ring monitoring and control of pressure
WO2013134075A1 (en) 2012-03-08 2013-09-12 Applied Materials, Inc. Detecting membrane breakage in a carrier head
CN103302563B (zh) * 2012-03-14 2015-11-25 富泰华工业(深圳)有限公司 打磨装置及使用该打磨装置的机械手
CN102601718B (zh) * 2012-03-31 2016-07-06 上海华虹宏力半导体制造有限公司 化学机械研磨控制方法及装置、化学机械研磨方法及设备
US9067299B2 (en) 2012-04-25 2015-06-30 Applied Materials, Inc. Printed chemical mechanical polishing pad
US8968055B2 (en) * 2012-04-28 2015-03-03 Applied Materials, Inc. Methods and apparatus for pre-chemical mechanical planarization buffing module
CN102658519B (zh) * 2012-05-09 2016-08-31 上海华虹宏力半导体制造有限公司 化学机械研磨机台
KR102236929B1 (ko) 2012-06-05 2021-04-06 어플라이드 머티어리얼스, 인코포레이티드 인터로크 피쳐들을 갖는 2-파트 리테이닝 링
CN103586772B (zh) * 2012-08-16 2016-01-06 鸿富锦精密工业(深圳)有限公司 压力检测装置
US8998676B2 (en) 2012-10-26 2015-04-07 Applied Materials, Inc. Retaining ring with selected stiffness and thickness
US9233452B2 (en) 2012-10-29 2016-01-12 Wayne O. Duescher Vacuum-grooved membrane abrasive polishing wafer workholder
US9199354B2 (en) 2012-10-29 2015-12-01 Wayne O. Duescher Flexible diaphragm post-type floating and rigid abrading workholder
US9011207B2 (en) 2012-10-29 2015-04-21 Wayne O. Duescher Flexible diaphragm combination floating and rigid abrading workholder
US9039488B2 (en) 2012-10-29 2015-05-26 Wayne O. Duescher Pin driven flexible chamber abrading workholder
US8998678B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Spider arm driven flexible chamber abrading workholder
US9604339B2 (en) 2012-10-29 2017-03-28 Wayne O. Duescher Vacuum-grooved membrane wafer polishing workholder
US8845394B2 (en) 2012-10-29 2014-09-30 Wayne O. Duescher Bellows driven air floatation abrading workholder
US8998677B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Bellows driven floatation-type abrading workholder
WO2014078151A1 (en) 2012-11-16 2014-05-22 Applied Materials, Inc. Recording measurements by sensors for a carrier head
US20140141696A1 (en) 2012-11-21 2014-05-22 Applied Materials, Inc. Polishing System with In-Sequence Sensor
US10532441B2 (en) 2012-11-30 2020-01-14 Applied Materials, Inc. Three-zone carrier head and flexible membrane
US9592585B2 (en) * 2012-12-28 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for CMP station cleanliness
US10226853B2 (en) * 2013-01-18 2019-03-12 Applied Materials, Inc. Methods and apparatus for conditioning of chemical mechanical polishing pads
CN103042470A (zh) * 2013-01-23 2013-04-17 厦门大学 一种抛光液添加装置
US9095952B2 (en) 2013-01-23 2015-08-04 Applied Materials, Inc. Reflectivity measurements during polishing using a camera
JP6209088B2 (ja) * 2013-01-25 2017-10-04 株式会社荏原製作所 研磨方法および装置
CN104956467B (zh) 2013-01-31 2018-02-16 应用材料公司 用于化学机械平坦化后的基板清洗的方法及设备
US10513006B2 (en) * 2013-02-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. High throughput CMP platform
WO2014163735A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Reinforcement ring for carrier head
US9186774B2 (en) 2013-03-14 2015-11-17 Applied Materials, Inc. X-ray metrology for control of polishing
US8961266B2 (en) 2013-03-15 2015-02-24 Applied Materials, Inc. Polishing pad with secondary window seal
US20150017889A1 (en) * 2013-07-12 2015-01-15 Ebara Corporation Polishing apparatus
TWI662610B (zh) * 2013-10-25 2019-06-11 美商應用材料股份有限公司 用於化學機械平坦化後之基板拋光預清洗的系統、方法及裝置
US9421666B2 (en) 2013-11-04 2016-08-23 Applied Materials, Inc. Printed chemical mechanical polishing pad having abrasives therein
DE102013225029A1 (de) * 2013-12-05 2015-06-11 Siemens Aktiengesellschaft Verbinder zum Verbinden eines ersten Formelements mit einem zweiten Formelement
US9993907B2 (en) 2013-12-20 2018-06-12 Applied Materials, Inc. Printed chemical mechanical polishing pad having printed window
US9368371B2 (en) 2014-04-22 2016-06-14 Applied Materials, Inc. Retaining ring having inner surfaces with facets
US9434045B2 (en) * 2014-05-05 2016-09-06 Macronix International Co., Ltd. Planarization device and planarization method using the same
CN105081959A (zh) * 2014-05-19 2015-11-25 旺宏电子股份有限公司 平坦化装置及应用其的平坦化方法
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10399201B2 (en) 2014-10-17 2019-09-03 Applied Materials, Inc. Advanced polishing pads having compositional gradients by use of an additive manufacturing process
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
KR102630261B1 (ko) 2014-10-17 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US10875145B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10821573B2 (en) 2014-10-17 2020-11-03 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
CN104532244B (zh) * 2014-12-06 2017-03-15 陈曦蒙 一种电动自行车辐条增亮处理装置
KR20160070946A (ko) 2014-12-11 2016-06-21 주식회사 케이씨텍 센서용 위치 조정유닛 및 이를 구비한 화학적 기계적 연마장치
CN106272037A (zh) * 2015-05-18 2017-01-04 盛美半导体设备(上海)有限公司 化学机械研磨装置及方法
US10500695B2 (en) 2015-05-29 2019-12-10 Applied Materials, Inc. Retaining ring having inner surfaces with features
KR101624837B1 (ko) 2015-06-29 2016-05-26 삼성전자주식회사 이동식 스핀들을 구비한 화학 기계적 연마 시스템
CN105150060B (zh) * 2015-08-27 2017-10-10 哈尔滨商业大学 一种用于大型工件的机器人自动打磨装置
JP6940495B2 (ja) 2015-10-30 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 所望のゼータ電位を有する研磨用物品を形成するための装置及び方法
US10565701B2 (en) 2015-11-16 2020-02-18 Applied Materials, Inc. Color imaging for CMP monitoring
US11557048B2 (en) 2015-11-16 2023-01-17 Applied Materials, Inc. Thickness measurement of substrate using color metrology
JP6721967B2 (ja) * 2015-11-17 2020-07-15 株式会社荏原製作所 バフ処理装置および基板処理装置
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
CN108701610B (zh) 2016-03-09 2023-06-02 应用材料公司 增材制造中制造形状的校正
WO2017165046A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Polishing system with local area rate control and oscillation mode
JP6792363B2 (ja) * 2016-07-22 2020-11-25 株式会社ディスコ 研削装置
CN113997194B (zh) 2016-07-25 2024-04-05 应用材料公司 用于化学机械抛光的保持环
TWI743176B (zh) 2016-08-26 2021-10-21 美商應用材料股份有限公司 獲得代表在基板上的層的厚度的測量的方法,及量測系統和電腦程式產品
US20180079153A1 (en) 2016-09-20 2018-03-22 Applied Materials, Inc. Control of dispensing operations for additive manufacturing of a polishing pad
US11397139B2 (en) * 2017-02-27 2022-07-26 Leco Corporation Metallographic grinder and components thereof
US10596763B2 (en) 2017-04-21 2020-03-24 Applied Materials, Inc. Additive manufacturing with array of energy sources
US10967482B2 (en) 2017-05-25 2021-04-06 Applied Materials, Inc. Fabrication of polishing pad by additive manufacturing onto mold
US11084143B2 (en) 2017-05-25 2021-08-10 Applied Materials, Inc. Correction of fabricated shapes in additive manufacturing using modified edge
US10926378B2 (en) 2017-07-08 2021-02-23 Wayne O. Duescher Abrasive coated disk islands using magnetic font sheet
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11072050B2 (en) 2017-08-04 2021-07-27 Applied Materials, Inc. Polishing pad with window and manufacturing methods thereof
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
US11776822B2 (en) * 2018-05-29 2023-10-03 Applied Materials, Inc. Wet cleaning of electrostatic chuck
JP7287987B2 (ja) 2018-06-27 2023-06-06 アプライド マテリアルズ インコーポレイテッド 化学機械研磨の温度制御
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US11389925B2 (en) 2018-11-21 2022-07-19 Applied Materials, Inc. Offset head-spindle for chemical mechanical polishing
CN109333286A (zh) * 2018-11-30 2019-02-15 深圳市羽力科技有限公司 全方位多轴抛光机
JP2022518017A (ja) 2019-01-18 2022-03-11 アプライド マテリアルズ インコーポレイテッド ウエハ処理ツール及びその方法
US11100628B2 (en) 2019-02-07 2021-08-24 Applied Materials, Inc. Thickness measurement of substrate using color metrology
CN110026889B (zh) * 2019-04-28 2021-04-20 上海新昇半导体科技有限公司 固定连接部件、研磨头组件及抛光设备
US11633833B2 (en) 2019-05-29 2023-04-25 Applied Materials, Inc. Use of steam for pre-heating of CMP components
TW202110575A (zh) 2019-05-29 2021-03-16 美商應用材料股份有限公司 用於化學機械研磨系統的蒸氣處置站
US11628478B2 (en) 2019-05-29 2023-04-18 Applied Materials, Inc. Steam cleaning of CMP components
JP7267847B2 (ja) * 2019-06-12 2023-05-02 株式会社荏原製作所 研磨ヘッド、当該研磨ヘッドを備える研磨装置、および当該研磨装置を用いた研磨方法
US11691241B1 (en) * 2019-08-05 2023-07-04 Keltech Engineering, Inc. Abrasive lapping head with floating and rigid workpiece carrier
US11897079B2 (en) 2019-08-13 2024-02-13 Applied Materials, Inc. Low-temperature metal CMP for minimizing dishing and corrosion, and improving pad asperity
US11813712B2 (en) 2019-12-20 2023-11-14 Applied Materials, Inc. Polishing pads having selectively arranged porosity
KR102123938B1 (ko) * 2019-12-31 2020-06-23 김병호 연마대상부재의 스크래치 방지가 가능한 양면연마장치
US11890718B2 (en) * 2020-01-17 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Removable tray assembly for CMP systems
JP7433449B2 (ja) * 2020-01-22 2024-02-19 アプライド マテリアルズ インコーポレイテッド Oled層の厚さ及びドーパント濃度のインライン監視
CN115088092A (zh) 2020-01-22 2022-09-20 应用材料公司 Oled层厚度和掺杂剂浓度的产线内监测
US11612978B2 (en) 2020-06-09 2023-03-28 Applied Materials, Inc. Additive manufacturing of polishing pads
US11638979B2 (en) 2020-06-09 2023-05-02 Applied Materials, Inc. Additive manufacturing of polishing pads
US11806829B2 (en) 2020-06-19 2023-11-07 Applied Materials, Inc. Advanced polishing pads and related polishing pad manufacturing methods
US11545371B2 (en) 2020-06-23 2023-01-03 Applied Materials, Inc. Platen shield cleaning system
US11370083B2 (en) 2020-06-26 2022-06-28 Applied Materials, Inc. Pad conditioner cleaning system
EP4171873A1 (en) 2020-06-29 2023-05-03 Applied Materials, Inc. Temperature and slurry flow rate control in cmp
WO2022006008A1 (en) 2020-06-29 2022-01-06 Applied Materials, Inc. Control of steam generation for chemical mechanical polishing
US11919123B2 (en) 2020-06-30 2024-03-05 Applied Materials, Inc. Apparatus and method for CMP temperature control
US11577358B2 (en) 2020-06-30 2023-02-14 Applied Materials, Inc. Gas entrainment during jetting of fluid for temperature control in chemical mechanical polishing
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems
CN116194250A (zh) * 2020-10-21 2023-05-30 应用材料公司 依序施加清洁流体用于化学机械抛光系统的强化的维护
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
KR20230153413A (ko) * 2021-02-26 2023-11-06 액서스 테크놀로지, 엘엘씨 기판 연마 요소들을 위한 격납 및 배출 시스템
CN113579877B (zh) * 2021-06-25 2022-10-14 北京精密机电控制设备研究所 一种小直径法兰零件行星孔磨削工装及方法
CN114700871B (zh) * 2022-03-11 2023-11-24 上海致领半导体科技发展有限公司 一种第三代半导体化学机械抛光装置
WO2023215109A1 (en) * 2022-05-02 2023-11-09 Lam Research Corporation Processing chamber purge plate with shroud, and pedestal shield system
CN115008342B (zh) * 2022-06-15 2023-08-25 安徽禾臣新材料有限公司 一种晶片抛光用防崩角的无蜡垫及其生产工艺
CN117583975B (zh) * 2024-01-18 2024-03-19 山西日盛达太阳能科技股份有限公司 一种光伏玻璃抛光装置

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1582481A (en) * 1923-12-17 1926-04-27 Heald Machine Co Grinding machine
US2998680A (en) * 1958-07-21 1961-09-05 Morton S Lipkins Lapping machines
US3518798A (en) * 1967-08-10 1970-07-07 Speedfam Corp Polishing machine
US3659386A (en) * 1968-03-22 1972-05-02 Ibm A method for providing a finished surface on workpieces
US3665648A (en) * 1969-12-18 1972-05-30 Yugen Kaisha Yamanaka Seisakus Grinding apparatus
DE2020409C3 (de) * 1970-04-27 1974-01-17 Scan-Dia Hans P. Tempelmann, 5800 Hagen Vorrichtung zum Schleifen und Polieren, von metallographischen und mineralogischen Proben
US3680265A (en) * 1970-12-10 1972-08-01 Corning Glass Works Lapping machine
US3731435A (en) * 1971-02-09 1973-05-08 Speedfam Corp Polishing machine load plate
US3913271A (en) * 1974-02-04 1975-10-21 Speedfam Corp Apparatus for machining work pieces
US3970471A (en) * 1975-04-23 1976-07-20 Western Electric Co., Inc. Methods and apparatus for treating wafer-like articles
US4020600A (en) * 1976-08-13 1977-05-03 Spitfire Tool & Machine Co., Inc. Polishing fixture
US4141180A (en) 1977-09-21 1979-02-27 Kayex Corporation Polishing apparatus
JPS56152562A (en) * 1980-04-24 1981-11-26 Fujitsu Ltd Grinder
FR2523892A1 (fr) * 1982-03-26 1983-09-30 Procedes Equip Sciences Ind Perfectionnements aux machines de polissage a plateau tournant
JPS58171255A (ja) * 1982-03-29 1983-10-07 Toshiba Corp 両面鏡面研摩装置
JPS60109859U (ja) * 1983-12-28 1985-07-25 株式会社 デイスコ 半導体ウエ−ハ表面研削装置
JPS60155358A (ja) * 1984-01-23 1985-08-15 Disco Abrasive Sys Ltd 半導体ウエ−ハの表面を研削する方法及び装置
JPS61152357A (ja) * 1984-12-24 1986-07-11 Hitachi Ltd 加工装置
US4665781A (en) * 1985-02-25 1987-05-19 Hofer Industries Inc. Multi-spindle chucking machine
JPS6299065A (ja) * 1985-10-22 1987-05-08 Matsushita Electric Ind Co Ltd 球面研削装置
US4653231A (en) * 1985-11-01 1987-03-31 Motorola, Inc. Polishing system with underwater Bernoulli pickup
JPS63256356A (ja) * 1987-04-15 1988-10-24 Hitachi Ltd 研摩方法および装置
DE3737904A1 (de) * 1987-11-07 1989-05-18 Prettl Laminar Flow & Prozesst Verfahren und vorrichtung zum nassbehandeln von gegenstaenden, insbesondere von wafern, unter reinraumbedingungen
JPH01153266A (ja) * 1987-12-08 1989-06-15 Shinetsu Eng Kk 半導体ウエーハの研磨装置
US4944119A (en) * 1988-06-20 1990-07-31 Westech Systems, Inc. Apparatus for transporting wafer to and from polishing head
JPH079896B2 (ja) * 1988-10-06 1995-02-01 信越半導体株式会社 研磨装置
US5081051A (en) * 1990-09-12 1992-01-14 Intel Corporation Method for conditioning the surface of a polishing pad
US5317778A (en) * 1991-07-31 1994-06-07 Shin-Etsu Handotai Co., Ltd. Automatic cleaning apparatus for wafers
US5224304A (en) * 1991-11-07 1993-07-06 Speedfam Corporation Automated free abrasive machine for one side piece part machining
US5205082A (en) 1991-12-20 1993-04-27 Cybeq Systems, Inc. Wafer polisher head having floating retainer ring
US5498199A (en) * 1992-06-15 1996-03-12 Speedfam Corporation Wafer polishing method and apparatus
US5329732A (en) * 1992-06-15 1994-07-19 Speedfam Corporation Wafer polishing method and apparatus
JP2598661Y2 (ja) * 1992-07-16 1999-08-16 信越半導体株式会社 回転割出式ウエーハ面取部研磨装置
US5232875A (en) * 1992-10-15 1993-08-03 Micron Technology, Inc. Method and apparatus for improving planarity of chemical-mechanical planarization operations
JPH06270052A (ja) * 1993-03-23 1994-09-27 Sumitomo Sitix Corp 半導体ウエーハの鏡面研磨装置
JP2622069B2 (ja) * 1993-06-30 1997-06-18 三菱マテリアル株式会社 研磨布のドレッシング装置
US5443416A (en) * 1993-09-09 1995-08-22 Cybeq Systems Incorporated Rotary union for coupling fluids in a wafer polishing apparatus
US5827110A (en) * 1994-12-28 1998-10-27 Kabushiki Kaisha Toshiba Polishing facility
KR100390293B1 (ko) * 1993-09-21 2003-09-02 가부시끼가이샤 도시바 폴리싱장치
JP3326642B2 (ja) * 1993-11-09 2002-09-24 ソニー株式会社 基板の研磨後処理方法およびこれに用いる研磨装置
JPH07178663A (ja) * 1993-12-24 1995-07-18 Mitsubishi Materials Corp ウエハ研磨装置
JPH07226432A (ja) * 1994-02-09 1995-08-22 Rap Master S F T Kk 半導体ウエハの水没収納方法
US5609719A (en) * 1994-11-03 1997-03-11 Texas Instruments Incorporated Method for performing chemical mechanical polish (CMP) of a wafer
DE19544328B4 (de) * 1994-11-29 2014-03-20 Ebara Corp. Poliervorrichtung
US5655954A (en) * 1994-11-29 1997-08-12 Toshiba Kikai Kabushiki Kaisha Polishing apparatus
US5908530A (en) * 1995-05-18 1999-06-01 Obsidian, Inc. Apparatus for chemical mechanical polishing
US5816891A (en) * 1995-06-06 1998-10-06 Advanced Micro Devices, Inc. Performing chemical mechanical polishing of oxides and metals using sequential removal on multiple polish platens to increase equipment throughput

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999033612A1 (fr) * 1997-12-26 1999-07-08 Ebara Corporation Dispositif de polissage
US6338669B1 (en) 1997-12-26 2002-01-15 Ebara Corporation Polishing device
JP2001144053A (ja) * 1999-06-14 2001-05-25 Applied Materials Inc エッジ接触ロードカップ
JP2011061237A (ja) * 1999-06-18 2011-03-24 Applied Materials Inc ケミカルメカニカルポリッシャ用のウェーハ搬送ステーション
JP2001308040A (ja) * 1999-12-21 2001-11-02 Applied Materials Inc 侵食およびディッシングが低減された高スループット銅cmp
JP2001319902A (ja) * 2000-05-09 2001-11-16 Fujikoshi Mach Corp ウェーハの研磨装置
JP4642183B2 (ja) * 2000-05-09 2011-03-02 不二越機械工業株式会社 ウェーハの研磨装置
JP2003533359A (ja) * 2000-05-12 2003-11-11 マルチプレーナーテクノロジーズ インコーポレーテッド 独立のリテーナリングと多領域圧力制御とを備えた空気圧ダイアフラムヘッドおよび該空気圧ダイアフラムヘッドを用いた方法
JP2003109926A (ja) * 2001-09-26 2003-04-11 Applied Materials Inc 基板の受け渡し方法および機械化学的研磨装置
JP2005123485A (ja) * 2003-10-17 2005-05-12 Ebara Corp 研磨装置
US7258599B2 (en) 2005-09-15 2007-08-21 Fujitsu Limited Polishing machine, workpiece supporting table pad, polishing method and manufacturing method of semiconductor device
US7572172B2 (en) 2005-09-15 2009-08-11 Fujitsu Microelectronics Limited Polishing machine, workpiece supporting table pad, polishing method and manufacturing method of semiconductor device
JP2007096019A (ja) * 2005-09-29 2007-04-12 Fujitsu Ltd 研磨装置、試料台パッド及び研磨方法
US8251775B2 (en) 2008-08-29 2012-08-28 Applied Materials, Inc. Mechanism and method for detecting the motion of a shaft
JP2010130020A (ja) * 2008-11-26 2010-06-10 Semes Co Ltd 基板支持ユニットと、それを使用する基板研磨装置及び方法
US8382555B2 (en) 2008-11-26 2013-02-26 Semes Co., Ltd. Substrate supporting unit, and apparatus and method for polishing substrate using the same
JP2010114468A (ja) * 2010-02-08 2010-05-20 Ebara Corp 研磨装置及び研磨方法
JP2014086666A (ja) * 2012-10-26 2014-05-12 Ebara Corp 研磨装置及び研磨方法
US9362129B2 (en) 2012-10-26 2016-06-07 Ebara Corporation Polishing apparatus and polishing method
KR20160131242A (ko) * 2015-05-06 2016-11-16 주식회사 케이씨텍 기판 연마장치의 디척 방법
JP2021510237A (ja) * 2018-01-10 2021-04-15 ラム リサーチ コーポレーションLam Research Corporation 追加の回転軸を有する回転インデクサ
JP2022123004A (ja) * 2018-01-10 2022-08-23 ラム リサーチ コーポレーション 追加の回転軸を有する回転インデクサ
US11482436B2 (en) 2018-01-10 2022-10-25 Lam Research Corporation Rotational indexer with additional rotational axes
US11699610B2 (en) 2018-01-10 2023-07-11 Lam Research Corporation Rotational indexer with additional rotational axes

Also Published As

Publication number Publication date
US6126517A (en) 2000-10-03
US6080046A (en) 2000-06-27
JP4641540B2 (ja) 2011-03-02
US6086457A (en) 2000-07-11
EP0774323A2 (en) 1997-05-21
US5738574A (en) 1998-04-14
KR100412478B1 (ko) 2003-12-31
JP2002198329A (ja) 2002-07-12
EP0774323B1 (en) 2003-01-15
JP2008078673A (ja) 2008-04-03
EP0774323A3 (en) 1997-10-01
DE69625778D1 (de) 2003-02-20
KR970023803A (ko) 1997-05-30
KR100395153B1 (ko) 2003-11-20
ATE231046T1 (de) 2003-02-15
DE69625778T2 (de) 2003-10-16

Similar Documents

Publication Publication Date Title
JP4641540B2 (ja) 研磨装置および研磨方法
US7097544B1 (en) Chemical mechanical polishing system having multiple polishing stations and providing relative linear polishing motion
US5804507A (en) Radially oscillating carousel processing system for chemical mechanical polishing
US6682408B2 (en) Polishing apparatus
US6354922B1 (en) Polishing apparatus
JP4790695B2 (ja) ポリッシング装置
JP4127346B2 (ja) ポリッシング装置及び方法
JPWO2003071592A1 (ja) ポリッシング方法および装置
KR20020079828A (ko) 기판처리방법
KR101042316B1 (ko) 기판 처리 장치 및 방법
EP1034887A2 (en) Polishing apparatus
US5921849A (en) Method and apparatus for distributing a polishing agent onto a polishing element
KR101042323B1 (ko) 연마 유닛 및 이를 갖는 기판 연마 장치
JP2003251555A (ja) ポリッシング方法
KR101191036B1 (ko) 기판 처리 장치 및 방법
KR101086786B1 (ko) 기판 지지유닛, 및 이를 갖는 기판 연마 장치
KR20110013893A (ko) 기판 처리 장치
KR20110016703A (ko) 연마 유닛 및 이를 포함하는 기판 처리 장치 및 방법

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20020115