KR100412478B1 - 화학 기계 연마를 위한 가공 시스템 - Google Patents

화학 기계 연마를 위한 가공 시스템 Download PDF

Info

Publication number
KR100412478B1
KR100412478B1 KR1020020005152A KR20020005152A KR100412478B1 KR 100412478 B1 KR100412478 B1 KR 100412478B1 KR 1020020005152 A KR1020020005152 A KR 1020020005152A KR 20020005152 A KR20020005152 A KR 20020005152A KR 100412478 B1 KR100412478 B1 KR 100412478B1
Authority
KR
South Korea
Prior art keywords
wafer
polishing
delete delete
head
substrate
Prior art date
Application number
KR1020020005152A
Other languages
English (en)
Inventor
일야 펄로브
유진 젠트버그
헤리큐. 리
로버트디. 톨리스
놈 쉔던
새손 소매크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Application granted granted Critical
Publication of KR100412478B1 publication Critical patent/KR100412478B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • B08B1/50Cleaning by methods involving the use of tools involving cleaning of the cleaning members
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • B08B1/50Cleaning by methods involving the use of tools involving cleaning of the cleaning members
    • B08B1/52Cleaning by methods involving the use of tools involving cleaning of the cleaning members using fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B27/00Other grinding machines or devices
    • B24B27/0023Other grinding machines or devices grinding machines with a plurality of working posts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/34Accessories
    • B24B37/345Feeding, loading or unloading work specially adapted to lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B41/00Component parts such as frames, beds, carriages, headstocks
    • B24B41/005Feeding or manipulating devices specially adapted to grinding machines
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/12Dressing tools; Holders therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B57/00Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents
    • B24B57/02Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents for feeding of fluid, sprayed, pulverised, or liquefied grinding, polishing or lapping agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

반도체 웨이퍼 및 다른 작업재료를 연마하는 장치는 다수의 연마 스테이션에서 각각의 가압판 위에 장착된 연마 패드를 포함한다. 연마 스테이션의 수보다 적어도 1개 이상의 많은 다수의 웨이퍼 헤드에는 각각의 웨이퍼가 적재될 수 있다. 웨이퍼 헤드는 카루우젤에 매달리며, 카루우젤은 연마 패드에 대해 헤드를 주변부에 배치하며, 웨이퍼 헤드는 회전가능한 카루우젤에 대해 방사상으로 각각의 패드 사이에서 선형으로 스위핑되도록 카루우젤에 지지될 때 방사상으로 왕복한다. 각각의 연마 스테이션은 연마 패드를 재조정하기 위해 패드 조정기를 포함하여 스테이션이 높은 연마 속도를 유지하도록 한다. 세척 스테이션은 연마 스테이션 사이 및 연마 스테이션과 이송 및 세척 스테이션 사이에서 카루우젤이 이동할 때 배치될 수 있다. 이송 및 세척 스테이션은 연마 패드와 유사하게 배치된다. 카루우젤은 이송 및 세척 스테이션 상에 헤드중 하나를 배치하며, 나머지 헤드는 웨이퍼 연마를 위해 연마 스테이션 위에 배치되어, 웨이퍼의 적재 및 인출과 웨이퍼 헤드의 세척이 웨이퍼 연마와 동시에 수행될 수 있다. 연마 장치의 측면에 배치된 로봇은 웨이퍼로 채워진 카세트를 보유 터브로 이동시키고, 보유 터브 및 이송 및 세척 스테이션 사이에 수직으로 보유된 각각의 웨이퍼를 이동시킨다. 다수의 연마 패드는 여러 단계중 한 단계에서 웨이퍼 헤드에 보유된 웨이퍼를 순차적으로 연마하는데 이동될 수 있다. 이러한 스텝들은 동등할 수 있거나 또는 다른 다듬질 연마를 제공하거나 또는 다른 연마 레벨로 설정될 수 있다.

Description

화학 기계 연마를 위한 가공 시스템{PROCESSING SYSTEM FOR CHEMICAL MECHANICAL POLISHING}
본 발명은 연속적인 또는 일괄적인(batch) 가공을 이용하여 반도체 기판을 화학적 기계적으로 연마하는 장치 및 방법에 관한 것이다. 본 발명의 다양한 특징은 동시에 그리고 순차적으로 기판을 웨이퍼 헤드로 이송시켜 적재(load)하고 그리고/또는 세척하고 웨이퍼 헤드로부터 기판을 인출(unload)하는 한편 동시에 하나 이상의 다른 기판을 연마하도록 한다. 기판의 이송, 세척 및 연마는 완전하게 자동으로 이루어질 수 있다.
집적 회로 소자는 일반적으로 전도체, 반도체 및 절연막층의 순차적인 증착 및 에칭에 의하여 기판, 일반적으로는 반도체 기판 위에 형성된다. 증착층이 증착되고 에칭됨에 따라, 기판의 최상부 표면, 즉 기판 상의 최상부층의 노출표면은 연속적으로 더욱 형태적으로 거친 표면이 된다. 이는 최상부 막층의 높이, 즉 하부에 놓인 기판의 표면과 최상부 층의 상부 표면 사이의 간격이 최소의 에칭이 발생하는 기판 영역에서는 가장 크고, 최대의 에칭이 발생하는 영역에서는 가장 작기 때문이다.
이러한 비평면형 표면은 집적회로 제조자에게는 문제를 야기한다. 에칭 단계는 일반적으로 기판 표면의 노출 표면상에 레지스트층을 배치하고 다음에 층위에 에칭 패턴을 제공하기 위하여 레지스트층의 일부를 선택적으로 제거함으로써 준비된다. 상기 층이 비평면형이면, 기판 표면이 전체 층 표면상에 리소그래피 장치의 포커싱을 방지하도록 충분하게 비평면형일 수 있기 때문에, 레지스트층을 패터닝하는 포토리소그래피 기술은 적합하게 되지 않을 수 있다. 따라서, 기판 표면을 주기적으로 평탄화시켜 리소그래피를 위한 평면형 층 표면으로 복구시키는 것이 요구된다.
화학적 기계적 연마 또는 평탄화(CMP)는 일반적인 평탄화 방법중 하나이다. 이러한 평탄화 방법은 일반적으로 기판이 웨이퍼 헤드에 장착되고 기판 표면이 노출되어 연마될 것을 요구한다. 다음에 헤드에 의하여 지지되는 기판은 회전하는 연마 패드에 대하여 배치된다. 또한 기판을 지지하는 헤드가 회전하여, 기판과 연마 패드 표면 사이에 추가적인 운동을 제공할 수 있다. 또한, 연마 슬러리(일반적으로 기판 최상부 막층의 연마를 향상시키기 위하여 선택되는 연마재 및 적어도 1개의 화학적 반응 작용제를 포함)가 패드에 공급되어 패드와 기판 사이의 계면에 연마 화학 용액을 제공한다. 산화물층을 연마하기 위하여, 일반적으로 슬러리는 약 50nm 직경을 가진 실리카 그릿(grit)으로 구성된다. 상기 그릿은 훈증(fuming)에 의하여 형성되며 다음에 약 10.5 pH를 가진 염기 용액에 위치된다. 다음에 상기 용액은 혼합에 의하여 강하게 전단되어 그릿이 장기간 콜로이드 현탁 상태를 유지한다. 금속 연마를 위하여, 그릿은 실리카 또는 알루미나로부터 형성될 수 있다.
연마 패드 특성의 결합, 특정 슬러리 혼합 및 다른 연마 파라미터는 특정 연마 특성을 제공할 수 있다. 따라서, 연마되는 임의의 재료에 대해, 패드 및 슬러리 결합은 이론적으로 연마된 표면상에 지정된 다듬질 및 평탄성을 제공할 수 있다. 기판 및 패드 사이의 상대속도 및 패드에 대한 기판 가압력을 포함하는 부가적 연마 파라미터는 연마 속도, 다듬질 및 평탄성에 영향을 준다. 따라서, 적정 다듬질이 알려진 소정 재료에 대하여, 최적의 패드 및 슬러리 결합이 선택될 수 있다. 일반적으로, 소정 재료에 대하여 선택된 실제 연마 패드 및 슬러리 결합은 장치를 통한 웨이퍼의 산출량에 영향을 주는 연마 속도 및 기판 표면상의 적정 다듬질과 평탄성을 제공할 필요성의 관계를 근거로 한다.
연마된 층의 평탄성 및 표면 다듬질은 차후 제조 단계에서 다른 공정 조건에 의해 요구되기 때문에, 연마 속도와 관련된 산출량은 공정 선택시 종종 감소될 수 있다. 그럼에도 불구하고, 높은 산출량이 시장에서 요구되는데, 이는 연마 장치의 비용이 제조되는 웨이퍼수 이상으로 비용이 많이 들기 때문이다. 물론, 높은 산출량은 이용되는 기계의 비용 및 복잡성에 대하여 균형을 이루어야 한다. 유사하게, 연마 장치의 작동 및 유지에 요구되는 건평 및 조작자 시간은 판매 가격에 포함된 비용을 발생시킨다. 이러한 모든 이유에 대하여, 연마 장치는 높은 산출량을 갖고, 비교적 간단하고 싸며, 건평 면적이 작고, 최소 조작자 제어 및 유지를 요구할 것이 필요하다.
연마 산출량에 따른 또다른 제한은 패드의 표면 특성이 연마 사용 용도에 따라 변경되기 때문에 발생하며, 이는 또한 기판이 연마중에 압박되는 영역에서 압착되기 때문이다. 이러한 상태를 일반적으로 글레이징(grazing)이라고 하며, 이는 연마 패드의 연마 표면이 적게 연마되도록 하여 전체 시간 동안 연마 속도를 감소시킨다. 따라서 글레이징은 각각의 기판을 연마하는데 필요한 연마시간을 증가시키는 경향이 있다. 따라서, 연마 패드 표면은 주기적으로 복구되거나 또는 조정되어야, 적정 연마 상태를 유지하고 연마 장치를 통한 기판의 높은 산출량을 얻을 수 있다. 일반적으로 패드 조정은 비규칙성을 제거하고 표면을 거칠게 하기 위하여 패드의 연마 표면을 마멸(abrading)하는 것을 포함한다.
패드 조정은 평균 연마 속도를 상승시키지만, 이는 그 자체의 곤란성을 야기시킨다. 만약 패드 조정이 수동으로 수행된다면, 일관성이 결여되고 조작자 비용 및 기계의 정지 시간을 발생시켜, 산출량 감소시킨다. 만약 패드 조정이 자동화된 기계에 의하여 수행된다면, 표면 마멸이 연마 패드에 손상을 줄 수 있다. 또한, 조정 도구와 패드 사이의 상대 이동이 일차적으로 패드 회전에 의하여 제공된다면, 상대 속도 및 작동 정지 시간은 패드 반경 전체에 걸쳐 변화되어 재조정된 패드에 방사상 불균일성이 야기한다.
종래의 연마 장치 산출량의 다른 제한은 연마 표면으로부터 기판의 적재 및 인출로부터 발생한다. 질의 미국특허 제 4,141,180호에 설명된 것처럼, 선행 기술에서는 두 개의 다른 패드 또는 슬러리 조합으로 연마 속도 및 다듬질의 최적화를 허용하도록 기판을 연마하는 다수의 연마 표면을 사용하여 산출량을 증가시키려 했다. 주연마 표면 및 미세 연마 표면은 연마 스테이션에서 미리지정된 연마 장치내에 제공된다. 단일 위치결정 장치에 의하여 제어되는 단일 연마 헤드는 장치상의 상이한 연마 스테이션 사이에서 단일 기판을 이송시킨다.
산출량을 증가시키는 또다른 방법은 단일 연마 패드상에서 동시에 기판을 연마할 수 있도록 단일 연마 패드에 대하여 다수의 기판을 동시에 적재하기 위하여 다수의 기판 적재 스테이션을 가진 웨이퍼 헤드를 이용하는 것이다. 이러한 방법이 웨이퍼 헤드의 단일 기판 형태에 걸쳐 실제적으로 산출량을 증가시키지만, 몇 가지 요인, 특히 증착층이 형성된 후에 기판을 평탄화하는 캐리어 장치의 사용에 악영향을 미친다. 먼저, 연마될 웨이퍼를 지지하는 웨이퍼 헤드가 복잡하다. 패드에 대하여 각각의 기판을 적재하는 힘을 제어하기 위해, 웨이퍼를 지지하는 헤드 부분을 플로팅시키는 방법이 시도되었다. 플로팅 웨이퍼 홀더는 이동하는 부분의 실제 수만큼 필요하며 압력 라인은 회전 및 이동 구조에 포함되어야 한다. 또한, 패드에 대하여 각각의 기판을 압박하는 힘의 제어는 웨이퍼 헤드 어셈블리의 플로팅 성질에 의하여 제한되며, 따라서 각각의 제어와 다수의 기판의 일반 연마 속성의 용이한 제어중 하나를 선택하여야 한다. 마지막으로, 어떤 기판에 문제가 발생할 경우, 예를 들어 기판이 파손될 경우, 기판의 파손된 부분은 헐거워지고 동일 패드에서 연마되는 다른 모든 기판을 손상시킬 수 있다.
연마 산출량은 또한 연마 종료시 그리고 때때로 연마 스테이션 사이에서 웨이퍼가 세척되어야 한다는 요구조건에 의하여 제한된다. 세척 시간은 다수의 웨이퍼 헤드를 동시에 세척함으로써 과거에 제한되었지만, 세척이 연마에 필요한 시간 이상의 부가적 기계 시간을 요구한다면, 시스템 산출량은 나쁜 영향을 받는다.
따라서, 기판의 오염 또는 파괴의 위험을 최소화시키면서 연마 산출량, 평탄성 및 다듬질의 최적화가 가능한 연마 장치를 제공할 필요성이 있다.
높은 산출량 연마 장치에 필요한 고속 연마는 연마 장치상의 엄격한 제약 및요구조건을 필요로 한다. 기계적 힘은 커야 하지만, 연마시 발생하는 미소한 긁힘은 집적 회로에 치명적이다. 따라서, 설계는 기계적인 수차를 제어 및 최소화시켜야 한다. 기계를 정밀하게 설계하어 수명을 연장시키고 유지보수를 감소시키도록 설계해야 한다는 CMP 공정의 환경은 엄격하다. 또한, 슬러리가 웨이퍼 또는 장치의 일부분상에 건조될 때, 경화된 층을 형성하여 제거가 곤란한 경우가 있다. 일반적으로, 높은 산출량은 조작이 용이하고, 조작자 조정이 적어, 규칙적 또는 비규칙적 유지보수를 용이하게 하고, 그리고 부품의 고장 및 품질저하가 발생하지 않을 것을 요구한다.
연마 시스템이 상업화되려면, 연마 시스템은 상이한 연마 공정수에 대하여 융통성 및 적합성을 가져야 한다. 상이한 집적 회로 제조자는 전체 칩 설계에 따라 여러 가지 연마 공정을 사용한다. 평탄화될 상이한 층은 뚜렷하게 상이한 연마 공정을 요구하며, 칩 제조자는 두 개의 상이한 연마 공정에 대하여 동일한 연마 시스템을 사용하길 바란다. 각각의 연마 공정에 대하여 다른 연마 시스템을 설계하기보다는, 최소한의 기계 변경으로 상이한 공정에 적용될 수 있는 단일 설계가 요구된다.
본 발명은 평탄화된 기판에 개선된 평탄성 및 표면 다듬질을 제공하고 높은 기판 산출량을 제공하기 위한 화학 기계 연마 장치 및 상기 장치를 사용하는 방법을 제공하는 것이다.
또한, 본 발명은 다수의 연마 스테이션에서 순차적으로 수행된 연마 공정에서 많은 융통성을 제공하는 것이다.
도 1은 본 발명에 따른 장치의 사시도이다.
도 2는 도 1의 연마 장치의 분해도이며, 하부 하우징 및 메커니즘으로부터 분리된 상부 하우징 및 메커니즘을 도시한다.
도 3은 연마 속도를 시간에 따라 감소시키는 글레이징을 계략적으로 도시하는 그래프이다.
도 4는 회전 웨이퍼 및 회전 패드의 영역 위에서 연마 속도의 변화를 도시한다.
도 5A, 5B, 5C, 5D, 5E 및 5F는 웨이퍼가 본 발명에 따른 카루우젤 (carrousel) 캐리어 연마 장치에 순차적으로 적재되고 연마될 때, 웨이퍼의 점진적인 이동을 계략적으로 도시한다.
도 6A, 6B, 6C 및 6D는 도 5E 및 5F에서 도시된 이송 세척 스테이션에 대한 웨이퍼의 이동을 도시하며, 연마 카루우젤에서 기판의 실제 이동을 도시한다.
도 7은 도 2의 카루우젤의 분해도이다.
도 8은 상부 하우징이 제거된 상태에서 본 발명의 카루우젤 평면도이다.
도 9는 도 8의 라인 9-9 따라 취한 하나의 웨이퍼 헤드를 포함하는 도 8의웨이퍼 헤드 시스템의 단면도이다.
도 10도는 도 9에 도시된 샤프트 하우징 접속에 대한 웨이퍼 헤드의 확대도이다.
도 11 및 12는 제 2 형태의 웨이퍼 헤드의 단면도이다.
도 12A는 도 11 및 도 12와 관련된 제 3 형태의 웨이퍼 헤드의 단면도이다.
도 13은 본 발명의 로터리 유니온의 단면도이다.
도 14A, 14B 및 14C는 웨이퍼 헤드 어셈블리가 그의 가장 안쪽 위치에서 그의 가장 바깥쪽 위치로 방사상으로 왕복할 때 샤프트 플로워 슬롯 분무 차폐판(shaft follower slot splash shield plate)의 점진적인 이동을 도시한다.
도 15A, 15B 및 15C는 웨이퍼 헤드 어셈블리가 그의 가장 안쪽 위치에서 그의 가장 바깥쪽 위치로 방사상으로 왕복할 때, 도 14A, 14B 및 14C에 도시된 도면에 상응하여 샤프트 플로워 슬롯 분무 차폐판의 말단 단면의 점진적인 이동을 도시한다.
도 16A, 16B 및 16C는 캐리어 암의 방사상 축을 따라 취하고 도 14A, 14B 및 14C에 도시된 도면에 상응하는 분무판의 동작에 대한 점진적인 측단면의 이동을 도시한다.
도 17A, 17B 및 17C는 도 14A, 14B 및 14C에서 도시된 분무판의 점진적인 사시도를 도시한다.
도 18은 본 발명에 따른 연마 장치의 평면도로서 카루우젤 헤드 플레이트 및 웨이퍼 헤드 어셈블리가 제거된 상태이다.
도 19는 도 18의 19-19를 따른 가압판 단면도이다.
도 20은 도 19의 가압판의 저장기 부분의 확대 단면도이다.
도 21은 도 20의 저장기의 공기 펌프의 확대 단면도이다.
도 22는 가압판의 측면 및 상부에 배치된 오버헤드 슬러리 디스펜서의 단면도이다.
도 23은 도 22의 오버헤드 슬러리 디스펜서의 평면도이다.
도 24는 도 22의 오버헤드 슬러리 디스펜서의 분배 단부의 확대도이다.
도 25는 슬러리 분배 시스템의 다이어그램이다.
도 26A, 26B, 26C, 26D 및 26E는 인접한 연마 가압판 사이에 배치된 중간 세척 스테이션의 측단면도이며, 이들 5개의 유사한 도면의 시퀀스는 웨이퍼 헤드의 점진적 동작을 나타내며 부착된 웨이퍼가 중간 세척 스테이션에서 세척되는 것을 나타낸다.
도 26F는 도 26A 내지 26E의 중간 세척 스테이션중 도 26D의 26F-26F에서 취한 종방향 측면도이다.
도 26G는 도 26A 내지 26F의 중간 세척 스테이션중 도 26E의 26G-26G에서 취한 상부 평면도이다.
도 27은 중간 세척 스테이션의 제 2실시예에 대한 측단면도이다.
도 28은 도 27의 중간 세척 스테이션의 평면도이다.
도 29는 본 발명의 연마 패드 조정 장치의 측단면도를 도시한다.
도 30은 조정 헤드에 고정된 조정 디스크의 분해 사시도이다.
도 31은 도 29에 도시된 조정 헤드의 확대도이다.
도 32는 종래 기술의 조정 헤드 장치의 개략도이다.
도 33은 본 발명에 따른 조정 헤드 장치의 개략도이다.
도 34는 조정기 암 및 구동 시브를 가진 조정 지지/구동 단부 접속부의 분해도이다.
도 35는 조정기 암 지지체 및 구동 메커니즘에 대하여 부분적으로 평면도로 도시한 단면도이다.
도 36A, 36B 및 36C는 조정 장치가 그의 조정 헤드를 세척컵 외부로 상승시키고 조정 헤드를 연마 패드상의 위치로 하강시킬 때, 점진적인 단계를 도시한다.
도 37은 본 발명의 조정 헤드 세척컵의 측단면도이다.
도 38은 본 발명의 세척 스테이션의 확대도이다.
도 39A, 39B 및 39C는 연마 가압판, 웨이퍼 헤드 및 도 36A-36C에서 도시한 조정 헤드의 일반적인 상대 이동을 도시하는 연마 위치의 평면도이다.
도 40은 본 발명의 웨이퍼 이송 정렬 세척 스테이션의 투시도이다.
도 41은 도 40의 웨이퍼 이송 정렬 세척 스테이션의 평면도이다.
도 42는 도 40의 웨이퍼 이송 정렬 세척 스테이션의 부분 단면 사시도이며, 웨이퍼 헤드에 웨이퍼를 정렬시키도록 정렬 조우를 작동시키기 위하여 이용된 공기 액추에이터를 도시한다.
도 43은 도 40의 웨이퍼 이송 정렬 세척 스테이션의 부분 단면 투시도이며, 노즐 및 흡입 포트를 분무하기 위해 중심부 및 주변부 유체 통로를 도시한다.
도 44는 이송 스테이션 축받이 및 주변 세척 수반의 단면도이다.
도 44A는 도 44의 확대 단면도이며, 축받이 칼럼 및 수반 하우징 사이의 연결을 도시한다.
도 45는 도 42의 정렬 요크 접속부에 대한 정렬 조우의 확대 다면 투시도이다.
도 46은 축받이 샤프트의 하부 단부에서 스파이더(spider) 어셈블리의 투시도이다.
도 47A, 47B, 47C, 47D 및 47E는 다음의 연마를 위하여 웨이퍼 헤드의 웨이퍼 수용 리세스에 웨이퍼를 정렬 및 적재하기 위한 본 발명의 점진적인 단계의 측단면도이다.
도 48A, 48B 및 48C는, 각각의 도 47A, 47B 및 47C에 상응하는, 웨이퍼 헤드 상에 적재된 웨이퍼의 정렬을 도시하는 웨이퍼 이송 세척 스테이션의 상부 단면도이다.
도 49A, 49B 및 49C; 이들의 상대도 50A, 50B 및 50C; 및 51A, 51B 및 51C는 웨이퍼 및 웨이퍼가 초기에 부착된 웨이퍼 헤드의 하부가 모든 이용가능한 노즐에 의하여 철저하게 헹구는 단계; 및 웨이퍼가 헤드로부터 해제되고 진공에 의하여 축받이 위에 지지되는 단계에서 웨이퍼 이송 세척 스테이션 및 축받이의 체크 밸브에 대한 측단면도, 부분 단면도 및 상부 단면도와 개략도를 도시하며, 이러한 구성에서 어셈블리의 헹굼은 로벗 블레이드에 의하여 연마 장치로부터 웨이퍼를 제거하기 전에 수행된다.
도 52는 예를 들어, 도 2의 라인 52-52를 따라 취한, 연마 스테이션 상부 위치에서의 헤드 플레이트 및 본 발명의 웨이퍼 정렬 이송 세척 장치 상부 및 내부 위치에서의 하나의 웨이퍼 헤드 어셈블리를 도시한다.
도 53은 카루우젤의 하부에서 기어 록킹 어셈블리의 투시도이다.
도 54는 본 발명의 웨이퍼 적재 장치의 정면 부분 단면도이다.
도 55는 도 53에 이용되는 로벗 블레이드 및 웨이퍼 카세트 트레이 리프팅 클로오(claw)를 포함하는 "L"형상 부재의 투시도이다.
도 56은 도 55의 로벗 블레이드 하부 투시도이다.
도 57은 도 54의 웨이퍼 적재 장치의 블레이드, 클로오 및 암 하부의 후면 부분 단면도이다.
도 58은 도 54의 로벗 블레이드의 측평면도이다.
도 59 및 60은 도 58의 로벗 블레이드의 각각의 상부 및 하부 단면 평면도이다.
도 61은 도 54의 웨이퍼 적재 장치의 하강 암 및 리스트 어셈블리의 분해 투시도이다.
도 62는 도 54의 웨이퍼 적재 장치의 오버헤드 트랙의 확대 상부 투시도이다.
도 63은 도 62의 오버헤드 트랙 단부의 투시도이다.
도 64는 도 54의 오버헤드 트랙의 상부 부분 평면도이다.
도 65는 도 54의 웨이퍼 적재 장치의 단부 부분 단면도이다.
도 66은 웨이퍼 및 본 발명의 카세트 적재 장치의 단부도이며, 연마 장치에 대한 웨이퍼 배쓰 및 웨이퍼 배쓰 내의 웨이퍼 카세트의 위치를 도시한다.
도 67은 액체 배쓰에 하나 이상의 웨이퍼 카세트를 지지하는 터브(tub)의 측단면도이다.
도 69는 도 67의 터브의 지지 레일의 입면도이다.
도 70A, 70B, 70C, 70D 및 70E는 웨이퍼가 연마 장치에 적재 및 인출되는 단계 및 본 발명의 로벗 블레이드의 이동을 도시하는 투시도이다.
도 71A, 71B 및 71C는 "L"형상 부재가 웨이퍼 카세트를 올릴 때 "L"형상 부재의 카세트 록킹 리프팅 포크의 이동을 도시한다.
도 72A, 72B 및 72C는 배치 동작에서 특정 카세트가 점진적이고 연속적인 연마 및 본 발명의 장치의 이용을 제공하기 위하여 이동할 수 있는 웨이퍼 카세트의 점진적인 이동을 도시한다.
<도면의 주요부분에 대한 부호의 설명>
10 : 연마 시스템 20 : 연마 장치
30 : 웨이퍼 적재 장치 40 : 웨이퍼
42 : 카세트 50a,50b,50c : 연마 스테이션
52 : 가압판 54 : 연마 패드
60a,60b,60c : 패드 조정 장치 64 : 조정 헤드
68 : 세척 수반 70 : 이송 스테이션
90 : 카루우젤 110 : 웨이퍼 헤드
100a,100b,100c,100d:웨이퍼 헤드 시스템
본 발명의 구성에서, 다수, 예를 들어 4개의 동일한 웨이퍼 헤드가 카루우젤 지지 플레이트의 중심 지지체를 중심으로 동일하게 분포되어 장착된다. 중심에 지지된 카루우젤 프레임이 회전될 때 웨이퍼 헤드 및 기판 위치가 정해진다. 각각의 헤드는 독립적으로 회전될 수 있으며 헤드 플레이트에 형성된 슬롯에서 방사상 독립적으로 왕복할 수 있다. 웨이퍼 헤드를 지지하는 카루우젤 어셈블리가 수직으로 고정되기 때문에, 연마 패드의 표면으로부터 웨이퍼의 상승 및 하강은 웨이퍼 헤드의 웨이퍼 수용 표면 및 카루우젤 암의 수직 고정 지지체 사이에서 상대 운동을 요구한다. 한 구성에서, 웨이퍼 헤드의 웨이퍼 수용 부재와 웨이퍼 헤드의 상부 부재 사이의 상대적 운동은 요구되는 수직 운동을 제공한다.
사용시에, 웨이퍼 헤드중 일부, 예를 들어 3개가 연마 스테이션 위에 동시에 배치되고, 한편 나머지 웨이퍼 헤드는 이송 스테이션상에 배치된다. 각각의 연마 스테이션은 연마 패드를 지지하는 독립적으로 회전하는 가압판을 구비하며, 상기 가압판의 표면은 연마 매체로 작용하는 연마 슬러리에 의하여 젖어 있다.
각각의 연마 패드는 독립적으로 회전하는 조정 헤드에 의하여 조정되며, 상기 조정 헤드는 연마 패드 중심과 그 주변 사이에서 아치형 경로를 따라 왕복 운동으로 스위핑된다. 조정기 암은 패드를 조정하기 위하여 그 단부에 장착된 조정 플레이트를 패드에 대하여 압박한다. 본 발명에 따른 조정 장치는 패드가 글레이징되는 영역의 패드에는 조정 압력을 자동적으로 증가시키고, 패드가 글레이징되지않은 영역의 패드에는 조정 압력을 자동적으로 감소시킨다(조정 헤드 및 패드 사이의 마찰 계수 감지는 즉시 피드백되어 이에 따라 조정 압력이 변경되도록 한다).
사용시에, 웨이퍼 헤드중 하나는 헤드 안팎으로 웨이퍼를 적재 및 인출하는 이송 스테이션 위에 배치되며, 다른 헤드는 연마 스테이션 위에 배치되고 이들의 웨이퍼는 연마된다. 또한 이송 스테이션은 웨이퍼를 정렬시키고 웨이퍼 및 웨이퍼 헤드를 세척하는데 사용될 수 있다.
연마될 기판은 카세트와 연마 장치 사이에서 이송될 때 웨이퍼의 융통성 있는 위치 결정을 허용하는 거의 무한하게 조절가능한 로봇 이송 메커니즘에 부착된 진공-척킹 로봇 블레이드에 의해 수직 방향으로 웨이퍼를 보유하는 액체로 채워진 카세트로부터 인출된다. 연마될 웨이퍼는 이송 메커니즘에 의하여 연마 장치로 삽입되며, 상기 이송 메커니즘은 웨이퍼를 적재 및 인출하고, 이들을 정렬하고 이들을 세척하는 이송 스테이션에서 이송 축받이 위에 웨이퍼를 배치한다. 이러한 작동 중에, 이송 메커니즘의 로벗 블레이드 진공 표면은 블레이드의 하향 측면 상에서 웨이퍼의 후면을 진공 척킹한다. 축받이는 축받이 표면에 배열된 제트(jet)로 웨이퍼를 세척하기 위해 먼저 하강한다. 다음에 축받이는 웨이퍼를 지지하기 위하여 상승되며, 진공-척킹 진공은 해제되고 로벗 블레이드가 제거된다.
다음에 정렬 조우(jaw)가 웨이퍼 및 웨이퍼 헤드의 하부를 감싸도록 상승된다. 상기 조우는 수축하여 웨이퍼 헤드를 접촉하고 동시에 웨이퍼 헤드의 웨이퍼 수용 리세스 아래에서 웨이퍼에 중심이 맞춰진다. 다음에 웨이퍼 헤드의 웨이퍼 수용 리세스에 정렬된 웨이퍼는 이송 축받이에 의하여 상승되어 웨이퍼를 웨이퍼/기판 수용 리세스로 삽입하고 헤드와 접촉하여 웨이퍼를 지지한다. 선택적으로, 헤드에서 리세스 내부로의 진공 공급은 헤드에 웨이퍼를 유지하기 위하여 활성화되며 축받이는 하강된다. 연마되지 않은 웨이퍼가 적재된 상기 헤드는 카루우젤 헤드에 의하여 연마 위치로 회전될 것이다. 카루우젤의 회전은 정렬 이송 세척 스테이션 상의 위치로 다른 웨이퍼 헤드중 하나를 이동시킨다.
인출하기 위하여, 기판이 본 발명에 따른 장치에서 연마되고, 웨이퍼를 갖는 웨이퍼 헤드는 웨이퍼 정렬 이송 세척 스테이션에 복귀되고, 세척컵/수반(basin)은 웨이퍼 헤드의 하부를 감싸도록 상승된다. 세척 용액(예를 들어, 탈이온수)은 분무 노즐(이송 축받이의 양쪽 상부 및 몇 개의 주변 노즐 암에 배치됨)을 통하여 흐르며, 상기 노즐은 웨이퍼 및 하부 웨이퍼 표면상에 존재하는 슬러리 및 부스러기를 제거하기 위하여 헤드 및 웨이퍼 헤드의 하부로 향해 있어, 차후 보다 완벽한 세정을 위해 미리 오염을 감소시킨다. 세척컵은 거의 모든 분무된 용액을 끌어들여 상기 용액이 재생되거나 폐기되도록 한다. 다음에 이송 축받이는 웨이퍼와 접하여 상승된다. 선택적으로, 웨이퍼 및 축받이의 상부 표면 사이의 진공 밀봉은 축받이에서 유체 노즐에 대한 파이핑 접속이 진공 시스템에 연결되도록 변경될 때 형성되어 축받이 표면에 웨이퍼를 강하게 지지하기 위하여 노즐이 진공 포트로 작용하도록 한다. 다음에 웨이퍼는 헤드를 통하여 및/또는 헤드 내로부터 가스 압력을 인가함으로써 웨이퍼 헤드의 표면으로부터 해제된다. 웨이퍼가 강하게 부착되어 있는 축받이는 세척컵/수반으로 하강되며, 이때 주변 분무 노즐만이 다시 작동하여 웨이퍼의 후면과 웨이퍼가 부착될 때 웨이퍼에 의하여 이전에 감쳐진 웨이퍼 헤드 영역을 세척한다. 세척이 완료되면, 세척 수반은 하강되어 이송 축받이에 여전히 부착된 웨이퍼를 노출시키기 위해 하강한다. 다음 축받이가 세척 수반 밖으로 상승하고, 이송 로봇 블레이드가 웨이퍼를 회수하도록 이동된다. 진공이 블레이드를 통하여 인가되어 웨이퍼를 블레이드에 강하게 부착시킨다. 상기와 같은 부착이 강하게 형성되면, 이송 축받이의 표면에 가해진 진공은 해제되어, 웨이퍼에 부착된 로벗 블레이드만이 남는다. 다음에 이송 축받이는 하강되어 웨이퍼는 장치로부터 인출된다. 웨이퍼 헤드에 부착된 웨이퍼가 없는 동안, 웨이퍼 헤드의 하단부 부근에서 상승하는 수반 및 축받이 헤드에서의 포트 및 수반내의 측면 분무에 의해 웨이퍼 헤드 쪽으로 분무된 세척 용액에 의해 세척될 수 있다.
필요하다면, 중간 세척 스테이션이 인접 가압판 사이에 제공되어, 웨이퍼가 하나의 연마 스테이션에서 다음 스테이션으로 통과할 때 웨이퍼를 세척할 수 있다. 상기 중간 세척은 기판이 탈이온수 또는 다른 재료에 의한 연마의 슬러리 및 다른 부산물의 제거를 위해 배치된 하나의 가압판으로부터 다음 가압판으로 연마 슬러리 입자의 이동을 감소시킬 수 있다. 이러한 세척 스테이션은 가압판의 연마 라인 전후에 배치될 수 있다. 필요하다면, 세척 스테이션은 웨이퍼를 효과적으로 버퍼링하기 위한 추가 가공 스테이션으로서 간주될 수 있다. 따라서, 연마 장치는 확대되어 한세트의 웨이퍼 헤드가 세척 스테이션 상에서 각각의 웨이퍼를 회전시키는 동안, 다른 세트의 웨이퍼 헤드는 세척 스테이션 사이의 가압판 상에 장착된 패드 상의 다른 웨이퍼를 연마하는데 이용되도록 한다.
본 발명의 특징은 다수의 연마 패드를 이용하는 연마 공정을 제공하는 것이다. 따라서 본 발명의 장치는 제 1재료 제거 속도 및 기판상에서 제 1표면 다듬질과 평탄성을 제공하는 제 1연마 표면 및 기판상에서 제 1표면 다듬질 및 평탄성을 제공하는 적어도 1개의 부가적 연마 표면을 포함한다. 다수의 패드는 패드가 실제적으로 유사한 연마 특성을 갖지만 그럼에도 불구하고 웨이퍼가 상이한 패드 위에서 순차적으로 연마되는 인라인 공정에 사용될 수 있다. 상이한 연마 패드 사이의 균등한 연마 구분은 적재 및 인출 시간을 줄인다. 선택적으로, 다수의 패드는 패드가 상이한 연마 특성을 갖고 웨이퍼가 점진적으로 더 미세하게 연마되거나 또는 예를 들어, 산화물 표면 아래에 있는 금속 라인을 연마하는 동안 연마 특성이 상이한 층과 점진적으로 만나도록 하는 다단계 공정에 이용될 수 있다.
본 발명의 다른 특징은 연마 패드를 조정하는 장치에 관한 것이다. 연마 장치는 수평 및 수직으로 조정기 암을 축으로 하여 회전할 수 있는 조정 지지체를 포함한다. 상기 암으로부터 매달린 조정 헤드는 조정 면판 또는 다른 조정면 또는 기구에 부착된 패드 조정기를 포함한다. 상기 면판은 구형 표면 접속부(부분적으로 볼 또는 소켓 조인트)를 갖는 조정 헤드에 부착되어 연마 패드가 조정 패드에 대하여 이동됨에 따라 연마 패드의 표면을 따를 필요가 있을 때 이동한다. 조정 헤드는 패드가 조정 헤드 하부에서 회전할 때 그리고 조정기 암이 연마 패드의 중심에서 모서리로 조정면을 왕복시키기 위하여 가압판의 모서리에서 조정 지지체를 축으로 하여 수평으로 회전하는 동안 회전할 수 있다.
연마 표면에 대하여 패드 조정 면판 또는 다른 조정면을 가압하기 위하여, 조정 장치는 또한 바람직하게 수압 피스톤과 같은 적재 부재를 포함하며, 상기 적재 부재는 상기 암을 상승 및 하강시키기 위하여 조정 헤드로부터 조정 지지체의 맞은편 측면상에 배치되며 암과 회전가능한 지지 하우징 사이에 부착되어 이를 측방향으로 회전시킨다. 동작시, 일반적으로 피스톤은 조정 헤드 상에 일정한 하향 힘을 제공하도록 소정의 일정 압력이 가해진다.
패드 조정기가 패드 표면에 걸쳐 회전 또는 간단히 견인될 때, 패드 조정기는 연마 패드의 표면에 보유된다. 본 발명의 한 실시예에서, 조정 헤드는 조정 헤드 샤프트에 의하여 조정 헤드 시브(sheave)에 고정된다. 조정 구동 시브에 의해 구동되는 구동 벨트는 헤드 시브를 회전시킨다. 조정 구동 시브는 조정 지지 하우징과 거의 동일선상에 있는 조정 구동 샤프트에 의하여 회전한다. 헤드와 구동 단부 시브 및 구동 벨트는 상부에 위치되고, 수직적으로 축을 중심으로 회전하고 암의 길이방향 축과 평행한 조정기 암을 통과하는 중심 라인으로부터 옵셋된다. 구동 시브는 일정한 형태로 조정 구동 샤프트에 고정되어 암과 함께 이동되지 않으며, 한편 조정 헤드 시브는 암과 함께 회전한다. 따라서 구동 벨트는 암이 아랫방향으로 스윙할 때 조여지고 암이 상부방향으로 스윙할 때 느슨해진다.
연마 패드 표면이 조정 헤드 아래에서 회전할 때, 연마 패드 및 조정 헤드(실제로는 패드 조정기) 사이의 마찰 계수는 연마 패드의 표면 상태 변화에 의하여 가변한다. 연마 패드의 글레이징 영역은 글레이징되지 않은 영역 이외의 표면 사이에서 낮은 마찰 계수를 제공한다.
마찰 계수가 증가할 때(예를 들어, 글레이징 영역에서 글레이징되지 않은 영역으로 갈 때), 일정한 속도로 조정 헤드를 회전시키는데 필요한 토오크 역시 증가한다. 이러한 토오크 증가는 벨트 한쪽의 장력을 증가시킨다. 벨트 및 그의 장력이 조정기 암의 수직 회전축 중심으로부터 오프셋되기 때문에, 증가된 벨트 장력은 암을 들어올리려는 힘을 증가시켜 연마 패드상의 조정 헤드의 하중을 감소시키고 따라서 조정 효과를 감소시킨다. 이는 계면에서 마찰 계수가 감소하는 상황(예를 들어, 글레이징되지 않은 영역에서 글레이징 영역으로 갈 때)과 반대이며, 조정 헤드를 구동하는데 필요한 토오크 역시 감소한다. 일정 속도에서 조정 헤드를 회전시키는 벨트의 장력은 감소되며, 따라서 조정기 암을 들어올리려는 힘을 감소시키고 연마 패드상의 조정 헤드의 하중을 증가시켜 조정 효과를 증가시킨다. 따라서 조정력의 변화는 기계 장치의 자동 또는 고유 응답에 따라 발생한다. 특히 자기 장력은 패드 상태의 국부적 변동을 더욱 허용할 수 있는 보다 작은 크기의 조정기에 유용하다.
이러한 구조는 하중을 증가시켜 패드의 글레이징 부분에 대한 조정 헤드의 힘 및 패드의 글레이징되지 않은 부분이 조정 헤드에 의해 만날 때 하향 하중력의 자동 감소를 증가시킨다. 하중 조절은 입력 제어 없이 순간적으로 이루어진다. 따라서, 자기 장력은 종래 기술에서는 필요했던, 패드의 글레이징 영역의 적절한 조정을 위해 글레이징되지 않은 부분을 과도하게 조정할 필요성을 감소시킨다.
중심 카루우젤 지지 플레이트는 웨이퍼 헤드 및 부착된 웨이퍼가 웨이퍼 헤드 회전 모터에 의하여 독립적으로 회전하고 각각의 웨이퍼 헤드에 의하여 독립적으로 인가된 압력에 의하여 독립적으로 회전하는 연마 패드에 대하여 동시에 가압될 때, 웨이퍼 헤드 어셈블리가 내부 방사상 위치 및 외부 방사상 위치 사이에서왕복할 수 있는 일련의 방사 슬롯을 포함한다. 슬롯형 설계는 진동을 감소시키기 위하여 요구되는 기계적 경직성을 감소시킨다. 또한, 이는 웨이퍼 헤드를 용이하게 유지보수하도록 한다.
그러나, 화학 기계 연마에 이용된 슬러리의 성질은 회전 가압판이 슬러리를 분출하게 하고 슬러리 미스트가 기계 인클로저내에 존재한다는 것이다. 이러한 공중(airborne) 슬러리는 슬러리와 접촉하는 표면을 코딩하고, 건조된다면, 패드 표면 위에 박힐 수 있는 큰 덩어리 입자가 형성되어, 웨이퍼를 손상시킨다. 연마 매체용 현탁액은 용액, 예를 들어 수산화칼륨(KOH)이며, 이는 전기 접속을 단락시킬 수 있으며 바람직하지 않게 노출면의 품질을 감소시킬 수 있다. 이러한 문제점을 제거하기 위하여, 플랜지와 중첩되는 "D"형 플레이트가 방사방향으로 왕복하는 웨이퍼 헤드 어셈블리에 의하여 회전하고 방사방향으로 왕복하며 미로와 같은 슬롯 밀폐부를 형성하여, 슬러리 또는 증기가 카루우젤 헤드의 내부로 직접 진입되는 것을 방지한다. 다수의 헤드 캐리어 어셈블리를 가진 상기 밀폐부는 하우징내에 포함된 기계 및 전기부품이 화학 기계 연마 장치의 내부 환경에 노출되는 해로운 영향을 거의 제거한다.
조정 헤드 패드 조정기 및 그의 주변 표면은 연마 패드의 표면상의 슬러리에 의하여 침수된다. 이러한 슬러리 및 그의 현탁액은, 쉽게 젖지 않고 쉽게 젖은 현탁액으로 복귀하지 않는 락-하드(rock-hard) 케이크로 건조될 수 있다. 이러한 바람직하지 못한 상태가 발생하는 것을 방지하기 위하여, 본 발명에 따른 구성은 수축가능한 조정 헤드 저장부 및 세척컵을 포함하며, 상기 세척컵은 조정 헤드 표면의 하부를 H2O 또는 수성 용액(바람직하게 NaOH 또는 NH4OH)으로 젖은 상태를 유지시킨다. 세척 유체는 연속적으로 순환되거나 또는 특히 화학 용액에 대하여 요구시 세척컵의 중심 수반을 통하여 공급될 수 있다. 중심 컵 수반은 위어(weir)에 의하여 감싸이며, 상기 위어 상에서 유체는 유체 배출 채널로 넘친다. 컵은 조정 헤드의 이동 경로를 벗어난 위치로부터 조정 헤드가 저장 컵의 상부 위로 상승할 때, 저장 컵이 조정 헤드 아래 위치로 회전할 수 있고 조정 헤드가 저장을 위해 저장컵 속의 용액속으로 하강하는 위치로 컵을 이동시키는 컵 회전 장치에 부착된다. 공정은 조정 헤드를 다시 작업위치로 배치하기 위하여 역으로 행해진다.
웨이퍼는 웨이퍼 카세트내의 시스템으로 이송된다. 바람직하게, 카세트는 슬러리 케이킹 및 금속 산화를 감소시키기 위하여 순환 워터 배쓰(water bath)에 저장된다. 단일 이송 장치는 건조 위치와 배쓰 사이에서 카세트를 이동시키고 배쓰내의 카세트와 연마 장치 사이에서 각각의 웨이퍼를 이동시키기 위하여 이용된다.
로벗 이송 장치는 그의 단부에 "L"형 부재를 포함하며, 상기 "L"형 부재의 한쪽 레그는 진공 로벗 블레이드이고, 다른쪽 레그는 웨이퍼 카세트 리프팅 포크이다. 웨이퍼 이송 장치는 고정된 지지 빔에 의하여 지지된다. 수평 캐리지는 상기 빔내에서 이동하며 수직 축을 중심으로 회전가능한 하강 암을 지지한다. 하강 암은 수평 캐리지에 수직으로 고정되어 있지만 수직축을 중심으로 회전가능한 상부 레일 지지체를 포함한다. 하부 선형 캐리지는 상부 레일과 슬라이드되도록 맞물리며 수평 캐리지에 장착된 암 확장 모터의 회전에 의하여 상부 레일에 대하여 수직으로 이동한다. "L"형 부재는 하부 선형 캐리지의 하단부에 부착되며 하부 선형 캐리지에 대하여 수평축을 중심으로 회전가능하다. "L"형 부재를 회전시키기 위한 모터는 하부 선형 캐리지의 상단부 상에 장착되며 수평축에서 웜(worm) 기어 장치에 부착된 긴 샤프트를 통하여 "L"형 부재를 회전시킨다. 지지 빔에 인접한 모터의 위치는 수평 캐리지에서 그의 지지체 주위의 하강 암의 관성 모멘트를 감소시킨다. 이러한 구성에서 로벗 블레이드는 수직으로부터 작은 각도로 웨이퍼를 지지하여, 웨이퍼를 상승시키고 웨이퍼를 수평 위치에 대한 수평축을 중심으로 회전시키는 웨이퍼 카세트로부터 웨이퍼를 이동시키고 수직축을 중심으로 하강 암을 회전시켜 연마 장치내의 이동 위치에 웨이퍼를 배치하도록 배치될 수 있다. "L"형 부재의 웨이퍼 카세트 리프팅 포크는 로벗 블레이드로부터 약 90°각도로 고정되며, 웨이퍼 이송 카세트의 측면상에 리프팅 루프를 맞물리기 위하여 유사하게 조정될 수 있다. 리프팅 포크는 웨이퍼 카세트의 후면상에서 리프팅 루프의 후방 측면과 맞물리는 쇼울더/노치를 포함한다. 리프팅 포크가 리프팅 루프를 통하여 느슨해지고 약간 수평으로 이동하여 약간 회전될 때, 리프팅 노치는 더 이상 리프팅 포크의 루프 리프팅의 외부를 자유롭게 통과할 수 없다. 리프팅 포크가 상승될 때, 그의 쇼울더/노치는 리프팅 루프의 후면을 잡아서 카세트의 루프 모서리가 상승하도록 한다. 암은 카세트와 맞물려 수평을 유지하도록 약간 회전되기 때문에, 카세트는 리프팅 포인트를 중심으로 약간의 각도 이상으로 회전하는 것이 방지된다. 카세트의 모서리가 상승되고 카세트가 리프팅 포크의 하부와 접촉될 때, 카세트의 중량은 카세트 리프팅 루프가 리프팅 포크의 노치 쪽으로 압박되어 리프팅 포크의 쇼울더/노치 위에 카세트의 중량이 유지되도록 한다. 카세트 중량의 수직 성분은 리프팅 포크와 쇼울더/노치를 상향으로 접하게 함으로써 반대로 되어 이송 장치가 웨이퍼 저장 카세트를 픽업하고 이동시키도록 한다.
웨이퍼 카세트 및 기판의 배치와 이동 및 각각의 스테이션에서 수행되는 연마 또는 세척 시간은 마이크로프로세서와 같은 제어기에 의하여 제어되며, 상기 제어기는 기판의 위치결정 및 적재를 지시하고 최적의 연마 다듬질, 평탄성 및 산출량을 제공하기 위하여 프로그램된다.
우선 먼저, 계략적인 시스템 및 일반적인 공정 단계에 대하여 계략적으로 설명한다. 다음에 각각의 서브시스템 및 상세한 공정을 설명한다.
장치 개요
도 1은 본 발명의 장치의 투시도를 도시한다. 연마 시스템(10)은 웨이퍼 적재 장치(30)에 인접한 연마 장치(20)를 포함한다. 웨이퍼(40)는 시스템(10)의 카세트(42)로 보내지고, 카세트는 웨이퍼를 젖은 상태로 유지하기 위하여 터브(34)에 즉시 저장된다. 웨이퍼(40)는 개별적으로 카세트(42)로부터 웨이퍼 연마 장치(20)로 적재되며, 상기 웨이퍼 연마 장치(20)는 웨이퍼를 연마하여 원래의 카세트(40) 또는 터브(34)내의 다른 카세트로 복귀시킨다. 도면은 웨이퍼 연마 장치(20)내에 그리고 터브(34)로부터 멀리 슬러리 및 그 외의 연마 부스러기를 포함하기 위하여 웨이퍼 연마 장치(20) 및 웨이퍼 적재 장치(30) 사이에 삽입된 벽을 도시하지 않는다. 벽내의 도시되지 않은 슬라이딩 도어는 두 장치(20, 30) 사이에 웨이퍼를 이동시키기 위하여 개방된다. 상기 벽은 웨이퍼 적재 장치(30)를 포함한 클린룸 및 웨이퍼 연마 장치(20)를 포함한 더티어(dirtier) 영역 사이에서 배리어로서 작용할 수 있다.
웨이퍼 연마 장치(20)는 그 위에 테이블 상부(23)가 장착된 하부 기계 베이스(22) 및 일련의 연마 스테이션(50a, 50b, 50c)을 감싸는 제거가능한 상부 외부 커버(24)를 포함한다. 도 2의 분해 투시도에서 도시된 바와 같이, 펜스(25)는 테이블 상부(23)를 감싸고 있어 테이블 상부의 도시되지 않은 배출부에 대하여 분출되고 상기 배출부를 통하여 분출되는 액체 및 슬러리를 포함한다.각각의 연마 스테이션(50a, 50b, 50c)은 위에 연마 패드(54)가 배치되는 회전가능한 가압판(52)을 포함하며, 조정 헤드(64)를 보유하는 회전가능한 암(62)을 각각 갖는 관련된 패드 조정 장치(60a, 60b, 60c)와 조정 헤드(62)를 위해 관련된 세척 수반(68)을 더 포함한다. 또한 베이스(22)는 3개의 연마 스테이션(50a, 50b, 50c)을 갖는 사각형 장치에 위치된 배치된 이송 스테이션(70)을 지지한다. 이송 스테이션(70)은 웨이퍼 적재 장치(30)로부터 각각의 웨이퍼(40)를 수용하고, 가능한 이들을 헹구고, 연마 중에 웨이퍼를 보유하는 웨이퍼 헤드(이하에 설명됨)에 웨이퍼를 적재하고, 웨이퍼 헤드로부터 다시 웨이퍼(40)를 수용하고, 이들을 세척하고, 끝으로 이들을 다시 웨이퍼 적재 장치(30)로 이동시키는 다양한 기능을 수행한다. 또한 이것은 웨이퍼가 인출된 후에 웨이퍼 헤드를 세척한다.
2개의 중간 세척 스테이션(80a, 80b)이 인접하는 연마 스테이션(50a, 50b,50c)중 하나 사이에 배치되며, 제 3 세척 스테이션(80c)은 마지막 연마 스테이션(50c)과 이송 스테이션(70) 사이에 배치될 수 있다. 이들은 웨이퍼가 하나의 연마 스테이션으로부터 다음 스테이션 및 이송 스테이션(70)으로 통과할 때 웨이퍼(40)를 헹구고 마찬가지로 웨이퍼(40)를 효율적으로 닦는다.
회전가능한 다수의 헤드 카루우젤(90)은 4개의 웨이퍼 헤드 시스템(100a, 100b, 100c, 100d)을 포함하며, 상기 헤드 시스템은 각각의 연마 스테이션(50a, 50b, 50c)에서 가압판(52) 상에 보유된 각각의 연마 패드(54)에 대하여 웨이퍼를 가압함으로써 웨이퍼(40)를 수용하고 보유하고 연마한다. 카루우젤(90)은 그의 암 사이의 영역이 제거된 십자형상이며, 정지 중심 포스트(902) 위에 지지되며, 베이스(22)내에 위치한 모터 어셈블리에 의하여 카루우젤 축(904)을 중심으로 회전된다.
본 발명에 따른 이러한 구성에서, 4개의 동일한 웨이퍼 헤드 시스템(100a, 100b, 100c, 100d)은 동일 각도 간격으로 카루우젤 축(904)을 중심으로 카루우젤 지지 플레이트(906) 위에 장착된다. 중심 포스트(902)는 카루우젤 지지 플레이트(906)를 중심에서 지지하며 카루우젤 모터가 카루우젤 지지 플레이트(906), 웨이퍼 헤드 시스템(100a, 100b, 100c, 100d) 및 상기 시스템에 부착된 웨이퍼(40)를 카루우젤 축(904)을 중심으로 회전시키도록 한다.
각각의 웨이퍼 헤드 시스템(100a, 100b, 100c, 100d)은 웨이퍼 헤드(110)를 포함하며, 상기 웨이퍼 헤드는 샤프트에 의하여 연결된 헤드 회전 모터(1002)에 의하여 그자신의 축을 중심으로 회전한다. 상기 헤드(110)는 전용 헤드 회전모터(1002)(하나의 카루우젤 사분 커버(908)의 제거에 의하여 도 2에서 도시됨)에 의하여 구동됨으로써 독립적으로 회전할 수 있으며, 또한 카루우젤 지지 플레이트(906)에 형성된 슬롯(910)에서 방사상 독립적으로 왕복할 수 있다. 웨이퍼 헤드(110)의 바닥에 부착된 웨이퍼의 상승 및 하강은 웨이퍼 헤드 시스템(100)에서 수행된다. 전체 카루우젤 시스템의 장점은 연마 및 세척을 위하여 웨이퍼를 수용하고 웨이퍼의 위치를 결정하는데 있어서 매우 작은 수직 스트로크가 웨이퍼 헤드(110)에서 요구된다는 것이다. 작은 수직 스트로크가 요구되는 것은 웨이퍼 헤드(110)의 단부의 가장 하부 부재 내에서만 수용될 수 있다. 입력 제어신호는 웨이퍼 수용 리세스를 포함하는 웨이퍼 헤드 하부 부재와 수직 정지 웨이퍼 헤드 상부 부재 사이에서 입력 제어신호(예를 들어, 공기, 수압 또는 전기 신호)에 따라 상대 이동(헤드의 확장 및 수축)을 야기한다.
실제 연마 중에, 웨이퍼 헤드 시스템중 3개(예를 들어,100a, 100b 및 100c)의 웨이퍼 헤드(110)는 각각의 연마 스테이션(50a, 50b, 50c)에 그리고 그 위에 배치되며, 각각의 스테이션은 연마 패드(54)를 지지하는 독립적으로 회전가능한 가압판(52)을 가지며, 상기 패드의 표면은 웨이퍼(40)를 연마하기 위하여 매체로서 작용하는 연마 슬러리에 의하여 젖는다. 연마 중에, 웨이퍼 헤드 시스템(100a, 100b, 100c)은 카루우젤(90)의 각각의 반경을 따라 독립적으로 왕복하여 관련 웨이퍼 헤드(110)가 각각의 연마 패드(54)의 직경을 따라 이동하도록 한다. 일반적인 공정에서, 웨이퍼 헤드(110)의 스위핑 축은 연마 패드(54)의 중심에 정렬된다.
사용할 때, 예들 들어, 제 4 웨이퍼 헤드 시스템(100d)의 웨이퍼 헤드(110)는 초기에 웨이퍼 이송 스테이션(70) 위에 배치된다. 카루우젤(90)이 회전될 때, 카루우젤(90)은 연마 스테이션(50a, 50b, 50c) 및 이송 스테이션(70) 위에 상이한 웨이퍼 헤드 시스템(100a, 100b, 100c, 100d)의 위치를 결정한다. 카루우젤(90)은 각각의 웨이퍼 헤드 시스템(100)이 먼저 이송 스테이션(70) 위에, 다음에 하나 이상의 연마 스테이션(50) 위에, 그 다음에 다시 이송 스테이션(70)에 순차적으로 배치되도록 한다.
각각의 연마 패드(54)는 패드 조정 장치(60)중 하나에 의하여 연속적으로 또는 주기적으로 조정될 수 있으며, 상기 조정 장치는 각각 조정기 암(62)에 부착된 독립적으로 회전하는 조정 헤드(64)를 갖는다. 연마 조정 플레이트 또는 유사한 조정면은 조정 헤드(64)의 바닥에 포함될 필요가 있다. 암(62)은 연마 패드(54)의 중심과 그의 주변 사이의 일반적인 왕복 운동으로 관련 연마 패드(54) 사이에서 조정 헤드(64)를 스위핑한다. 조정 헤드(64)는 패드를 연마하고 조정하기 위하여 패드(54)에 대하여 압박되어 패드가 회전하는 동안 패드에 압박된 웨이퍼(40)를 효과적으로 연마한다.
도 1에 도시된 바와 같이, 웨이퍼 적재 시스템(30)에서, 카세트(42)는 먼저 보유 스테이션(32)으로부터 탈이온수와 같은 액체 배쓰(302)로 채워진 보유 터브(34)로 이송되어 카세트(42)와 그 내부에 포함된 웨이퍼(40)가 침지된다. 다음에, 연마될 각각의 웨이퍼(40)는 터브(34)의 웨이퍼 카세트(42)로부터 연마 장치(20)로 인출된다. 회전가능하고, 연장가능한 하강 암(35)이 오버헤드 트랙(36)으로부터 하강하며, 그 말단부에 웨이퍼 블레이드(38) 및 카세트클로오(39)를 포함하는 리스트(wrist) 어셈블리(37)를 포함한다. 카세트 클로오(39)는 보유 스테이션(32)과 터브(34) 사이에서 카세트(42)를 이동시킬 수 있으며, 웨이퍼 블레이드(38)는 터브(34)내의 카세트(42) 및 이송 스테이션(70) 사이에서 웨이퍼(40)를 이동시키고 웨이퍼에 새로운 방향을 부여할 수 있다. 도 1 및 나머지 도면은 이송 스테이션(70)으로부터 멀리 기계 베이스(22)의 측면에 배치된 보유 스테이션(32)을 도시하지만, 이는 명확하게 도시하기 위해서 그렇게 배치된 것이다. 사실, 이송 스테이션(70)을 보유하는 기계 베이스(22)의 한쪽 코너는 다른 코너에 대하여 당겨진다. 따라서, 보유 스테이션(32)은 기계 베이스(22)내의 이송 스테이션(70)의 코너에서 더욱 개방된 영역에 배치되는 것이 바람직하다.
일반 연마 공정
상기에서 약술한 장치는 몇 개의 상이한 종류의 연마 시퀀스에 이용될 수 있다. 3개의 기본 연마 공정은 인라인 공정, 다단계 공정 및 배치(batch) 공정이다.
인라인 공정은 상이한 연마 스테이션(50)에서 연마 작업을 다단계로 분할하며, 상기 단계들은 실제로 동일하다. 가장 간단한 경우에, 동일한 형태의 연마 패드 및 동일한 슬러리가 3개의 연마 스테이션(50a, 50b, 50c)에 이용된다. 이하에 상세히 기술되는 것처럼, 웨이퍼 헤드(110)는 웨이퍼를 각각의 연마 스테이션으로 순차적으로 이동시키며, 전체 연마의 삼분의 일은 각각의 연마 스테이션에서 수행된다.
인라인 연마 시스템의 동기는 완전 연마 작업이 종료되기 전에 패드를 조정할 필요성으로부터 발생한다. 연마 패드는 연마 중에 글레이징되는 경향이 있다. 도 3의 그래프에서 계략적으로 도시되는 것처럼, 연마 제거 속도는 새로운 조정 패드에 대해서는 고속으로 시작하지만, 제거 속도는 패드에 대한 연마 시간이 증가하면 감소된다. 높은 산출량을 얻기 위하여, 패드는 제거 속도가 너무 낮은 레벨로 떨어지기 전에 조정되야 한다. 조정 주기는 연마 패드, 연마 공정 및 웨이퍼로부터 제거되는 재료에 따른다. CMP의 중요한 용도는 이산화실리콘, 경화성 물질을 평탄화시키는 것이며, 2㎛에 이르는 이산화실리콘을 일부 반도체 제조 공정을 위해 제거하는데 요구될 수도 있다. 이러한 두께는 도 3의 하향 곡선의 연마 시간에 대응한다면, 패드는 연마 중에 적어도 1 번 조정되어야 한다. 패드 조정은 때때로 웨이퍼가 패드로부터 제거되고 웨이퍼 헤드 시스템이 적어도 패드의 중심으로부터 멀리 이동될 것을 요구하기 때문에, 패드 조정을 위한 연마 중단이 웨이퍼를 다른 동등한 연마 스테이션으로 이동시키기 위하여 사용될 수 있다.
인라인 가공의 또다른 동기는 이송 스테이션(70)에서 수행되는 적재, 인출 및 세척이 공정에 대하여 오버헤드 타임으로 인한 것이다. 연마가 수행되지 않는 곳에 웨이퍼가 배치되어 있는 동안 이러한 오버헤드가 수행된다면, 연마 산출량은 감소된다. 카루우젤(90) 주위에 등가의 위치에 3개의 연마 스테이션(50a, 50b, 50c) 및 이송 스테이션(70)이 배열되어, 이송 스테이션(70)에서의 오버헤드는 3개의 웨이퍼가 연마되는 동안 수행될 수 있다. 따라서 오버헤드는 연마 스테이션 사이 및 연마 스테이션과 이송 스테이션 사이에서 웨이퍼를 이동시키는데 필요한 시간으로 감소된다.
등가의 연마 스테이션으로 연마를 분할하는 인라인 공정의 또다른 장점은 특정 연마 스테이션(50)의 불규칙성이 다른 연마 스테이션 전체에 걸쳐 평균이 된다는 것이다.
다단계 공정은 연마 공정을 다수의 상이한 단계로 나뉘어져, 연마가 단계화되도록 한다. 예를 들어, 제 1연마 스테이션(50a)은 웨이퍼를 거칠게 연마하고, 제 2연마 스테이션(50b)은 미세 연마를 수행하고, 제 2연마 스테이션(50c)은 웨이퍼를 닦을 수 있다. 닦는 것은 매우 부드러운 연마이며, 이는 일차적으로 표면으로부터 외부 부스러기 재료를 제거한다. 연마의 세기는 슬러리 조성물, 패드 재료 및 다른 연마 파라미터에 의하여 변화될 수 있다. 물론, 본 발명은 낮은 오버헤드를 가진 통합된 다단계 공정을 제공한다. 그러나, 다단계 공정은 기본적으로 산출량 문제를 가지는데, 이는 모든 3개의 연마 단계가 동일한 시간을 필요로 하지 않기 때문이다. 일반적으로, 거친 연마는 미세 연마 또는 닦는 것 보다 상당히 많은 시간이 소요된다. 따라서, 시스템 산출량은 거친 연마에 의하여 제한되며, 한편 다른 두 연마 스테이션은 오랜 시간 동안 휴지상태에 놓인다. 유사한 시간 조정 문제는 상이한 연마 스테이션이 연마 공정의 상이한 단계에 이용될 때, 예를 들어 이전에 설명한 이산화규소 연마 다음에 금속층을 연마할 때 존재한다.
배치(batch) 공정은 각각의 연마 스테이션에서 다중 웨이퍼를 완벽하게 연마한다. 도 1의 장치에서, 동일한 형태의 패드는 3개의 연마 스테이션(50a, 50b, 50c)에 장착되고 동일한 형태의 슬러리가 3개의 연마 스테이션(50a, 50b, 50c)에 공급되며, 각각의 웨이퍼는 하나의 연마 스테이션에서 완전히 연마된다. 즉, 3개의 연마되지 않은 웨이퍼는 3개의 연마 스테이션에 동시에 존재한다. 이송 스테이션에서의 작업은 배치 공정에서 높은 오버헤드가 존재하지만, 도 1의 장치는 다른 2개의 웨이퍼에 대한 유사한 작업에 의하여 간섭을 받으면서 연마가 수행되는 동안 적어도 한쪽 웨이퍼의 적재, 인출 및 세척이 수행되도록 한다.
인라인, 다단계 및 배치 공정의 구별은 명확하게 정의되지 않으며, 선택된 공정은 하나 이상의 특성을 가진다. 예를 들어, 2개의 연마 스테이션(50a, 50b)은 등가의 인라인 또는 배치 공정에 이용될 수 있으며, 다른 제 3의 연마 스테이션(50c)은 다단계 미세 연마 또는 닦음을 위하여 이용될 수 있다. 이하에 기술되는 바와 같이, 3개의 중간 세척 스테이션(80a, 80b, 80c)은 짧은 닦음, 웨이퍼 세척 또는 간단한 연마 단계에 이용될 수 있다. 이러한 상황에서, 연마 스테이션을 위한 배치(batch) 공정은 장치의 고가 부품의 높은 이용을 더욱 가능하게 한다.
본 발명은 오버-센터(over-center) 연마, 즉, 웨이퍼(40)는 회전 연마 패드(54)의 중심을 가로질러 스위핑될 수 있는 연마에 있어서 상당한 공정 이점을 제공한다. 회전 웨이퍼(40), 회전 패드(54) 또는 이들의 결합을 이용한 연마는 기본적으로 바람직하지 않은 비균일성을 가진다. 즉, 도 4에서 설명되는 것처럼, 웨이퍼(40) 및 패드(54)는 이들 각각의 중심(40a, 54a)에 대해 회전한다. 연마 제거 속도는 일반적으로 웨이퍼(40)와 패드(54) 사이의 상대속도에 비례하며, 물체를 회전시키는 속도는 반경에 따라 증가한다. 따라서, 회전 웨이퍼(40)의 외부는 내부보다 빨리 연마된다. 유사하게, 패드(54)의 외부는 패드의 내부가 연마되는 것보다 빨리 웨이퍼를 연마한다. 두 개의 영역으로의 웨이퍼(40) 및 패드(54)의 분할은 상당히 간단하데 이는 연속적인 변화 때문이다. 이러한 근본적인 비균일성을 감소시키기 위하여, 패드(54)상의 웨이퍼(40)의 스위핑 패턴 및 시간이 최적화되어야 하며, 이는 톨리스 등에 의해 1995년 6월 30일자로 출원되 미국출원 번호 제 08/497,362호에 기술되어 있다. 웨이퍼(40)를 패드 중심(54a)의 다른 측면 상에 있는 위치(40c)로 패드의 중심(54a)을 통과하여 스위핑 시키는 능력은 최적화에 있어 또다른 자유도를 제공한다. 중심 이상 연마로부터의 추가 자유도는 일반적으로 상업적으로 이용가능한 웨이퍼 연마 시스템에서 이용가능하지 않다.
인라인 공정의 중요성으로 인해 이하 인라인 공정을 상세히 설명한다. 도 5A, 5B, 5C, 5D,5E 및 5F는 카루우젤(90)이 회전하는 6단계 시퀀스를 도시한다. 설명은 웨이퍼(W)의 삽입에서 시작하여 카루우젤(90)의 카루우젤 지지 플레이트(906) 상에서 지지되는 웨이퍼 헤드 시스템(100a, 100b, 100c, 100d)의 순차적 이동에 대하여 계속된다.
도 5A의 제 1단계에 대하여 도시된 바와 같이, 제 1웨이퍼(W#1)는 적재 장치(30)로부터 이송 스테이션(70)으로 적재되며, 상기 스테이션은 웨이퍼를 예를 들어 웨이퍼 헤드 시스템(100a)의 웨이퍼 헤드(110)로 적재한다. 카루우젤(90)은 지지 중심 포스트(902)상에서 시계 반대 방향으로 회전되어 도 5B에서 제 2단계 스테이션에 대하여 도시된 바와 같이, 제 1연마 스테이션(50a)상에 제 1웨이퍼 헤드 시스템(100a) 및 웨이퍼를 배치한다. 연마 스테이션(50a)은 웨이퍼(W#1)의 제 1 단계 연마를 수행한다. 제 1연마 스테이션(50a)이 제 1웨이퍼(W#1)를 연마하는 동안, 제 2웨이퍼(W#2)는 적재 장치(30)로부터 이송 스테이션(70)으로 적재되고 현재 이송 스테이션(70) 위에 배치된 웨이퍼는 이송 스테이션(70)으로부터 헤드 시스템(100b)으로 적재된다.
도 5B의 제 2단계 완료 후에, 카루우젤(90)은 다시 시계 반대 반향으로 회전되어, 도 5C에서 제 3단계에 대하여 도시된 바와 같이, 제 1웨이퍼(W#1)가 제 2연마 스테이션(50b) 위에 배치되고 제 2웨이퍼(W#2)가 제 1연마 스테이션(50a) 위에 배치되도록 한다. 제 3웨이퍼 헤드 시스템(100c)은 이송 스테이션(70) 위에 배치되며, 상기 이송 스테이션(70)으로부터 제 3웨이퍼 헤드 시스템(100c)은 적재 장치(30)로부터 제 3웨이퍼(W#3)를 수용한다. 도 5C의 제 3단계 중에, 웨이퍼(W#1) 및 웨이퍼(W#2)는 각각의 스테이션(50a, 50b)에서 연마된다. 제 4단계로 들어가기 위하여, 도 5D에 도시된 바와 같이, 카루우젤(90)은 다시 시계 반대 방향으로 90°회전하여 제 3연마 스테이션(50c) 위에 웨이퍼(W#1)를, 제 2연마 스테이션(50b) 위에 제 2웨이퍼(W#2)를, 그리고 제 3연마 스테이션(50c) 위에 제 3웨이퍼(W#3)를 배치하고, 한편 이송 스테이션(70)은 적재 장치(30)로부터 제 4웨이퍼(W#4)를 수용한다. 제 3스테이지에 수용된 제 1웨이퍼(W#1)가 연마되고, 제 2스테이지에 수용된 제 2웨이퍼(W#2)가 연마되고, 제 1스테이지에 수용된 제 3웨이퍼(W#3)가 연마되는 제 3단계의 연마가 종료된 후에, 카루우젤(90)은 다시 회전된다. 그러나, 시계 반대 방향으로 90°회전하기보다는, 카루우젤(90)은 시계 방향으로 270°회전하여 로터리 커플링을 사용할 필요성을 제거하고 가요성이지만 연속적인 라인을 통하여 카루우젤(90)에 대한 간단하고 자유로운 유체 및 전기 접속이 가능하도록 한다. 이러한 등가 회전은 도 5E에 도시된 바와 같이, 이송 스테이션(70) 위에 제 1웨이퍼(W#1)를, 제 2연마 스테이션(50c) 위에 제 2웨이퍼(W#2)를, 제 2연마 스테이션(50b) 위에 제 3웨이퍼(W#3)를 그리고 제 1연마 스테이션(50a) 위에 제 4웨이퍼(W#4)를 배치하도록 한다. 다른 웨이퍼(W#2, W#3, W#4)가 연마되는 동안, 제 1웨이퍼(W#1)는 이송 스테이션(70)에서 세척되며 제 1웨이퍼 헤드 시스템(100a)으로부터 다시 적재 장치(30)로 적재되고 다음에 다시 카세트(42)의 원래 위치로 적재되며, 도 5F에서 도시된 바와 같이, 제 5웨이퍼(W#5)는 제 1웨이퍼 헤드 시스템(100a)에 적재된다. 이러한 단계 후에, 공정은 90°시계 반대 방향 회전에 따라 반복된다.
상기 설명은 연마 스테이지 사이에 또는 연마 종료 후에 웨이퍼를 헹구기 위하여 카루우젤이 중간 세척 스테이션에서 가압판 사이에 배치된 웨이퍼 헤드를 정지시키는 공정 시퀀스는 포함하지 않았다.
상기 설명은 상이한 스테이션에 거의 유사한 연마를 수반하는 다단계 연마 시스템 또는 인라인 공정에 적용할 수 있다. 다단계 시스템에서, 다수의 연마 스테이지는 점진적인 미세 연마를 포함하거나, 또는 패드 구조 또는 슬러리 조성물의 변화에 의한 상이한 층에 대한 연마를 포함한다. 인라인 공정에서, 다수의 연마 스테이션 각각은 동일한 웨이퍼에 대하여 동일한 시간 동안 실제로 유사한 연마를 수행한다. 인라인 공정은 적재 및 인출과 관련된 웨이퍼당 오버헤드 타임이 다수의 연마 스테이션에 의하여 감소된다는 장점이 있다. 또한, 하나의 연마 스테이션에 의하여 야기된 임의의 비균일 연마는 다른 연마 스테이션에 의하여 평균화된다.
도 5A, 5B, 5C 및 5D는 도 5D 및 5E의 위치 사이의 카루우젤(90)의 이동을 보다 상세히 도시한다. 도 6A에서, 제 2, 3 및 4웨이퍼(W#2, W#3, W#4)는 그들의병치된 패드(54) 및 가압판(52)이 회전할 때 연마되며, 제 1웨이퍼(W#1)는 이송 스테이션(70)에서 세척된다. 도 6B에서, 제 1웨이퍼(W#1)는 카세트(42)로 다시 적재되며, 도 6C에서, 제 5웨이퍼(W#5)는 카세트(42)에서 이송 스테이션(70)으로 적재되며, 여기서 웨이퍼가 세척된다. 이때, 다른 3개의 웨이퍼(W#2, W#3, W#4)는 계속 연마된다. 도 6D에서, 카루우젤(90)은 약 45°로 회전하여 제 2, 3 및 4웨이퍼(W#2, W#3, W#4)가 각각의 중간 세척 스테이션(80a, 80b, 80c) 위에 놓이도록 한다. 이하에 상세히 설명될 공정에서, 3개의 웨이퍼 헤드 시스템(100b, 100c, 100d)은 그들의 각각의 웨이퍼를 관련된 중간 세척 스테이션(80a, 80b, 80c) 위에서 계단식으로 회전시켜, 다음 연마 스테이션(50)을 오염시키지 않기 위하여 이전 연마 스테이션(50)으로부터 잔류 슬러리 및 부스러기를 헹군다. 추가 세척 스테이션(80)은 이송 스테이션(70)과 제 1연마 스테이션(50a) 사이에 배치되어 연마 전에 웨이퍼를 세척할 수 있다. 이러한 예비 헹굼은 중간 세척 스테이션(80a, 80b)에 의하여 이미 소비된 임의의 추가 오버헤드 타임 없이 수행될 수 있다. 헹군 다음에, 다음 45°의 카루우젤 회전이 완료되어 연마가 계속된다.
여러 가지 서브시스템이 이하에 상세히 설명된다.
카루우젤
도 7은 외부 커버(908)의 1/4이 제거된 상태의 카루우젤(90) 분해도를 도시한다. 중심 포스트(902)는 크고 두꺼운(약 2-3/8"(6cm)) 카루우젤 지지 플레이트(906)(바람직하게 알루미늄으로 구성됨)를 지지한다. 카루우젤 지지 플레이트(906) 및 대부분의 카루우젤(90)은 4개의 암은 4개의 헤드 구성에 대하여 동일한 90°간격으로 고정된 십자 형상으로 배열된다. 카루우젤 지지 플레이트(906)는 방사상으로 연장되며 90°간격을 두는 4개의 개방 단부 슬롯(910)을 포함하며; 도 2는 밀접하게 관련된 폐쇄 단부 슬롯(948)을 가진 하부 커버를 대신 도시한다. 카루우젤 지지 플레이트(906)의 상부는 도 8의 평면도 및 도 9의 측단면도에서 도시되는 것처럼, 한 세트의 4쌍 세트의 슬롯형 웨이퍼 헤드 지지 슬라이드(908)를 지지한다. 슬라이드(908)는 카루우젤 지지 플레이트(906)에서 각각의 슬롯(910)과 정렬되며 상기 슬롯을 따라 슬라이드되어 카루우젤 지지 플레이트(906)의 중심에 대해 방사상 자유롭게 이동한다. 각각의 슬라이드(908)는 선형 베어링 어셈블리(912)에 의하여 지지되며, 상기 어셈블리중 2개는 슬롯(906) 브라킷이다. 각각의 선형 베어링 어셈블리(912)는 도 9의 단면도에 도시된 바와 같이 카루우젤 지지 플레이트(906)에 고정된 레일(914) 및 레일(914)의 그루브 및 가이드(916) 사이에서 회전하는 볼 베어링(917)을 가진 2개의 선형 가이드(916)(이중 하나만이 각각의 측면에 도시된다)을 포함한다. 구분되게 도시되지는 않았지만, 2개의 선형 가이드(916)는 각각의 레일(914)과 매칭되어 이들 사이에 자유롭고 부드러운 이동을 제공한다. 선형 베어링 어셈블리(912)는 슬라이드(908) 및 여기에 부착된 어떠한 것이라도 카루우젤 지지 플레이트에서 슬롯(910)을 따라 자유롭게 이동하도록 한다. 도 8의 평면도에 도시된 바와 같이, 베어링 스톱(917)이 레일(914)중 하나의 외측 단부에 부착되어 슬라이드(908)가 베어링 레일(914)의 단부에서 갑자기 빠지는 것을 방지하는 안전 장치의 역할을 한다.
도 8의 상부 평면도 및 도 9의 단면에 도시된 바와 같이, 각각의 슬라이드(908)의 한쪽 측면은 그의 중간 단부 근방의 슬라이드(908)에 고정된 도시되지 않은 순환 볼 나사형 수용 캐비티(또는 너트)를 포함한다. 나사형 캐비티 또는 너트는 카루우젤 지지 플레이트(906) 위에 장착된 스위핑 모터인 모터(920)에 의하여 구동되는 어미 나사(lead screw)(918)를 수용한다. 어미 나사(918)를 돌리면 슬라이드(908)가 방사방향으로 이동한다. 4개의 스위핑 모터(920)는 도 8의 상부 평면도에 도시된 바와 같이 독립적으로 동작가능하여, 카루우젤 지지 플레이트(906)의 슬롯(910)을 따라 4개의 슬라이드(908)의 개별적 이동이 가능하게 한다.
도 8의 좌측 하부에 도시된 것처럼 광학 위치 센서가 슬라이드(908) 각각의 측면에 부착된다. 수평으로 연장되는 핀(926)을 가진 위치 플레그(924)는 각각의 슬라이드(908)의 웜(worm)에 부착된다. 광학 센서(928)는 위치 플레그(924)와 결합하여 스위핑 모터(920)의 중심 위치를 감지한다. 광학 센서(928)는 핀(926)이 광학 센서(928)의 트리거 갭을 통과하는 높이에서 카루우젤 지지 베이스(906)에 고정된다. 또한, 센서는 슬롯(910)을 따라 선형 위치에 고정되며 핀(926)이 예를 들어 중심에서 가장 안쪽의 위치로의 경로의 절반 동안 광학 센서(928)의 트리거 갭을 방해하고 중심에서 가장 바깥 위치까지의 경로의 절반 동안 광학 센서의 트리거 갭을 방해하지 않는 길이를 가진다. 중심에서의 이동은 시스템을 교정한다. 슬라이드 위치는 슬라이더 모터(920) 또는 여기에 부착된 엔코더로의 입력에 의하여 명목상 모니터되지만, 상기 모니터링은 간접으로 수행되어 에러를 축적시킨다. 광학위치 센서는 전기 위치 모니터링을 교정하고 전력 공급 정지 또는 유사한 기계 제어 손실이 발생할 때 슬라이드 위치를 결정하는데 특히 유용하다. 복귀 단계에서, 광학 신호의 유무는 중심 교정 포인트를 통과하는데 필요한 이동 방향을 즉시 표시한다. 이러한 광학 센서는 상세히 나타나며 특히 전력 손실이 있는 경우에 재교정을 가능하게 하고 오버슈트로부터 보호하기 위하여 본 발명의 연마 시스템에 이용되는 많은 광학 센서중 하나만을 나타낸다.
도 7의 투시도 및 도 9의 단면에 도시된 바와 같이, 4개의 슬라이드(908) 가각에 웨이퍼 헤드 어셈블리(100)가 고정되며, 각각의 어셈블리는 웨이퍼 헤드(110), 웨이퍼 헤드 모터(1012) 및 두 개를 연결하는 주변 비회전 샤프트 하우징(1015)을 가진 헤드 회전 구동 샤프트(1014)을 가지며, 그밖에 이하에 기술되는 부품을 가진다. 각각의 웨이퍼 헤드 어셈블리(100)는 연마 장치(20)로부터 멀리 조립될 수 있으며 슬라이드(908)의 암 사이에 카루우젤 지지 플레이트(906)의 슬롯(910)으로 조여지지 않은 상태로 슬라이드하고 다음에 레일(914)로 슬라이드되어 슬라이드(908)를 잡기 위하여 조여진다.
웨이퍼 헤드
몇 개의 상이한 종류의 웨이퍼 헤드중 일부는 예를 들어 여기에 참고로 기술된 셀돈의 미국특허 제 5, 205,082호에 기술된 것처럼, 본 발명에 사용될 수 있다.
다이아몬드 웨이퍼 헤드
도 9의 단면 하단부에 다이아몬드 헤드라 불리는 또다른 실시예의 헤드(110)가 개략적으로 도시되며, 이는 "화학적 기계적 연마 장치용 캐리어 헤드 설계"란 명칭으로 Zuniga 등에 의해 동시 출원된 미국 특허 출원 번호 08/549,474호(AMAT 도켓 #1129)에 개시되며, 본 명세서에서는 이를 참조한다. 상기 헤드(110)는 실린더 형태의 하향하는 보울 부재(1110) 및 상기 보울 부재(1110)의 중심 캐비티에 고정된 플로터 부재(1112)를 포함한다. 플로터 부재(1112)는 그의 하부에 보유링(retaining ring)(1116)으로 둘러싸인 웨이퍼 수용 리세스(1115)를 포함하여 연마될 웨이퍼(40)가 고정되는 리세스(1114)를 한정한다. 보유링(1116)은 도시된 바와 같이, 플로터 부재(1112)에 고정되거나 또는 연마 패드(54)의 연마 표면과 접촉하여 보유링(1116)을 압박하는 탄성 접속부를 통하여 플로터 부재(1112) 또는 보울 부재(1110)에 탄력적으로 연결될 수 있다. 또한 보유링(1116)은 연마 중에 웨이퍼 헤드(110) 아래에서 웨이퍼가 빠져나가는 것을 방지한다. 일 구성에서, 중심 샤프트 부싱 어셈블리(1118)는 플로터 부재(1112)가 보울 부재(1110)와 정렬되도록 한다. 웨이퍼 수용 위치 및 헤드 레스트(rest)와의 오정렬은 과거에 문제시되었다. 플로터 부재(1112)의 상부에서 중심 개구부에 고정된 부싱(1120)은 보울 부재(1110)의 상부로부터 하향 연장되는 중심 샤프트(1130)를 수용하여 보울 부재(1110)와 플로터 부재(1112) 사이의 수직 이동을 허용하는 한편 이들을 수평정렬되도록 한다.
웨이퍼 헤드(110)의 보울 부재(1110)와 플로터 부재(1112)를 가요성 밀봉부가 연결한다. 이러한 밀봉부는 플로터 부재(1112)의 후면에서 유체가 새지 않는 캐비티(1132)를 형성하는 한편 보울 부재(1110)와 플로터 부재(1112) 사이의 상대 수직 운동을 자유롭게 한다. 또한 밀봉부는 보울 부재(1110) 및 플로터 부재(1112) 사이에 주변 토오크를 제공하여 이들을 주변 정렬되도록 한다. 가요성 밀봉부의 예는 일반적으로 탄성 재료의 환형 스트립을 포함하는 롤링 밀봉부(1134)이며, 이는 보울 부재(1110) 및 플로터 부재(1112)가 서로에 대하여 이동할 때 웨이퍼 헤드(110)의 플로터 부재(1112)와 보울 부재(1110)의 내부 사이를 밀봉한다. 이러한 운동에서, 롤링 밀봉부(1134)의 탄성 스트립은 회전하는 한편 인접 부품을 방해하지 않고 또는 보울 부재(1110)와 플로터 부재(1112) 사이의 수직힘 성분을 부가하지 않고도 밀봉을 유지한다.
3C 웨이퍼 헤드
또다른 3C 헤드라 불리는 헤드(110')의 예를 도 11 및 12에 단면으로 도시된다. Shendon 등은 1995년 6월 9일 출원된 미국출원 제 08/488,921호에서 상기와 같은 헤드를 개시한다.
도 11을 참조로, 3C 웨이퍼 헤드(110')의 내부 구조를 상세히 도시한다. 바람직하게, 헤드(110')는 그 안에 하향으로 리세스(1162)를 가지며 캐리어 플레이트(1164)가 수용되는 보울 부재(1160)를 포함한다. 헤드(110')와 헤드 구동 샤프트(1014)를 연결하기 위하여, 보울 부재(1160)는 상향으로 연장되며 외부적으로 나사결합된 보스(1166)를 포함하고 샤프트(1014)는 상승된 보스(1166)에 대하여 종료된다. 컵형상 주변 너트(1168)는 하향으로 연장되며 내부적으로 나사결합된립(1170) 및 너트(1170)내의 중심 리세스(1172)를 가지며, 보울 부재(1160)와 헤드 구동 샤프트(1014)를 고정시킨다. 샤프트(1014)의 단부는 너트 리세스(1172)를 통하여 연장되며, 스냅링(1174)은 샤프트 단부가 너트 보어(1172)를 통하여 연장된 후에 샤프트(1014)의 단부에 인접하게 배치된 스냅링 보어에 배치된다. 스냅링(1174)은 너트 보어(1172)로부터 샤프트(1014)의 수축을 방지한다. 다음에 컵형상 주변 너트(1168)는 보스(1166)의 외부적으로 나사결합된 표면 위로 립(1170)을 나사결합시킴으로써 보스(1166) 위에 고정되어 컵형상 주변 너트(1168)와 보울 부재(1160) 사이에 스냅링(1174)이 트랩된다. 헤드 구동 샤프트(1014)와 보울 부재(1160)를 회전식으로 고정하기 위하여, 샤프트(1014)는 그 하단부의 안쪽으로 연장되는 키웨이(keyway)(1176)를 포함하며, 보스(1170) 또한 키웨이(1178)를 포함하며, 키웨이(1178)는 샤프트(1014)가 주변 너트(1170)에 수용될 때 샤프트 키웨이(1176)와 정렬된다. 키(key)는 2개의 키웨이(1176, 1178) 사이에서 연장된다. 선택적으로, 보울 부재(1160)의 보스(1166)와 헤드 구동축(1014)의 각각의 홀 속으로 핀(1180)이 삽입될 수 있다.
보울 부재(1160)는 수직으로 고정되며 회전식으로 움직이는 기준 표면을 제공하며, 상기 표면으로부터 기판(40)은 연마 표면에 대하여 적재된다. 도 11에 도시된 본 발명의 바람직한 실시예에서, 기판 적재는 제 1 상부 바이어싱 챔버(1182) 및 제 2 하부 바이어싱 챔버(1184)에 의하여 보울 부재(1160)에 의하여 제공된 기준 표면에 대하여 수직으로 선택적으로 캐리어 플레이트(1164)를 배치함으로써 달성된다. 바람직하게, 중심 리세스(1162)는 바람직한 실시예에서 하나의 부재이며상부 수평으로 연장되는 플레이트형 부분(1186)과 하향 연장되는 림(1188)을 갖는 보울 부재(1160)의 경계내로 한정된다. 캐리어 플레이트(1164)는 리세스(1162)내에 수용되며 그위에 수용된 기판이 연마 표면에 대해 위치되도록 리세스로부터 연장가능하다.
리세스(1162)에 캐리어 플레이트(1164)를 선택적으로 배치시키기 위해, 제 1 바이어싱 챔버(1182)는 상부 플레이트(1186)의 밑면과 캐리어 플레이트(1164)의 상부 표면 사이로 연장되는 벨로우즈(1190)를 포함한다. 이러한 벨로우즈(1190)는 캐리어 플레이트(1164) 및 보울 부재(1160)의 상부 플레이트(1186)와의 접속부에서 밀봉되며, 이들 접속부는 또한 분리되지 않고 보울 부재(1160)로부터 매달려 있는 캐리어 플레이트(1164)의 무게를 지지하기에 충분한 힘을 갖는다. 바람직하게, 벨로우즈 캐비티(1192)는 제거가능한 벨로우즈 삽입부(1194)내에 형성되며, 상기 삽입부는 상부 벨로우즈 플레이트(1196) 및 하부 벨로우즈 플레이트(1198)를 포함하며, 상기 상하부 벨로우즈 플레이트들 사이로 벨로우즈(1190)가 연장된다. 벨로우즈(1190)는 플레이트(1196, 1198)에 고정되어 제거가능한 벨로우즈 삽입부(1194)를 형성한다. 보울 부재(1160) 및 캐리어 플레이트(1164)에 벨로우즈 삽입부(1194)를 고정하기 위하여, 도시되지 않은 다수의 볼트가 하부 벨로우즈 플레이트(1198)의 림을 통해 캐리어 플레이트(1164)의 상부로 연장하며, 도시되지 않은 다수의 볼트는 보울 부재(1160)의 플레이트형 부분을 통해 상부 벨로우즈 플레이트(1196)의 나사형 구멍속으로 연장한다.
웨이퍼 헤드(110')의 제 2 적재 어셈블리(1184)는 캐리어 플레이트(684)내에형성된 바우(bow) 챔버(9102)를 포함한다. 바우 챔버(9102)는 얇고 일반적으로 평탄한 멤브레인(9104)을 가진 밀봉가능한 캐비티이며, 멤브레인(9104)에 대하여 연마 패드 재료 조각과 같은 적절한 재료(9106)가 배치되어 웨이퍼 표면에 대한 적절한 기판 수용 표면이 형성될 수 있다.
헤드(110')를 이용하여 기판을 연마하기 위하여, 평면 하부 표면(9104)을 커버링하는 재료에 대해 기판이 적재된다. 다음에 헤드(110')가 연마 패드(54)중 하나 위에 배치되며, 벨로우즈 캐비티(1192)가 그 자신을 확대시키기 위해 기압이 일정하게 유지되어 캐리어 플레이트(1164)가 연마 표면을 향해 바이어스되고 여기에 기판이 적재된다. 기판의 중심 및 모서리 사이의 압력을 변화시키기 위하여, 바우 챔버(9102)가 공기로 일정 기압이 유지된다. 정압(positive pressure)은 평면형 멤브레인(9104)을 바깥쪽으로 휘게 하고, 평면형 표면의 중심은 볼록 구조로 보다 바깥쪽으로 연장시켜 기판과 기판 중심 부근의 연마 표면 사이의 하중을 증가시킨다. 한편, 음압은 오목 구조를 형성하는 경향이 있다.
도 11을 참조로, 헤드(110')는 또한 바람직하게 리테이너 링(9110)을 포함하며, 이는 연마 중에 연마 표면과 접촉하여 연장되며 그렇지 않으면 헤드(110')의 내부 및 상향으로 수축될 수 있다. 헤드(110')에 대한 본 실시예에서, 리테이너 링(9110)은 재배치 가능한 접촉 링(9114)이 고정되어 있는 평면형 베이스(9112)를 가진 환형 부재이며, 또한 링은 외부로 연장되는 환형 리지부(9116)를 포함한다. 보울 부재(1160)는 내부로 연장되는 환형 리지(9118)를 포함하며, 이는 리테이너 링(9110)의 외부로 연장되는 환형 리지부(9116)의 표면 아래로 연장된다. 보울 부재(1160)의 리세스(1162)내에 리테이너 링(9110)을 고정시키기 위하여, 다수의 압축 스프링(9120)이 내부로 연장되는 리지(9118)와 외부로 연장되는 환형 리지부(9116) 밑면 사이로 연장된다. 이들 스프링은 보울 부재(1160)의 내부 및 외부로 리테이너 링(9110)을 연속적으로 바이어싱한다. 리테이너 링(9110)을 보울 부재(1160) 밖으로 하향 돌출시키기 위하여, 그리고 돌출 범위를 변화시키고 제어하기 위해서, 팽창성 토로이달 블래더(9122)는 리테이너 링(9110)의 전체 주변 부근에서 리테이너 링(9110)의 외부로 연장하는 리지(9116)의 상부 표면과 보울 부재(1160)의 중간 리지(9124)의 밑면 사이에서 연장된다. 도 8에 도시된 바와 같이, 블래더(9112)가 철수되는 경우, 타이어 튜브상의 스템과 유사한 구조를 통하여, 리테이너 링(9110)은 헤드(110')의 내부 및 상향 수축된다. 블래더(1188)가 포지티브로 일정 기압이 유지될 때, 리테이너 링(9110)의 바닥은 도 12에서 도시된 것처럼 헤드(110')로부터 하향 연장된다. 블래더(1188)는 고무 또는 금속의 2개의 환형 벨로우즈로 교체되어 이들 사이에 환형 캐비티를 형성할 수 있다.
도 11은 진공, 공기 압력 또는 유체를 헤드의 부재에 선택적으로 공급하기 위하여 헤드(110')의 여러 통로에 밀봉되고 구동 샤프트(1014)를 따라 연장되는 수직 통로(9130, 9132, 9134, 9136, 9138)를 추가로 도시한다. 수직 통로(9130)는 측면 통로(9140) 및 수직 통로(9142)를 통하여 블래더(9122)에 접속된다. 수직 통로(9132)는 측면 통로(9144)를 통하여 벨로우즈 삽입부(1182) 및 보유링(9110) 사이의 영역에 연결된다. 수직 통로(9134)는 통로(9146)를 통하여 벨로우즈 캐비티(1192)에 연결된다. 수직 통로(9136)는 통로(9148)를 통하여 바우챔버(9102)에 접속된다. 수직 통로(938)는 측면 통로(9150) 및 수직 통로(9152)를 통하여 멤브레인(9104)의 바닥 표면(9106)에서 포트(9154)에 연결되어 헤드(110')에 대하여 웨이퍼를 선택적으로 보유 및 배출시키도록 한다.
3C3 웨이퍼 헤드
도 12A는 3C3 헤드라고 불리는 웨이퍼 헤드(110")의 실시예를 도시하며, 이는 도 11 및 12의 3C 웨이퍼 헤드(110')의 변형이다. 3C3 웨이퍼 헤드(110")는 3개의 주요 어셈블리를 포함한다: 베이스 어셈블리(9202), 하우징 어셈블리(9204) 및 보유링 어셈블리(9206). 벨로우즈 시스템(9208)은 하우징 어셈블리(9204)와 베이스 및 보유링 어셈블리(9202, 9206) 사이에 배치된다. 이들 각각의 어셈블리를 이하 상세히 설명한다.
베이스 어셈블리(9202)는 웨이퍼에 하중을 인가한다; 즉, 이는 연마 패드(54)에 대하여 웨이퍼(40)를 밀어낸다. 베이스 어셈블리(9202)는 하우징 어셈블리(9204)에 대하여 수직으로 이동하여 연마 패드에 대하여 웨이퍼를 이동시킬 수 있다. 벨로우즈 시스템(9208)은 하우징 어셈블리(9204)와 베이스 어셈블리(9202)를 연결시켜 그 사이에 환형의 제 1 압력 챔버(9210)를 형성한다. 유체, 바람직하게 공기는 제 1 압력 챔버(9210) 안팎으로 펌핑되어 웨이퍼(40) 상의 하중을 제어한다. 공기가 제 1 압력 챔버(9210)로 펌핑될 때, 챔버내의 압력은 증가하고 베이스 어셈블리(9202)는 하향으로 밀린다.
또한 벨로우즈 시스템(9208)은 하우징 어셈블리(9204)와 보유링어셈블리(9206)를 연결시켜 환형 제 2 압력 챔버(9212)를 형성한다. 유체, 바람직하게 공기는 제 2 압력 챔버(9212) 안팎으로 펌핑되어 보유링 어셈블리(9206) 상의 하중을 제어한다.
이하에 설명되는 것처럼, 하우징 어셈블리(9204)는 구동 샤프트(1084)에 의하여 연결되고 회전된다. 하우징 어셈블리(9204)가 회전할 때, 벨로우즈 시스템(9208)은 하우징 어셈블리(9204)로부터 베이스 어셈블리(9202) 및 보유링 어셈블리(9206)로 토오크를 전달하여 이들이 회전시킨다.
베이스 어셈블리(9202)는 웨이퍼(40)와 접촉할 수 있는 거의 평탄한 하부 표면(9216)을 가진 디스크형 캐리어 베이스(9214)를 포함한다. 캐리어 베이스(9214)의 상부 표면은 일반적으로 평탄한 환형 영역(9222)에 의하여 둘러싸인 중심에 배치된 원형의 함몰부(9220)를 포함한다. 환형 영역(9222)은 그자체가 림(9224)에 의하여 둘러싸인다. 웨이퍼 헤드(110")의 중심축(9228)을 중심으로 균일하게 배열된 몇 개의 수직 도관(9226)은 캐리어 베이스(9214)를 통하여 하부 표면(9216)으로부터 중심 원형 함몰부(9220)로 연장된다.
일반적으로 평탄한 환형 플레이트(9230)가 캐리어 베이스(9214)의 환형 영역(9222) 위에 일차적으로 안착되며, 환형 플레이트(9230)의 외부 에지는 캐리어 베이스(9214)의 림(9224)에 접한다. 환형 플레이트(9230)의 내부(9232)는 중심 원형 함몰부(9220) 위로 돌출한다. 환형 플레이트(9230)는 볼트(9234)에 의하여 캐리어 베이스(9214)에 부착될 수 있으며, 상기 볼트는 환형 플레이트(9230)의 통로를 통하여 연장하며 캐리어 베이스(9214)의 나사형 리세스와 맞물린다.
스톱 실린더(9240)는 환형 플레이트(9230)의 중심 개구부(9238)에 장착된다. 스톱 실린더(9240)는 튜브형 몸체(9242), 방사상 외부로 돌출하는 하부 플랜지(9244), 및 방사상 외부로 돌출하는 상부 플랜지(9246)를 포함한다. 하부 플랜지(9244)는 환형 플레이트(9230)의 내부 에지에서 립(9248)과 맞물려 환형 플레이트(9230) 상부에서 스톱 실린더(9240)를 지지한다. 스톱 실린더(9240)의 하부 플랜지(9244), 캐리어 베이스(9210)의 원형 중심 함몰부(9216), 및 환형 플레이트(9230)의 내부(9232) 사이의 갭은 베이스 어셈블리(9202)에 중심 캐비티(9250)를 형성한다. 중심 채널(9252)은 튜브형 몸체(9242)를 통해 하부 플랜지(9244)로부터 상부 플랜지(9246)로 수직으로 연장되어 중심 캐비티(9250) 및 수직 도관(9226)에 유체의 액세스를 제공하게 된다..
하우징 어셈블리(9204)는 상부에 디스크 형상의 캐리어 하우징(9260)을 포함한다. 캐리어 하우징(9260)의 하부 표면은 실린더형 캐비티(9262)를 포함한다. 하부 표면은 또한 내부 환형 표면(9264) 및 하향 돌출하는 리지(9268)에 의하여 분리될 수 있는 외부 환형 표면(9266)을 갖는다. 캐리어 하우징(9260)의 상부 표면은 상향의 중간 환형 영역(9272) 위로 돌출하는 나사형 네크(9274)를 갖는 실린더형 허브(9270)를 포함한다. 완만하게 경사진 섹션(9276)은 중간 환형 영역(9272)을 둘러싸며, 리지(9278)는 경사진 섹션(9276)을 둘러싼다.
하우징 어셈블리(9204)는 또한 캐리어 하우징(9260) 아래에 환형 내부 플레이트(9280)와 환형 외부 플레이트(9282)를 포함한다. 내부 플레이트(9280)는 캐리어 하우징(9260)의 하부상의 내부 환형 표면(9264)에 볼트 세트(9284)에 의하여 장착되며, 외부 플레이트(9282)는 외부 환형 표면(9266)에 또다른 볼트 세트(9286)에 의하여 장착된다. 내부 플레이트(9280)의 외부 에지는 캐리어 하우징(9260)의 리지(9268)와 접한다. 내부 플레이트(9280)의 내부 에지는 실린더형 캐비티(9262) 하부로 수평으로 돌출하여 개구부(9292)를 둘러싸는는 내부를 향하는 립(9290)을 상기 립과 스톱 실린더(9240) 사이에 형성한다. 실린더형 캐비티(9262)의 상부는 천장(9294)에 의하여 폐쇄된다. 베이스 어셈블리(9202)의 스톱 실린더(9240)는 개구부(9292)를 통해 실린더형 캐비티(9262)로 연장되고 그의 상부 플랜지(9246)는 립(9290)위로 수평으로 돌출한다.
유체가 웨이퍼 헤드(110")의 안팎으로 흐르도록 하기 위하여 하우징 어셈블리(9204)에는 몇 개의 도관이 존재한다. 제 1 도관(9300)은 내부 플레이트(9280)의 하부 표면으로부터 캐리어 하우징(9260)을 통하여 (도시되지 않은 통로로) 허브(9270)의 상부로 연장된다. 제 2 도관(9302)은 캐리어 하우징(9260)을 통하여 실린더형 캐비티(9262)에서 허브(9270)의 상부로 연장된다. 제 3 도관(9304)은 캐리어 하우징(9260)을 통하여 외부 플레이트(9282)의 하부 표면에서 허브(9270)의 상부로 연장된다. O-링(9306)은 허브(9270)의 상부 및 하부 표면로 삽입되어 각각의 도관이 인접 부재에 대하여 밀봉되도록 각각의 도관을 감싼다.
웨이퍼 헤드(110")는 두 개의 도웰(dowel) 핀(도시안됨)을 도웰 핀 홀(도시안됨)에 배치하고 웨이퍼 헤드를 상승시킴으로써 구동 샤프트(1084)에 부착될 수 있어 도웰 핀이 구동 샤프트 플랜지(1084a)의 쌍으로된 도웰 핀 홀(도시안됨)에 고정되도록 한다. 이는 구동 샤프트(1084)의 각을 이룬 통로를 도관(9300, 9302,9304)과 정렬시킨다. 다음 나사형 주변 너트(1068)가 나사형 네크(9274) 상에 나사 조립되어 웨이퍼 헤드(110")가 구동 샤프트(1084)에 견고히 부착되게 할 수 있다.
벨로우즈 시스템(9208)은 베이스 어셈블리(9202) 및 하우징 어셈블리(9204) 사이의 공간에 동심형으로 배치된 몇 개의 실린더형 금속 벨로우즈를 포함한다. 각각의 벨로우즈는 수직으로 확장 수축할 수 있다. 내부 벨로우즈(9310)는 내부 플레이트(9280)의 내부 모서리를 스톱 실린더(9240)의 하부 플랜지(9244)와 연결시켜 상부 중심 캐비티(9262) 및 중심 채널(9252)을 제 1 압력 챔버(9210)로부터 밀봉시킨다. 펌프(도시되지 않음)는 제 2 도관(9302), 상부 중심 캐비티(9262), 중심 채널(9252) 및 하부 중심 캐비티(9250)를 경유하여 수직 도관(9226) 안팎으로 공기를 펌핑하여 웨이퍼 헤드(110")의 하부 표면에 대하여 웨이퍼를 진공 척킹 또는 압력배출시킬 수 있다.
외부 벨로우즈(9312)는 내부 플레이트(9280)의 외부 에지와 환형 플레이트(9230)를 연결시킨다. 동심의 내부 벨로우즈(9310) 및 외부 벨로우즈(9312) 사이의 링형상 공간은 제 1 압력 챔버(9210)를 형성한다. 펌프(도시되지 않음)는 제 1도관(9300)을 경유하여 제 1 압력 챔버(9210) 안팎으로 공기를 펌핑하여 제 1 압력 챔버(9210)의 압력을 제어하여 웨이퍼 헤드(110")가 웨이퍼(40) 상에 압력을 가하는 하중을 제어하도록 한다.
제 1 압력 챔버(9210)가 연장되고 베이스 어셈블리(9202)가 하우징 어셈블리(9204)에 대하여 하향 이동할 때, 금속 벨로우즈(9310, 9312)는 환형 플레이트(9230)와 내부 플레이트(9280) 사이의 증가된 간격을 수용하도록 뻗는다. 그러나, 스톱 실린더(9240)의 플랜지(9246)는 하우징 어셈블리(9204)의 립(9290)에 대하여 캐칭되어(catch) 베이스 어셈블리의 하향 운동을 정지시키고 벨로우즈가 과도하게 연장되어 손상당하는 것을 방지한다.
보유링 어셈블리(9206)는 내부로 향하는 수평암(9322) 및 상향의 수직암(9324)을 가진 L형상 링 지지체(9320)를 포함한다. 보조링(backing ring)(9330)이 볼트(9332)에 의하여 수평암(9322) 상부에 부착된다. 보조링(9330)의 외부(9333)는 L형상 링 지지체(9320)의 수직암(9324)에 접하며, 보조링(9330)의 내부(9334)는 캐리어 베이스(9214)의 림(9224) 위로 수평 돌출할 수 있다. 가요성 밀봉부(9335)는 보유링 어셈블리(9206)와 캐리어 베이스(9214)를 연결하여 웨이퍼 헤드를 슬러리로부터 보호한다. 가요성 밀봉부(9335)의 외부 에지는 보조링(9330)과 L형상 링 지지체(9320)의 수평암(9322) 사이에 끼이게 되는 반면, 가요성 밀봉부(9335)의 내부 에지는 캐리어 베이스(9214)에 접착제로 부착된다. 수직으로 연장되는 플랜지(9336)는 L형상 링 지지체(9320)의 수직암(9214)의 외부에 부착되며 웨이퍼 헤드(110")의 외벽을 형성한다. 플랜지(9336)는 상향 연장되어 캐리어 하우징(9260)에 거의 접촉된다. 가요성 밀봉부(9338)는 캐리어 하우징(9260)의 리지(9278) 위에 안착되며 수직으로 연장되는 플랜지(9336) 위로 연장되어 웨이퍼 헤드(110")가 슬러리에 의하여 오염되는 것을 방지한다. 보유링(9240)은 도시되지 않은 리세스 볼트에 의하여 L형상 링 지지체(9320)의 수평암(822)의 하부 표면에 장착된다. 보유링(9340)은 내부의 하향 돌출하는부분(9342)을 포함하며, 상기 부분은 연마 패드(54)와 접촉하며 웨이퍼(40)가 베이스 어셈블리(9202) 아래로부터 미끄러지는 것을 방지한다.
제 3 실린더형 벨로우즈(9314)는 하우징 어셈블리(9204)의 외부 플레이트(9282)의 내부 에지와 보조링(9330)의 내부(9333)를 연결한다. 제 4 실린더형 벨로우즈(9316)는 외부 플레이트(9282)의 외부 에지와 보조링(9330)의 외부(9333)를 연결한다. 동심의 제 3 및 4 벨로우즈(9314, 9316) 사이의 링형상 공간은 제 2 압력 챔버(9212)를 형성한다. 펌프(도시되지 않음)는 제 3 도관(9304)을 경유하여 제 2 압력 챔버(9212) 안팎으로 공기를 펌핑하여 제 2 압력 챔버(9212)의 압력을 조절하여 보유링(9340)상의 하향 압력을 조절한다. 제 1 압력 챔버(9210) 및 제 2 압력 챔버(9212)는 독립적으로 압력이 유지되어, 베이스 어셈블리 및 보유링은 수직방향으로 독립적으로 작동될 수 있다.
웨이퍼 헤드 장착
특히 도 9도의 다이아몬드 웨이퍼 헤드(110)에 대한 10의 확대 단면도에서, 대부분의 설명은 도 11의 3C 웨이퍼 헤드(110') 및 도 12A의 3C3 웨이퍼 헤드(110")에 적용될 수 있지만, 웨이퍼를 연마하기 위한 수직 연마 힘은 보울 및 플로터 부재(1110, 1112) 사이의 유체가 새지 않는 캐비티(1132)로 향한 가압된 유체에 의하여 제공된다. 공기 또는 물일 수 있는 가압된 유체는, 헤드 구동 샤프트(1014)에서 제 1 축 채널(1040)(4개의 채널중 하나)을 통하여 웨이퍼 헤드(110)에 공급된다. 로터리 모터(1012) 상의 샤프트의 상부에서 로터리커플링(1042)(이하에 설명됨)은 4개의 유체 라인을 회전 샤프트(1014)의 샤프트 채널에 결합된다. 헤드 구동 샤프트(1014)의 샤프트 플랜지(1046)에 형성된 제 1 각을 이룬 통로(1044)는 하향의 보울 부재(1110)의 상부 허부(1150)에서 수직 통로(1048)와 제 1샤프트 채널(1040)을 연결시킨다. 수직 통로(1048)는 보울 부재(1110)와 플로터 부재(110, 1112) 사이의 유체가 새지 않는 캐비티로 하향 연장되어 내부 압력을 제어한다. 유사하게 각을 이룬 통로(1052) 및 수직 통로(1054)는 제 2 샤프트 채널(1056)과 웨이퍼 헤드(110)의 내부를 연결하고, 필요한 경우 유사한 부재가 나머지 2개의 샤프트 채널에 제공된다. 플러그(1058)는 보어 형상의 샤프트 채널(1040, 1056)의 하부에 배치되어 이들을 밀봉한다. 밀봉은 샤프트 플랜지(1046)에 있는 각각의 각을 이룬 통로(1044, 1052)와 보울 부재(1110)에 있는 수직 통로(1044, 1052) 사이에 형성되어 내부에 포함된 유체를 한정한다.
구동 샤프트(1014) 및 웨이퍼 헤드(110)가 함께 배치되는 경우, 2개의 도웰 핀(1060)이 보울 허브(1050) 축 플랜지(1046)의 쌍을 이룬 도웰 핀(1062, 1064)에 배치되어 샤프트(1014) 및 보울 부재(1110), 특히 그들의 유체 통로를 원형으로 정렬시킨다. 보울 허브(1050)의 주변부(1066)는 나사결합되며, 주변부 너트(1068)가 그 위에 나사결합된다. 주변 너트(1068)는 샤프트 플랜지(1046)의 외부 직경보다 작으며 구동 샤프트(1014)의 플랜지(1046)의 상부 위에 고정되는 립(1070)을 가지고 있어 웨이퍼 헤드(110)의 보울 부재(1110)에 구동 샤프트(1014)를 조이고 보유하도록 한다.
별도의 유체 접속부가 몇 가지 목적을 위하여 이용될 수 있다. 예를 들어, 통로는: (1) 진공 또는 가압 가스 소스를 웨이퍼가 웨이퍼 헤드(110)와 접촉하여 이동되는 리세스(1115)로 보내기 위하여(이러한 구성은 도 9의 우측 구성이며, 이는 유체가 새지 않는 캐비티(1132)에서 수직 통로(1074)까지의 슬라이딩 밀봉부(1072)를 요구함); (2) 보울 부재(1110)로부터 웨이퍼 헤드(110)의 플로터 부재(1112)의 수직적 연장 및 수축을 제어하기 위하여 진공 또는 가압 가스 소스를 보울 부재(1110)로 보내기 위하여(이는 헤드(110, 110')의 구성임); (3) 웨이퍼 온도를 제어하도록 웨이퍼 헤드(110)를 통하여 냉각수를 순환시키기 위하여 두 개의 통로(공급 및 회수)를 이용하기 위하여; 그리고 (4) 로터리 커플링(1042)이 허용되는 경우, 예를 들어, 웨이퍼 헤드(110)의 온도를 측정하기 위하여 채널을 통하여 전기 라인을 보내기 위하여 이용될 수 있다.
웨이퍼 헤드(110)의 하부 플로터 부재(1112)는 부재(1110, 1112) 사이의 밀봉된 캐비티(1132)에 공급된 유체 압력을 근거로 상부에 고정된 보울 부재(1110)에 대하여 수직으로 이동한다. 플로터 부재(1112)와 보울 부재(1110) 사이의 회전 밀봉부(1034)의 뒤로 공급된 공기 압력은 플로터 부재(1112)가 하강하도록 하여 플로터 부재(1112)의 리세스(1115)에 장착된 웨이퍼(40)를 연마하기 위하여 연마 패드(54)와 접촉한다. 유사하게 다음 연마 스테이션 또는 이송 스테이션으로 이동하기 위하여 웨이퍼(40)를 상승시킬 것이 요구될 때, 진공은 밀봉된 캐비티(1132)에 공급되어 웨이퍼(40)를 보유하는 플로터 부재(1112)를 상승시킨다.
도시된 바와 같이, 보울 부재(1110)내의 플로터 부재(1112)의 스트로크는 약 0.2인치(5mm)로 매우 작고, 이는 웨이퍼 헤드 시스템(100), 카루우젤(90) 또는 연마 스테이션(50)의 수직 이동뿐이다. 이러한 짧은 스트로크는 웨이퍼 헤드의 하단부내에서 쉽게 조절될 수 있으며 공기작용에 의해 달성될 수 있다. 짧은 스트로크는 설계를 단순화시키고 본 발명의 연마 시스템의 제조 및 작업 비용을 감소시키는 주요 요인이다.
헤드 밀봉 플레이트
웨이퍼 헤드 시스템의 전체 설계는 웨이퍼 헤드 시스템이 카루우젤 지지 플레이트(906)의 슬롯(910)을 통과하고 슬롯(910)내에서 방사상으로 왕복할 것이 요구된다. 화학 기계 연마는 습기 및 입자에 강한 작업이다. 웨이퍼 헤드(110) 및 관련 부재는 헤드(110)의 내부로부터 연마 환경을 배제시키기 위해 주의 깊게 설계되어야 한다. 선형 베어링 레일 어셈블리(912) 및 모터(1012)와 카루우젤 지지 플레이트(906) 상부의 다른 장치는 습기 및 그릿에 민감하며, 웨이퍼 헤드 어셈블리(100)가 카루우젤 지지 플레이트(906)를 통과하는 포인트에 대하여 연마 환경이 투과되는 것을 방지하는 밀봉부를 설계하는 것이 바람직하다. 이하에 기술되는 분무(splash) 플레이트 어셈블리는 상기 기능을 수행한다.
도 7의 투시도에서 도시되는 것처럼, 분무 플레이트 어셈블리(940)는 카루우젤 지지 플레이트(906)의 하부에 부착된다. 분무 플레이트 어셈블리(940)는, 연마제이며 화학적으로 활성화되며 슬러리 및/또는 알카린 잔류물의 얇은 층 부근의 모든 것을 코딩하는 연마 슬러리가 다중 헤드 카루우젤 어셈블리(90)의 상부로 상승하는 것을 방지하고 바람직하지 않은 효과(예를 들어, 노출된 슬라이드 및 회전 금속 표면의 전기 단락 및 오염)가 발생하는 것을 방지한다. 분무 플레이트 어셈블리(940)는 일련의 이동 슬롯 커버를 포함하며, 상기 커버는 웨이퍼 헤드 시스템(100)의 방사상 왕복 범위내의 슬롯 분무 가드 밀폐를 제공한다. 밀폐는 슬롯의 왕복 길이보다 실제적으로 긴 수평한 돌출 없이 슬롯에 분무 차폐를 제공하는 수평 돌출부에 의하여 달성된다.
카루우젤 지지 플레이트(906)의 밑면에 부착된 분무 플레이트 어셈블리(940)는 중심 차폐판(942)을 포함하며, 상기 플레이트는 중심 포스트(902)에 조립되기 전에 카루우젤의 하부 측면에 나사조립될 수 있다. 카루우젤 지지 플레이트(906)는 또한 4개의 외부 차폐판(944)을 포함하며, 상기 외부 차폐판은 또한 웨이퍼 헤드 시스템(100)이 카루우젤 지지 플레이트(906)에 조립될 때 중심 차폐판(942)에 접한 밀봉 병치부에서 카루우젤 지지 플레이트(906)의 하부와 나사조립된다. 중심 및 외부 차폐판(942, 944)은 사각형의 둥글게 각진 가늘고긴 리세스(946)를 포함하며, 상기 리세스는 각각의 슬롯의 방사상 축의 중심라인으로부터 오프셋된다. 가늘고긴, 둥글게 각진 분무 슬롯(948)은 중심 및 외부 차폐판(942, 944)의 결합부에 형성된다. 도 10의 단면에 도시된 것처럼, 중심 및 외부 차폐판은 분무 슬롯(948)을 면하여 둘러싸는 상향 연장되는 플렌지(950)로 형성된다. 도 14A 내지 도 14C의 평면도에 도시된 것처럼, 분무 슬롯(948)의 선형축은 일반적으로 카루우젤 지지 플레이트(906)에 대응하는 슬롯(910)의 축을 따른다. 분무 슬롯(948)의 둥근 부분은 이를 통과하는 비회전 구동 샤프트 하우징(1015)의 외부 직경보다 큰 내부 직경을 가지며, 선형부분은 각각의 웨이퍼 헤드 시스템(100)의 방사상 왕복 범위의 간격과 매칭하는 길이를 가진다.
도 7에 도시된 바와 같이, D형상 분무 플로워(952)는 하나의 볼록한 커브 에지 및 서로 부드럽게 결합된 제 2의 직선 또는 약간 굽은 에지를 가진다. 분무 플로워(952)는 구부러진 에지 근처에 배치된 원형 홀(954)을 포함한다. 구동 샤프트 하우징(1015)은 간략히 도시된 것처럼 홀(954)에 회전가능하게 고정되어, 웨이퍼 헤드(110) 및 부착된 구동 샤프트 하우징(1015)이 카루우젤 지지 플레이트(906)의 슬롯(910)을 따라 왕복할 때, D형상 분무 플로워(952)가 회전하도록 한다. 도 10에 도시된 것처럼, 각각의 D형상 플레이트(952)는 외부 주변부의 전체를 따라 하향의 플랜지(956)를 가진다. 중심 및 외부 분무 플레이트(942, 944) 및 분무 플로워(952)의 플랜지(950, 956)는 동일 길이를 가지며 각각 정지 및 이동 차폐부분의 에지에서 상부 및 하부로 향한다. 조립될 때, 플랜지(950, 956) 및 맞은편 부분(942, 944, 952)의 평탄 하부는 약 0.064인치(2.15mm)의 갭에 의해 분리된다. 따라서 플랜지(950, 956)는 일반적으로 비틀린 복잡 경로를 형성하여 슬롯(910)으로 분무된 슬러리가 슬롯(910)을 통하여 카루우젤 지지 플레이트(906)로부터 캐리어 어셈블리 커버(908) 내부에 배치된 모터 및 베어링을 통과하는 것을 방지한다.
도 10에 도시된 바와 같이, 각각의 D형상 분무 플레이트(952)는 샤프트 하우징(1015)의 외측면 상에 형성된 분무 플랜지(960)에 회전하도록 고정된다. 주변 스커트(962)는 구동 샤프트 하우징(1015)의 하단부에 고정되며 구동 샤프트 하우징(1015)의 분무 플랜지(960)에 대하여 분무 차폐 베어링(968)의 내부 레이스에 압력을 가하는 리지(966)를 포함한다. 베어링(968)의 외부 레이스는 D형상 분무 플로워(952)의 내부로 연장되는 플랜지(970)에 의해 하부로부터 그리고 2개 이상의 부분의 컬러 어셈블리(972)에 의해 그리고 베어링(968)을 클램핑하는 스크류(974)에 의해 상부로부터 분무 플로워(952)의 플랜지(970)까지 견고하게 클램핑된다. 컬러(972)는 중첩되지만 구동 축 하우징(1015)상의 분무 플랜지(960)의 상부와 접촉하지는 않는다.
D형상 분무 플로워(952)는 견고하게 보유되도록 베어링(968)에 부착되지만, 샤프트 하우징(1015)에 대하여 자유롭게 회전한다. D형상 분무 플로워(952)는 그의 상부에 고정된 수직(피봇) 핀(976)을 가진다. 이러한 수직 핀(976)은 카루우젤 지지 플레이트(906)의 하부에 형성된 수평 가이드 그루브(980)내에서 가이드되는 상단부에 부착된 롤러 베어링(978)을 가진다. 도 8 및 14A 내지 14C에 도시된 바와 같이, 피봇 핀(976)은 원형 홀(954) 및 D형상 분무 플로워(952)의 평탄 에지 사이에서 분무 플로워(952)의 중간 라인에 배치된다. 롤러 베어링(978)이 외측면은 카루우젤 지지 플레이트(906)의 하부상의 수평 가이드 그루브(980)에 정박되며, 이는 카루우젤 지지 플레이트(906)의 방사상 슬롯(910)으로 연장되지만, 이로부터 각을 가지고 오프셋된다. 바람직하게, 가이드 그루브(980)는 방사 슬롯(910)과 수직이다.
샤프트(1014) 및 샤프트 하우징(1015)이 웨이퍼 헤드(110)를 이동시키기 위하여 카루우젤 지지 플레이트(906)에서 방사상으로 왕복할 때, 분무 플로워(952)의 중심 홀(954)은 샤프트 하우징(1015)을 따른다. 또한 이러한 이동은 분무플로워(952)상의 피봇 핀(976)을 이동시키며, 상기 플로워의 이동 방향은 플로워가 카루우젤 지지 플레이트(906)의 가이드 그루브(980)를 따를 때 수직방향으로 제한된다. 분무 플로워(952)는 분무 플로워가 샤프트 하우징(1015) 및 피봇 핀(976) 사이에 정렬되어 보유될 때 회전된다. 샤프트 하우징(1015)의 왕복 운동은 D형상 분무 플로워(952)에서 대응하는 왕복 및 부분 궤도 운동을 발생시킨다.
D형상 차폐판(688)은 도 14A, 14B 및 14C의 평면도에 도시된다. 웨이퍼 헤드(110)가 카루우젤 지지 플레이트(906)의 슬롯(910)을 따라 가장 안쪽의 위치에서 가장 바깥쪽의 위치로 이동할 때, 즉 구동 샤프트 하우징(1015)이 슬롯(910)을 따라 이동할 때, 가이드 그루브(980)는 피봇 핀이 슬롯(910)과 수직으로 이동하도록 하여 분무 플로워(952)가 슬롯 방향을 따를 때 분무 플로워(952)가 구동 샤프트 하우징(1015)을 선회하도록 한다.
D형상 분무 플로워(952)는 두 개의 포인트, 구동 샤프트 하우징(1015)의 중심축과 수직 피봇 핀(978)의 중심축 사이에서 제한될 때, 상기 플로워는 선회한다. 구동 샤프트 하우징(1015)이 왕복할 때, D형상 분무 플로워는 구동 샤프트 하우징(1015)과 함께 이동한다. 또한 피봇 핀(976)은 구동 샤프트 하우징(1015)의 영향하에 이동하지만, 구동 샤프트 하우징(1015)처럼 방사상 슬롯(910)에서 방사상으로 이동하는 대신, 카루우젤 지지 플레이트(906)의 수직 가이드 그루브(980)로 이동한다. 분무 플로워(952)가 볼 베어링(968)을 통하여 구동 샤프트 하우징(1015)에 연결되고 분무 플로워(952)의 피봇 핀(976)이 롤러 베어링(978)을 통하여 카루우젤 지지 플레이트(906)의 가이드 그루브(964)에 연결되기 때문에, 연마되는 웨이퍼에 떨어져서 웨이퍼에 손상을 주는 금속 입자를 발생시킬 수 있는 조각 사이에 슬라이드 접촉이 발생하지 않는다. 모든 위치에서, 슬롯(910)은 선회하는 분무 플로워(952)에 의하여 커버되고 슬롯을 통한 슬러리의 직접 분무가 방지된다. 그럼에도 불구하고, 분무 플로워(952)는 구동 샤프트 하우징(1015)을 중심으로 선회하지 않는 경우보다 다소 작은 동작 간격을 가진다.
분무 플레이트 어셈블리(940)의 이동 및 특히 D형상 분무 플로워(952)의 이동은 카루우젤 지지 플레이트(906)의 슬롯(910) 축을 따라 취한 도 15A, 15B 및 15C의 단면도 및 도 17a, 17B 및 17C의 3개 투시도에 도시된다. 이들 3세트의 도면은 각각 도 14a, 14B 및 14C의 평면도와 상응한다. 각각의 도면에서, 분무 플로워(952) 상부의 피봇 핀(976)은 별도로 도시되지 않은 카루우젤 지지 플레이트(906)의 가이드 그루브(980)와 맞물려 도시된다.
도 10A, 10B 및 10C는 구동 샤프트 하우징(1015)이 도 16A의 방사상 가장 안쪽 위치로부터, 도 16B의 중간 위치로, 그리고 도 16C의 방사상 가장 바깥쪽 위치로 이동함에 따라, 안쪽에서 바깥쪽 위치로 그리고 다시 가이드 그루브(980) 내의 안쪽 위치로 이동하는 피봇 핀(976)을 나타낸다. 특히, 도 16A, 16B 및 16C는 분무 플로워(952)가, 연마 영역으로부터 카루우젤 지지 플레이트(906)의 후면까지의 기본 경로인 중심 및 외부 분무 플레이트(942, 944)에 형성된 폐쇄된 슬롯(980)을 항상 커버하는 것을 도시한다. 도 14A, 14B 및 14C의 평면도 및 17A, 17B 및 17C의 투시도는 폐쇄된 슬롯(948)이 중심 및 외부 분무 플레이트(942, 944)를 따라 따라서 카루우젤 지지 플레이트(906)의 방사상 슬롯(910)을 따라 최소의 방사 공간을취하는 메커니즘에 의하여 커버되는 것을 도시한다. 따라서, 메커니즘의 사이즈는 연마 시스템의 이동 경로의 감소에 따라 감소된다.
측면도의 세트는 중심 및 외부 분무 플레이트(942, 944)로부터 상향 연장되는 플랜지(950) 및 분무 플로워(952)로부터 하향 연장되는 플랜지(956)가 카루우젤 지지 플레이트(906)의 후방으로 관통하려는 습기 및 입자에 대하여 미로 경로를 항상 형성한다는 것을 도시한다.
로터리 유니온(rotary uniion)
도 9의 로터리 유니온(1042)은 상업적으로 이용가능한 유니트에 의하여 이루어질 수 있다. 그러나, 바람직하고 신규한 로터리 유니온(2100)은 도 13의 단면도에 도시된다. 웨이퍼 헤드 모터(1012) 상부의 스핀들 샤프트(1014)는 4개의 수직 채널을 포함하는데, 이중 2개의 채널(1040, 1056)만을 도시한다. 로터리 모터(1012) 위의 상단부는, 도웰(2118)에 의하여 스핀들(2114)의 하단부에서 스핀들 샤프트(1014)가 정렬되고 리세스(2120)에서 도시되지 않은 O링에 의하여 밀봉되는 4개의 유사한 수직 통로(2116)를 가진 스핀들(2114)과 연결된다. 고속 분리 클램프(2122)는 스핀들 샤프트(1014)와 스핀들(2114)을 연결시킨다. 스핀들 샤프트(1014) 및 스핀들(2114)은 웨이퍼 헤드(110)와 회전한다. 한편, 항회전(anti-rotation) 플레이트(2134)는 웨이퍼 헤드 모터(1012)의 캐이싱과 같은 어셈블리상의 일부 포인트에 고정된다.
로터리 어셈블리(2140)는 기본적으로 각각 환형 로터리 부재(2143a, 2143b,2143c, 2143d)로 구성된 4개의 수직으로 적재되고 분리가능한 섹션(2142a, 2142b, 2142c, 2142d)을 포함한다. 각각의 로터리 부재(2143a 내지 2143c)는 유체 라인 또는 다른 라인을 위한 착탈식 커넥터의 수나사 단부와 나사연결되는 탭핑 홀(2144)을 포함한다. 이러한 설계는 방사 스프링 하중 접촉부가 스핀들(2114) 위에서 회전하는 원주 정류자(commutator)에서 슬라이드되는 스핀들(2114)을 통하여 하향으로 하나 이상의 전기 접속부를 제공하는 섹션과 쉽게 통합된다. 각각의 탭핑 홀(2144)은 회전 스핀들(2114)을 둘러싸는 환형 다지관(2148)에서 방사상 통로(2146)에 의하여 연결된다. 섹션(2142a-2142d) 및 스핀들(1014) 사이의 로터리 밀봉은 플랜지 샤프트 밀봉부(2150)에 의해 달성된다. 각각의 플랜지 샤프트 밀봉부(2150)는 환형 탄성 U형상 부재(2150)이며, U형상 부재의 하부는 환형 다지관(2148)의 중심으로부터 멀리 배향되며 로터리 부재(2143)의 각각의 하나에 대하여 스핀들(2114)의 측면을 밀봉하는 평탄 측면을 가진다. 각각의 립 밀봉부(2150)는 방사상 바깥쪽으로 연장되는 테일(2149)을 가진다. 각각의 립 밀봉부(2150)의 외부면은 적절히 경화된 탄성 재료로 구성된다. 각각의 립 밀봉부(2150)는 U형상 캐비티내에 방사상 가장 안쪽 위치를 따라 연결되며 U형상 캐비티의 하부를 향하는 내부벽 아래로 연장되고 외부벽을 따라 상향 연장되는 핑거를 갖는 환형 스프링 부재를 U형상 캐비티내에 포함하여, 스핀들(2114)을 중심으로 상대적으로 회전하는 로터리 부재(2143)를 2개의 벽이 별도로 밀봉되게 한다. 예를 들어 플랜짓(flanglet) 밀봉부는 바리시일(Variseal)로부터 시판되는 모델 W30LS-211-W42가 있다.
2개의 립 밀봉부(2150)는 환형 다지관(2148)의 측면에 고정된다. 그러나, 이러한 립 밀봉부(2150)는 이들의 내부 측면이 U형상 캐비티의 하부 외측면 압력에 대해 정방을 갖는 경우에만 최상으로 작동한다. 그러나, 4개의 라인중 적어도 중간의 2개는 음압, 즉 적어도 일부 시간 동안 공급된 대기압보다 작은 압력을 가지는 것이 바람직하다. 따라서, 진공 라인의 착탈식 커넥터의 수접속부는 하나의 중심 로터리 부재(2142b)의 탭핑 진공 홀(2151)에 나사결합된다. 진공 홀(2151)의 하부는 로터리 부재(2142b, 2142c)의 중심에 구멍난 수직 진공 통로(2152)와 연결된다. 수직 진공 통로(2152)는 4개의 로터리 부재(2142) 사이에 형성된 3개의 내부 분할 다지관(2148)과 연결된다. 스테인레스 강철 워셔(2156)는 로터리 부재(2142)의 리세스내에 고정되며 회전 스핀들(2130)과 거의 접촉하지 않을 때 까지 각각의 내부 분할 다지관(2148)의 내부를 채우고 립 밀봉부(2150)의 후방을 지지한다. 워셔(2156)는 립 밀봉부(2150)의 테일(2149)을 로터리 부재(2142)에 대하여 트랩핑한다. 별도의 부재는 상부 및 하부에서 유사한 트랩핑을 수행한다. 각각의 워셔(2156)는 각각의 인접 립 밀봉부(2150)의 후방에 진공을 분배하기 위하여 각각의 기본 표면에 형성된 4개의 방사상 그루브를 가진다. 그 결과, 탭핑 홀(2114)을 통해 각각의 다지관(2148)에 압력이 인가되더라도, 항상 립 밀봉부(2150)의 내부에서 외부까지 정압이 유지된다. 주목할 것은 상부 섹션(2042d) 및 하부 섹션 (2042a)이 음압에 대해서는 설계되지 않는다는 것이다. 따라서, 최상부 및 최하부의 립 밀봉부(2150)에는 후면 진공이 제공되지 않는다.
도 13에서 도시된 바와 같이, 각각의 정지 로터리 부재(2143a 내지 2143d)는상기 섹션에 대한 적정 높이로 스핀들(2114)에서 방사상으로 구멍난 측면 통로(2160)를 통하여 회전 스핀들(2114)의 각각의 수직 통로(2116)와 연결된다. 각각의 측면 통로(2160)는 그의 관련된 환형 다지관(2148)에 연속적으로 연결된다.
상부 플랜지(2180)는 최상부 로터리 부재(2143d) 위에 배치되며 4개의 볼트(2182)는 상부 3개의 로터리 부재(2143b, 2143c, 2143d)의 관통홀(2162)과 정렬된 각각의 관통홀(2184)을 통과하며 하부 로터리 부재(2143a)의 탭핑 홀(2164) 속으로 나사결합된다. O-링(2166)은 인접한 섹션 사이에 배치되어 유체를 밀봉시킨다.
하부 로터리 부재(2143a)는 공간상으로 고정된 항회전 플레이트(2143)에 로터리 유니온(2100)을 고정하는 각각의 볼트를 위한 적어도 하나의 탭핑 홀(2168)을 포함한다. 또한 항회전 플레이트(2143)의 리지(2176)에 대하여 하부 링베어링(2170)의 외부 레이스를 압박하는 컬러(2172)용 내부, 하부 리세스를 포함한다. 하부 링베어링(2170)의 내부 레이스는 스핀들(2114)의 리지(2178)에 의하여 하부 측면에서는 보유되지만 그의 상단부에서는 플로팅된다.
로터리 어셈블리(2140)는 스핀들(2114) 위에 배치되며, 하부 및 상부 링베어링(2148)에서 하부 링 베어링(2170)은 스핀들(2114)의 상부 리지 및 상부 플랜지(2180) 위에 배치된다. 상부 링 베어링(2186)의 외부 레이스는 나사(2188)에 의하여 상부 플랜지(2180)에 고정된 베어링 플랜지(2187)에 의하여 보유된다. 상부 링베어링(2186)의 내부 레이스는 나사(2194)에 의하여 스핀들(2114)의 상부에 고정된 상부 플랜지(2192)에 의하여 하향 압박된 웨이브 스프링(2190)에 의하여 압박된 O-링(2189)에 의하여 스핀들(2114)의 리지를 향해 바이어스된다.
이러한 로터리 커플링은 특히 구동 샤프트 상부의 전체 높이가 10.4cm(4.08인치)일 때, 즉 섹션당 2.6cm일 때 바람직하다. 또한 간단한 설계는 측방 치수 및 전체 중량을 감소시킨다. 모든 이러한 요인은 연마 장치 및 특정 웨이퍼 헤드 시스템이 소형화하도록 한다.
물론, 본 발명의 로터리 유니온은 4개의 섹션에 제한되는 것은 아니다. 이는 단일 로터리 피드스루(feedthrough)에 이용될 수 있지만, 2개 이상의 로터리 피드스루에 대하여 가장 바람직하다.
웨이퍼 헤드 어셈블리의 어셈블리
웨이퍼 헤드 시스템(100)의 주요부품은 이미 설명했다. 여기서는 주요부품을 다른 부품에 연결하고 필요시 적정 밀봉 및 베어링 표면을 제공하는데 필요한 몇 가지 최종 부품을 설명한다.
웨이퍼 헤드 시스템(100)은 도 9의 단면도 및 도 10의 확대 단면도에 도시된다. 구동 샤프트 하우징(1015)은 하부 링베어링(1080) 및 상부 링베어링(1082) 세트 쌍에 의하여 샤프트(1014)를 보유한다. 하부 링베어링(1080)의 외부 레이스는 나사(1088) 세트에 의하여 구동 샤프트 하우징(1015)에 대하여 조여진 톱니모양의 리테이너 림(1086)에 의해 구동 샤프트 하우징(1015)의 하단부에서 내부 카운터보어(1084)에 보유된다. 리테이너 림(1086)은 또한 분무 베어링(968)에 대하여 주변 스커트(962)의 하강부(964)를 지지하고 클램핑하여, 분무 베어링(968)의 내부 레이스가 구동 샤프트 하우징(1015)에 고정되도록 한다. 베어링으로부터 컬러의 오프셋은 소량의 탄성 컴플라이언스를 제공하여 제조에 따른 차를 허용한다.
하부 링베어링(1080)의 내부 레이스는 스핀들 샤프트(1014)의 하부 근처의 쇼울더(1090) 상에 장착된다. 샤프트 부싱(1092)은 스핀들 샤프트(1014) 및 구동샤프트 하우징(1015) 사이에 느슨하게 고정되고 하부 및 상부 링베어링(1080, 1082)의 내부 레이스를 클램핑하고 분리하는 컬러로서 작용하는 한편 외부 레이스는 구동 샤프트 하우징(1015)에 의하여 보유된다. 한쌍의 보유 너트(1094)는 스핀들 샤프트(1014)의 상부에 나사결합되어 링베어링(1080, 1082)의 내부 레이스를 스핀들 샤프트(1014)에 보유하고 록킹되게 한다. 상부 링베어링(1082)의 외부 레이스는 너트(1094)의 조임이 샤프트 하우징(1015)에 베어링(1080, 1082)을 클램핑할 때, 샤프트 하우징(1015)의 상부에 록킹된다. 스핀들 샤프트(1014)는 웨이퍼 회전 모터(1012)의 중공 샤프트를 상향으로 통과한다. 모터(1012) 상부의 스핀들 샤프트(1014)의 상단부는 모터(1012)의 로터에 부착된 클램프 컬러에 의하여 보유된다. 모터 브라킷(1096)은 모터(1012) 아래에 구동 샤프트 하우징(1015)의 상단부와 연결되어 샤프트 하우징(1015) 상의 모터(1012)를 지지하며, 모터 브라킷(1096)에 따른 립(1098)은 구동 샤프트 하우징(1015)에 모터 브라킷(1096)을 배치한다.
웨이퍼 헤드 시스템(100)은 외부 분무 플레이트(944) 및 느슨한 중심 분무 플레이트(942)를 제외하고 카루우젤(90)로부터 제거되면서 조립될 수 있어, 카루우젤 지지 플레이트(906)의 슬롯(910) 속으로 거의 완벽한 어셈블리가 삽입된다. 구동 샤프트 하우징(1015)의 상부 플랜지(1100)는 슬라이드(908) 암의 내측면 상에형성된 리지(1102) 및 상부 플랜지(1100)를 조이는 볼트(1104) 세트에 고정되어 구동 샤프트 하우징(1015)을 슬라이드(908)에 고정시킨다. 웨이퍼 헤드 시스템(100)와 카루우젤(90) 사이의 이러한 간단한 매칭은 웨이퍼 헤드가 교체되는 것이 요구될 때 정지시간을 상당히 감소시킨다.
테이블 상부 배치
도 18은 기계 베이스(22)의 테이블 상부(23)의 상부 평면도(중심 포스트(802)를 제외함)를 도시한다. 전술한 바와 같이, 3개의 연마 스테이션(50a, 50b, 50c) 및 이송 스테이션(70)은 기계 베이스의 상부에 사각형 구조로 배치된다. 각각의 연마 스테이션은 연마 패드(54)가 덮어진 각각의 회전가능한 가압판(52)을 포함하며, 여기서 상이한 연마 스테이션에 대한 연마 패드(54)는 상이한 특성을 가질 수 있다. 제 1가늘고긴 중간 세척 스테이션(80a)은 제 1 2개의 연마 스테이션(50a, 50b) 사이에 배치되며, 제 2 중간 세척 스테이션(80b)은 제 2 2개의 연마 스테이션(50b, 50c) 사이에 배치된다. 제 3 세척 스테이션(80c)은 제 3 연마 스테이션(50) 및 이송 스테이션(70) 사이에 배치되며, 선택적으로 제 4 세척 스테이션(80aa)은 이송 스테이션(70) 및 제 1 연마 스테이션(50a) 사이에 배치될 수 있다. 이들은 하나의 연마 스테이션에서 다음 스테이션으로 통과할 때 웨이퍼로부터 슬러리를 세척한다.
각각의 조정 장치(60a, 60b, 60c)는 각각의 연마 스테이션과 관련되며, 각각은 말단부상에 조정 헤드(64)를 보유한 피봇 암(62)을 포함하며 또한 사용되지 않을 때 조정 헤드(64)를 저장하는 조정기 저장컵(68)을 포함한다. 상세한 실시예는 디스크 형상의 회전 조정 헤드를 설명하지만, 조정 헤드는 휠 또는 로드 형상일 수 있다. 도 18은 조정기 암(62)의 스위핑 경로의 비활성 바깥 위치에 있는 제 1 및 2 연마 스테이션(50a, 50b)의 저장컵(68)을 도시하며, 조정 헤드(64)는 패드(54) 위에 배치되며, 이는 회전식 암(62)이 패드 사이를 스위핑할 때 재조정한다. 한편, 상기 도면은 조정기 암(62)이 휴지 상태일 때 조정 헤드(64)가 저장되도록 그의 비활성 위치(68')(점선으로 도시됨)로부터 조정기 암(62)의 저장 위치(68")로 스윙하는 제 3 연마 스테이션(50c)의 저장컵(68)을 도시한다.
상기 구조 설명 및 이들 여러 부품의 동작은 이하에 설명된다.
가압판 어셈블리
가압판 어셈블리(500)는 도 19의 단면도에 도시되며 모든 연마 스테이션(50a, 50b, 50c)에서 반복된다. 가압판(52)은 가압판 상부(510) 및 가압판 베이스(512)의 하부로 들어가는 몇 개의 주변 나사(513)에 의하여 가압판 상부에 연결된 가압판 베이스(512)를 포함한다. 8인치(200mm) 웨이퍼를 연마하기 위하여, 가압판(52)은 20인치(51cm)의 직경을 가질 수 있다. 가압판(52)의 하부는 하향으로 돌출하는 웨지-분할 림(514)을 포함하며, 상기 림은 테이블 상부(23)에 형성된 대응 환형 배출 채널(515)내에서 회전하여 베어링을 향해 침입하는 슬러리에 대하여 좁은 와인딩 통로만이 남는다.
가압판 베이스(512)의 하부에서 컬러(516)는 가압판 링베어링(518)의 내부레이스를 포착하고 이를 가압판 베이스(512)의 하부 측면 상에 형성된 평탄 실린더 코오니스(519)에 대하여 압박한다. 컬러(516)의 하부속으로 들어가는 나사(520) 세트는 가압판 베이스(512)의 하부로 나사결합되고 내부 레이스를 보유하기 위하여 컬러(516)를 클램핑한다. 테이블 상부(23) 위에 지지되며, 가압판 베이스(512)의 외측 하부 부분상의 환형 캐비티 속으로 상향 연장되는 다른 컬러(522)는 기계 베이스(22)의 테이블 상부(23)에 형성된 리지(222)에 대하여 가압판 링베어링(516)의 외부 레이스를 포착한다. 테이블 상부(23)의 하부로 들어가는 나사(524) 세트는 제 2컬러(522) 속으로 나사결합되어 외부 레이스를 보유하는 컬러(522)를 보유한다.
원형 펜스(526)가 회전 가압판(52)을 둘러싸고 가압판(52)으로부터 원심적으로 배출된 슬러리 및 관련 액체를 포착한다. 슬러리는 테이블 상부(23)에 형성된 트로프(528), 배출 채널(515)로 떨어지고 배출 파이프(532)의 플랜지(536)를 통과하는 나사(534)에 의하여 연결되고 테이블 상부(23)의 하부로 나사결합되는 배출 파이프(532)에 테이블 상부(23)를 통한 홀(530)을 통하여 배출한다. 회전 가압판 어셈블리(500)로부터 원심력으로 결합된 가압판(52)과 테이블 상부(23) 사이의 좁은 와인딩 통로는 슬러리가 베어링(518)에서 떨어지도록 한다.
가압판 모터 어셈블리(540)는 마운팅 브라킷(542)을 통하여 테이블 상부(23)의 하부에 볼트연결된다. 상기 모터 어셈블리(540)는 상향 수직으로 연장되는 출력 샤프트(545)를 가진 모터(543)를 포함하며 이는 고체 모터 활차(544)에 고정된 스플라인이다. 구동 벨트(546)는 모터 시브(544) 및 저장 허브(550)와 가압판 허브(522)를 통하여 가압판 베이스(512)에 연결되는 허브 시브(548)를 중심으로 회전된다. 예를 들어 가압판 모터는 기어 박스를 가진 야사카와 SGMS-50A6AB이며, 이는 0내지 200RPM 범위의 회전 속도로 가압판(52)을 구동할 수 있다.
슬러리 운반(delivery)
적어도 2 가지 형태의 슬러리 피드, 상부 하강 피드 및 하부 중심 피드, 가 이용될 수 있다. 하부 중심 피드가 먼저 설명된다.
각을 이룬 통로(554)는 가압판 상부(510)에 형성되어 가압판(22)의 중심으로 슬러리를 공급한다. 각을 이룬 통로(554)는 가압판 베이스(512)의 수직 통로(558)에 연결된 리세스(556)의 O링과 정렬되어 이에 의하여 밀봉된다. 가압판(52)의 중심으로부터 패드(54)로의 슬러리 공급 특성은 가압판(52)의 회전으로 도시되지 않은 연마 패드(54)의 표면에 위에서 슬러리가 거의 균일하게 분배된다는 것이다.
상기와 같이 가압판을 통한 슬러리 공급은 공지되어 있지만, 종래에는 가압판 허브 또는 구동 샤프트상의 로터리 커플링을 이용하였다. 그러나, 로터리 커플링에서 연마 슬러리의 이용은 로터리가 방사방향으로 마멸되게 하고 빈번한 유지보수가 요구된다.
회전 슬러리 저장기
이러한 문제는 저장기 시스템(5100)에 의하여 제거되며, 이는 도 20의 단면도에 상세히 도시된다. 저장기 허브(550)의 외부 주변부는 상향 연장되는댐벽(5110) 및 내부 립(5112)으로 형성된다. 저장기 허브(550)의 중심 부분에 밀봉된 댐벽(5110) 및 가압판 허브(552)는 슬러리(5116)용 회전 저장부(5114)를 형성한다. 우측에 도시된 고정 슬러리 피드 어셈블리(5120)는 테이블 상부(23)의 하부에 부착된 브라킷(5122)을 포함한다. 브라킷은 고정 슬러리 피드 라인을 위해 수(male) 단부에 나사결합될 수 있는 탭핑 홀(5124)을 포함한다. 브라킷(5122)에 구멍이 있고 밀봉되는 수평 통로(5126)는 슬러리를 공급하기 위하여 저장기(5114) 위에서 브라킷(5122)의 하부로 연장되는 수직 통로(5128)와 연결된다. 유체 레벨 센서(5130)는 저장기(5114)의 슬러리(5116) 레벨을 검출하기 위하여 브라킷(5122)으로부터 하향 연장되어, 레벨이 너무 낮을 때, 추가 슬러리가 탭핑 홀(5124)을 통하여 외부적으로 제어되어 공급되도록 한다.
도 21의 단면도에 상세히 도시된 다이어프램(diaphragm) 펌프(5140)는 가압판(52)의 상부에서 저장기(5114)로부터 중심 홀(554)로 슬러리(5116)를 펌핑한다. 다이어프램 펌프(5140)는 기본적으로 저장기 허브(550)에 형성된 하부 다이어프램 캐비티(5144) 및 상부 펌프 부재(5148)에 형성된 대립된 상부 다이어프램 캐비티(5146)를 포함한다. 가요성 다이어프램(5150)은 2개의 다이어프램 캐비티(5144, 5146) 사이에 밀봉되며, 상부 펌프 부재(5148)는 다이어프램(5150)을 클램핑하기 위하여 도시되지 않은 나사형 패스너에 의하여 저장기 허브(550)에 고정된다.
가압판(52)과 함께 회전하는 다이어프램 펌프(5140)는, 기계 베이스(22) 근처에 설치된 고정 공기 소스에 의한 가변 압력하에서 선택적으로 공급된 유체에 의하여 공기적으로 힘을 받는다. 하부 다이어프램 캐비티(5144)에 공급된 정압은 다이어프램(5150)을 상향으로 구부러지게하고 음압은 다이어프램을 하향으로 구부러지게 한다. 이하에 설명되는 입구 및 출구 체크 밸브 세트와 함께, 상기 구부러짐은 상부 다이어프램 캐비티(5146)의 슬러리 유체를 펌핑한다. 공기 유체는 O링 챔버(5154)와 대향하는 저장기 허브(550)의 하부측에 하부 다이어프램 캐비티(5144)를 연결하는 통로를 통하여 하부 다이어프램 캐비티(5144)로 공급된다. 고체 모터 시브(544)의 제 2통로(5155)는 가용성 유체 라인(5157)에 연결된 고체 모터 시브(544)의 하부에서 탭핑 홀(5156)과 O링 챔버(5154)를 연결한다. 도 19에 도시된 것처럼, 유체 라인(5157)은 회전 모터 샤프트(5162)의 축 통로(5160)와 커플링(5158)을 통하여 연결된다. 로터리 커플링(5164)은 도시되지 않은 공기 라인을 통하여 고정 공기 소스와 회전 축 통로(5160)를 연결한다.
도 21에서, 다이어프램(5150) 위에 있는 상부 펌프 부재(5148)는 다이어프램(5150)과 저장기 허브(550)를 밀봉하여 상부 및 하부 다이어프램 캐비티(5144, 5146) 사이의 유체 누설을 방지한다. 2개의 흐름 체크 밸브 어셈블리(하나만 도시됨)가 펌프 부재(5148)에 형성되어 펌핑 방향 반대로 유체가 흐르는 것을 방지한다. 각각의 흐름 체크 밸브 어셈블리는 큰 실린더형 상부(5170), 태이퍼형 중간부(5172) 및 작은 실린더형 하부(5174)를 갖는 실린더형 챔버를 포함한다. 밸브볼(5176)이 실린더형 챔버에 배치된다. 볼(5176)은 실린더형 상부(5170)보다 작은 직경을 갖지만 실린더형 하부(5174)보다는 커서 테이퍼형 중간부(5172)에 대하여 그 자신을 효율적으로 밀봉한다. 각각의 흐름 체크 밸브 어셈블리는 각각의 실린더형 상부(5170)의 유체 압력이 각각의 실린더형 하부(5174)보다 클 때 밀봉되며, 밀봉은 밸브 볼(5176)이 하향 테이퍼링된 중간부(5172) 상에 그 자체가 장착되기 때문에 중력에 의해 보조된다. 실린더형 챔버의 상부는 상부 펌프 부재(5148)로 나사결합된 펌프 커버(5180)에 의하여 제위치에 클램핑된 사각 밀봉 부재(5178)에 의하여 밀봉된다.
도시되지 않은 후방 흐름 체크 밸브 어셈블리는 다이어프램 펌프(5140)의 상부 다이어프램 캐비티(5148)에 슬러리를 공급하기 위하여 이용되며 슬러리 저장기(5114) 및 상부 다이어프램 캐비티(5148) 사이의 흐름 경로에 배치된다. 실린더형 상부(5170)의 상부는 상부 다이어프램 캐비티(5146)와 도시되지 않은 통로에 의하여 연결된다. 실린더형 하부(5174)는 저장기(5114)의 도시되지 않은 섬프(sump) 부분에 대하여 개방되어 슬러리가 항상 우측 원형 하부부분(5176)에 존재하고 다이어프램(5150)이 상부 다이어프램 캐비티(5150)에 음압을 제공하기 위하여 하향 공기작용에 의하여 구부러질 때 상부 다이어프램 캐비티(5146)로 흐를 수 있다. 그러나, 다이어프램(5150)이 공기작용에 의하여 상향 구부러져 상부 다이어프램 캐비티(5146)에 정압이 제공한다면, 밸브 볼(5176)는 테이퍼 부분(5172)에 안착되고 이에 의하여 슬러리의 역류에 대하여 공급 체크 흐름 밸브 어셈블리를 닫는다.
도시된 정면 흐름 체크 밸브 어셈블리는 가압판(52)의 상부에서 중심 개구부(554)로 다이어프램 펌프(5140)의 상부 다이어프램 캐비티(5146)로부터의 슬러리를 공급하기 위하여 이용된다. 흐름 체크 밸브 어셈블리의 실린더형하부(5174)는 상부 다이어프램 캐비티(5146)와 직접 연결된다. 상부 펌프 부재(5148)의 통로(5184)는 흐름 체크 밸브 어셈블리의 실린더형 상부부분(5170)과 저장기 허브(550) 및 가압판 허브(552)의 후크 형상 통로(5186)를 연결하며, 이는 결국 가압판(52)의 상부에서 중심 개구부(554)와 연결된다. (명료하게 도시하기 위해 통로의 일부는 약간 상이하게 도시되어 있지만 본 발명에 영향을 주지 않는다). 액체이든 또는 공기이든 양의 공기 압력은 다이어프램(5150)을 상향으로 구부리고, 상부 다이어프램 캐비티(5146)의 슬러리는 통로(5184, 5186)를 통하여 가압판(52)의 상부로 펌핑된다. 양의 공기 압력이 해제될 때, 흐름 체크 밸브 어셈블리로의 밸브 볼(5176)의 장착은 저장기(5114)에서 슬러리(5116) 레벨 이상으로 펌핑된 슬러리의 역압에 의하여 생성된 헤드에 의한 슬러리 역류를 방지한다.
이러한 슬러리 피드의 구성은 로터리 커플링을 통하여 배출되는 슬러리가 가지는 문제를 해결하고 높은 신뢰성을 제공하고 만약 슬러리가 오랫동안 슬러리 라인에 있게되면 막힐 수 있는 슬러리 길이를 짧게 한다.
오버헤드 슬러리 디스펜서
도 22 및 23에 도시된 바와 같이 오버헤드 슬러리 디스펜서(5200)를 추가로 포함하는 것은 바람직하다. 이는 주변 펜스(25)내의 테이블 상부(23) 위에 배치된 디스펜서 베이스(5204)상에 회전가능하도록 지지된 분배 튜브(5202)를 포함한다. 분배 튜브(5202)는 가압판(52) 및 부착된 연마 패드(54) 위에서 회전가능하여 그의 분배 단부(5206)가 웨이퍼 헤드(110)에 인접한 하나 이상의 포인트에 배치될 수 있도록 한다. 전술한 바와 같이, 웨이퍼 헤드(110)는 카루우젤(90) 위에 지지되고, 연마 중에, 패드(54)의 직경 사이에서 선형으로 슬라이드된다. 도 22 및 23은 계략적으로 도시되어 패드(54) 위에 카루우젤(90)이 매달려 있는 것을 완전히 도시하지 않는다. 웨이퍼 헤드(110)가 상부 중심 연마를 수행하면, 단부(5206)는 연마 패드(54)의 중심근처에 파킹될 수 없다. 단부가 웨이퍼 헤드(110)의 가장 바깥쪽 위치 측면에 파킹되거나, 그의 이동이 웨이퍼 헤드(110)의 이동과 동기화되어 충돌이 방지된다. 분배 튜브(5202)는 가압판 외부 위치(5208)에 대하여 회전가능하며, 상기 위치에서 분배 단부(5206)는 연마 패드(54) 외부에 배치되고 테이블 상부(23)의 바로 위에 배치된다. 분배 튜브(5202)는 분출이 바람직할 때 가압판 외부 위치(5208)로 이동되어 분출된 액체와 가능한 부스러기가 테이블 상부(23)에 수집되어 연마 패드(54)를 오염시키기 않고 테이블 상부로부터 배출한다.
바람직하게, 오버헤드 슬러리 디스펜서(5200)는 2개의 슬러리들 또는 슬러리 및 다른 액체를 선택적으로 또는 균일하게 동시에 분배하기 위하여 2개의 분배 포트를 포함한다. 도 24의 입면도에 도시된 바와 같이, 분배 튜브(5202)는 서로 연결되고 하향 돌출하는 튜브 분배 단부(5214, 5216)를 포함한 2개의 공급 튜브(5210, 5212)를 포함한다. 하나의 튜브 분배 단부(5214)는 다른 분배 단부보다 크고 다른 단부와 측면으로 분리되어 활성 튜브 분배 단부에서 비활성 단부로의 슬러리 분무를 최소화시키며, 상기 슬러리 분무는 슬러리가 비활성 튜브 분배 단부에서 건조되고 케이크 형태가 되도록 한다. 유사하게, 패드(54) 위로 수평으로 연장되는 분배 튜브(5202)의 중심부는 패드(54) 위로 높이가 충분하여 패드(54)에서분배 튜브(5202)로 분무되는 슬러리의 양을 감소켜야 한다. 공급 튜브(5210, 5212) 및 슬러리 디스펜더(5200)의 다른 노출 부분은 부식성 슬러리에 내성이 있고 바람직하게 소수성인 테플론(Teflon)과 같은 재료로 구성되어야 한다.
분배 튜브(5202)의 제한된 회전은 각각의 공급 튜브(5210,5212)에 연결되고 테이블 상부(23)의 하부에서 종료되는 유체 채널에 관련된 2개의 가용성 공급 도관(5218, 5220)에 의하여 회전 유체 커플링이 이루어지도록 한다.
슬러리 공급
상기 슬러리 디스펜서(5200)와 슬러리 디스펜서 시스템(5100) 및 도 19, 20 및 21에 도시된 관련 가압판 공급 통로(554)는 상이한 슬러리가 3개의 연마 시스템(50a, 50b, 50c)에 공급되도록 한다. 또한, 가압판(52) 하부의 도 19의 배출부(532)는 상기 연마 스테이션에 대한 대부분의 과잉 슬러리를 수집하고 다른 연마 스테이션에서의 대응하는 배출부와 격리될 수 있다. 따라서, 상이한 슬러리가 상이한 연마 스테이션에서 사용될 수 있지만 그들의 배출부는 거의 격리될 수 있다. 상기 격리는 배분 문제를 완화시키며 복합 공정에서조차도 슬러리의 재순환을 허용한다.
본 발명의 연마 시스템(10)은 사용자에 의하여 선택될 수 있고 최소한의 기계적 재구성에 의하여 변경될 수 있는 다양한 연마 공정에 적합하다. 따라서, 슬러리 운반 시스템은 일반적이며 가용성이어야 하며 건조된 슬러리에 의하여 방해되는 라인을 세척하는 기능을 제공한다. 예를 들어, 슬러리 운반 모듈(5230)은 도25에 계략적으로 도시된다. 상기 도면은 모든 3개의 연마 스테이션(50a, 50b, 50c)에 대한 공급 유니트(5232) 및 각각의 스테이션에 대한 3개의 흐름 제어 유니트(5234)중 하나를 도시한다. 가압판(52)에 인접한 배관(plumbing) 접속부는 도시되지 않았으며 슬러리 저장기 시스템(5100)을 위해 도 20에 도시된 슬러리 피드 어셈블리(5120)와 도 22의 오버헤드 슬러리 디스펜서(5200)의 2개의 가용성 도관(5218, 5220) 사이에서 쉽게 재배관될 수 있다.
공급 유니트(5232)는 다수의 공기식 온-오프 밸브 및 연결 파이프를 포함하는 벌크헤드 유니트(5236)를 포함한다. 또한 3개의 공급 소스(5238a, 5238b, 5238c)를 포함하며, 각각의 공급 소스는 공급 탱크(5240), 공급 튜브(5242) 및 관련 펌프(5244), 및 슬러리 또는 액체의 재순환 소스를 제공하는 복귀 튜브(5246)를 포함한다. 관련 레벨 모니터 및 플레쉬 공급 튜브는 도시되지 않았지만, 공지되어 있다. 2개의 공급 소스(5238a, 5238b)는 일반적으로 2개의 상이한 슬러리에 대하여 이용되며, 제 3공급 소스(5238c)는 슬러리가 아닌 액체 화학성분, 예를 들어 수산화암모늄과 같은 것에 대하여 이용된다. 물론, 더많은 또는 더적은 수의 공급 소스(5238)가 연마 조건 및 경제적인 필요에 따라 이용될 수 있다.
벌크헤드 유니트(5236)는 각각의 공급라인(5242)용 온-오프 밸브(5248) 및 각각의 복귀라인(5246)용 흐름 체크 밸브(5250)를 포함한다. 동일 액체가 3개의 모든 스테이션에 흐르도록, 도시된 벌크헤드 유니트(5236)가 3개의 모든 연마 스테이션을 위한 하나의 공급 밸브(5248)만이 이용되지만, 추가 밸브가 독립적이고 분리된 공급을 허용한다. 또한 벌크헤드 유니트(5236)는 온-오프 밸브(5252, 5254)를 통하여 질소 및 탈이온화수(DIW)를 수용하며, 각각의 온-오프 밸브는 각각의 온-오프 밸브(5258)를 통하여 공급 소스(5238a, 5238b, 5238c)중 하나에 공급되는 정화 라인(5256)에 연결된다. 질소 또는 DIW는 필요에 따라 여러 라인을 세척 및 정화시키는데 사용된다. 정화 접속은 도시되지 않았다. 막힌 라인을 세척하기 위하여, 정화 접속은 수동으로 이루어질 수 있는데, 이는 공급 소스(5238a, 5238b, 5238c)가 액세스할 수 있는 영역에 배치되기 때문이다.
도 25는 한 개의 도시된 연마 스테이션(50a, 50b, 50c)의 흐름 제어 유니트(5230)에 연결된 두 개의 공급 유니트(5238a, 5238c)만을 도시하며, 나머지 공급 유니트(5238b)는 다른 연마 스테이션중 하나에 연결될 수 있다. 각각의 흐름 제어 유니트(5230)는 2개의 측정 유니트(5260a, 5260b)를 포함하며, 각각의 측정 유니트는 공급 유니트(5238a, 5238c)로부터 상이한 재순환 경로에 연결된 전환 밸브(5262a, 5262b)를 포함한다. 전환 밸브는 재순환 경로내에 있는 제 1의 2개 포트 사이의 흐름 경로와 제 3 포트를 선택적으로 연결시킨다. 전환 밸브(5262a, 5262b)의 밸브 제어된 출력은 벌크 흐름 제어기로의 아날로그 제어 신호(SET) 입력에 비례하는 액체 흐름 속도를 가압판(52)에서 관련 슬러리 포트로 전달하는 벌크 흐름 제어기(5264)를 통해 정해진다. 일반적으로 50 내지 500ml/분 범위의 흐름 속도가 요구되지만, 이러한 범위는 수행되는 연마 공정에 따라 13ml/분 내지 최고 2000ml/분까지 변경될 수 있다. 바람직하게, 전달된 흐름 속도는 모니터링 라인(MON)에서 측정되고 복귀된다. 질량(mass) 흐름 제어기에 동등한 유체는 벌크 흐름 제어기(5264)에 이용될 수 있지만, 부식성 펌프 유체에서 요구되는 높은 신뢰성은 모니터링 기능을 직접 제공하지 않는 연동(peristaltic) 펌프와 같은 측정 펌프의 이용을 초기에 요구한다.
탈이온수를 보유하는 라인은 측정 유니트(5260a, 5260b)를 통하게 되고, 각각의 전환 밸브(5266)는 각각의 벌크 흐름 제어기(5264)를 통해 DIW를 전달한다. DIW는 라인을 물로 씻고 연마 패드를 세척하기 위하여 이용되지만, 또한 예를 들어 닦기 전용 연마 스테이션과 같은 연마 공정에 이용될 수 있다. 선택적으로, 전용 DIW 라인(5268) 및 관련 온-오프 밸브(5270)가 가압판(52)에서 슬러리 포트중 하나와 연결된다.
패드 제거(peeling)
가압판(52) 표면상의 연마 패드(54)는 시간이 지나면 마멸되어 주기적으로 교체되어야 한다. 마멸된 연마 패드를 교체할 때의 어려움중 하나는 가압판에 패드를 부착시키기 위해 압력에 매우 민감한 접착제가 이용되며 사용 기간이 경과한 후 2개가 서로 강하게 결합되어 유지된다는 것이다. 과거에는, 연마 패드를 제거하기 위하여, 패드와 가압판 사이의 접착제 밀봉으로 인한 문제를 극복하기 위해서 가압판의 상부로부터 연마 패드를 잡아당기는데 큰 힘을 사용하는 것이 요구되었다. 이러한 큰 힘은 조작자에게 비용 및 시간을 낭비하게 한다.
가압판(52)으로부터 패드(54)를 자동으로 제거하기 위한 본 발명의 실시예는 도 19의 단면도에 도시된다. 이는 중심에 인접한 가압판 상부(510)의 상부에서 개방되는 보울 포트(560)를 통한 고압의 공기 또는 유체의 선택 주입을 포함하나 슬러리 포트(554)가 중심에 있기 때문에 오프셋된다. 압력은 패드(54)와 가압판(52) 사이에 거품을 생성하며, 상기 거품은 일반적으로 팽창하여 부드럽게 패드(54)를 제거시킨다.
보울 포트는 가압판(510), 가압판 베이스(512), 가압판 허브(552) 및 저장기 허브(550)에 형성된 4개의 수직 통로(561, 562, 564, 565)에 연결되며, 또한 고체 모터 시브(544)의 각을 이룬 통로(566)와 연결된다. 이들 통로는 리세스(568, 570, 571, 572)에 배치된 O링에 의하여 서로 연결된다. 각을 이룬 통로(566)는 탭핑 홀(574)에 연결되며, 상기 홀 속으로 고압 공기 라인(578)의 빠른 해제 부품(576)의 고정 단부가 나사결합될 수 있다. 연마 작업 중에, 빠른 해제 부품의 고정 단부는 가압판 어셈블리(500) 위에 고정되어 가압판(52)에 따라 회전한다. 가압판(52)이 정지될 때, 고압 호스(578)에 연결된 빠른 해제 부품의 착탈식 단부는 빠른 해제 부품의 고정 단부에 자유롭게 연결될 수 있어 통로를 보울 포트(560)와 연결한다.
사용할 때, 표면이 마멸되었기 때문에, 연마 패드(54)가 교체되어야 하는지가 결정되면, 가압판(52)은 정지되고, 조작자 또는 자동 메커니즘은 빠른 해제 부품의 2부분을 연결시켜 고압 공기 공급 호스(578)를 보울 포트(560)와 연결시킨다. 가압판이 정지상태에 있는 동안 가해진 공기 압력은 가압판(52)의 상부에서 보울 포트(560)의 영역에서 연마 패드(54) 밑으로 공기를 주입하여 거기에 거품을 생성하며, 상기 거품은 점진적으로 증가하여 가압판(52)으로부터 패드(54)의 제거(peeling) 효과를 나타낸다. 제거되지 않는다면, 거품 효과는 가압판(52)으로부터 연마 패드(54)를 제거하는데 필요한 힘을 감소시킨다. 가압판(52)의 중심에 배치된 슬러리용 개구부(554)는 일반적으로 너무 작아서 이를 통해 방출되는 공기는 무시할 수 있거나 또는 이는 사용자가 그의 손가락을 개구부 위에 놓거나 또는 임시적으로 밀봉함으로써 다소 일시적으로 막힐 수 있다. 물론, 빠른 해제 부품은 패드가 제거된 후에 그리고 가압판이 다시 회전되기 전에 분리된다. 연마 패드의 제거 및 교체는 종래 기술에서보다 용이하게 이루어질 수 있다.
보울 포트(560)와 고압 공기 라인(578)을 연결하는 로터리 커플링을 통해 제거 공정을 완전하게 자동화하는 것이 가능하지만, 패드의 상대적으로 덜 빈번한 교체는 추가된 복잡성의 요구를 감소시킨다. 가스가 아닌 고압 액체가 선택적으로 제거 공정에 이용될 수 있다.
중간 세척 스테이션
본 발명의 바람직한 실시예에서, 웨이퍼는 도 18의 평면도에 도시된 2개 또는 3개 모두의 연마 스테이션(50a, 50b, 50c)에서 순차적으로 연마된다. 연마 방법중 하나는 예를 들어, 2개의 연속적인 미세한 연마를수행하는 거친 연마를 하는 다단계 연마 공정이다. 보다 미세한 연마를 얻기 위한 방법중 하나는 상이한 특성 또는 입자 크기를 가진 슬러리를 상이한 연마 스테이션(50)에서 이용하는 것이다. 이러한 상황에서, 중요한 것은 상이한 가압판 사이에서의 슬러리 오염을 제거하는 것이다; 즉, 하나의 연마 스테이션의 슬러리, 입자 및 연마 액체는 다음 연마 스테이션으로 이동되기 전에 웨이퍼로부터 완전하게 제거된다. 웨이퍼가 연마 스테이션(50) 사이에서 이송될 때, 패드로부터 상승되는 웨이퍼에 초기에 부착된 이전 연마 단계에 사용된 대부분의 슬러리는 도 19에서 도시된 바와 같이 웨이퍼로부터 패드(54) 및 관련 가압판(52)으로 떨어지고, 대부분은 가압판(52)을 둘러싸는 펜스(526)에 의하여 형성되는 배출 수반으로 떨어진다. 그러나, 일부 슬러리는 특별한 처리가 취해지지 않으면 웨이퍼에 계속 부착되어 유지되며, 부착된 슬러리는 시간을 증가시킬 정도로 다음 연마 스테이션, 특히 다음 연마 패드(54)를 오염시킬 수 있다.
따라서, 일부 고감도 공정에서, 도 18에 도시된 바와 같이 중간 세척 스테이션(80a, 80b)을 포함하며, 상기 세척 스테이션은 인접한 연마 스테이션(50a, 50b 및 50b, 50c)의 가압판(52) 사이에 배치된다. 이들 중간 세척 스테이션은 인접 연마 스테이션 사이를 통과하는 웨이퍼를 세척하고 세척된 슬러리를 처리하여 다음 연마 스테이션이 오염되지 않도록 한다. 또한 마지막 연마 스테이션(50c)과 이송 스테이션(70) 사이에 다른 중간 세척 스테이션(80c)을 추가로 포함하는 것이 바람직하다. 이하의 설명에서 알려지겠지만, 중간 세척 스테이션(80)은 웨이퍼의 가벼운 닦음 뿐만 아니라 슬러리 및 느슨한 재료의 제거에 이용될 수 있다. 또한, 예비 중간 세척 스테이션(80a)은 이송 스테이션(70)과 제 1연마 스테이션(50a) 사이에 포함될 수 있다. 이러한 중간 세척 스테이션의 반복은 웨이퍼 산출량에 약간의 영향을 미치며 이는 상기 중간 세척 스테이션이 모두 동시에 각각의 웨이퍼를 세척 또는 닦을 수 있기 때문이다.
중간 세척 스테이션(80)은 인입식(retractable) 또는 수평으로 이동할 수도있다. 그러나, 본 발명의 구성에서, 이들은 정지되어 있으며 상부표면은 연마 패드(54)의 연마 표면 레벨보다 약간 위에 있으며, 따라서 웨이퍼 헤드(100)가 가압판(52)으로부터 웨이퍼를 상승시키고 이를 중간 세척 스테이션(80)위로 이동시키고, 이를 중간 세척 스테이션(80)으로 하강시킬 때, 웨이퍼(40)는 인접 가압판(52)의 위치보다 높은 위치에서 중간 세척 스테이션(80)과 접촉한다. 중간 세척 스테이션(80)상의 웨이퍼가 두 인접 가압판(52) 위에 놓이기 때문에 갭이 필요하다. 중간 세척 스테이션(80)은 일반적으로, 웨이퍼 헤드(110) 표면 아래에 밀봉된 개구부를 제공한다. 이는 일반적으로 챔버의 립 위에 웨이퍼 헤드를 배치함으로써 밀봉될 수 있는 세척 챔버를 포함한다.
도 26A 및 26F의 단면도 및 도 26G의 평면도에 도시된 본 발명의 중간 세척 스테이션(800)의 실시예에 따른 구성에서, 세척 챔버(810)는 인접한 가압판(52) 사이에 배치된 상대적으로 가늘고긴 슬롯 형상을 가진 가늘고긴 상부 개구부(812)를 가진다. 도 26G에 도시된 바와 같이, 개구부(812)의 2개 측면(814)은 개구부(812)의 중심이 웨이퍼(40)의 중심과 정렬될 때 웨이퍼(40) 사이에 도달하기에 충분한 길이를 가지며, 다른 2개 측면(816)은 웨이퍼(40)의 원주에 상응하는 아치형 형상을 가진다.
중간 세척 스테이션(80)은 가늘고긴 개구부(812)를 따라 연장되며 수직으로 배향된 몇 개의 노즐 개구부(822)를 가진 분무관(820)에 의하여 형성된다. 분무관(820)의 단부는 플러그(824)에 의하여 밀봉되며, 분무관(820)은 세척 스테이션(810)의 개구부(812)를 형성하는 상단부를 가진 지지 부재(826)에 고정된다. 테이퍼된 탄성 밀봉부(828)는 지지 부재(826) 내부에 배치되어 세척 스테이션(810)의 측면을 형성한다. 밀봉부(828)는 세척 스테이션(810)의 개구부(812) 형상에 따르며 지지 부재(828)의 상부 위로 약간 돌출하는 상단부를 가진다. 그의 하단부는 분무관(820) 상에서 지지되어 노즐 개구부(822) 및 전술한 배출 개구부가 노출된 상태가 되도록 한다. 바람직하게, 탄성 밀봉부(828)는 분무를 차단하기 위한 배리어로 작용하지만 물의 흐름 및 이를 통한 포함된 슬러리의 흐름은 허용하는 거품 또는 섬유형 재료로 형성된다. 따라서, 슬러리는 밀봉부(828)에 삽입될 수 없으며 부착된 슬러리는 웨이퍼(40)를 손상시킬 수 없다. 실시예의 밀봉 재료는 연마 패드에 이용된 재료를 포함한다.
도 26F에 도시된 것처럼, 공급관(830)은 분무관(820)의 하부면 및 수직단부의 공급 개구부(832)에서 분무관(820) 하부에 밀봉된다. 배출관(834)은 공급관(820)에 밀봉되며 배출 개구부(836)에서 하부면으로부터 상부면을 통과한다. 세척이 요구될 때, 탈이온수와 같은 세척 액체(840)가 압력하에 공급관(830)을 통하여 분무관(820) 내부로 공급된다. 충분한 세척 액체(840)가 분무관(820)을 채우기 위하여 공급될 때, 다른 추가 세척 액체는 분무시에 노즐 개구부(822)를 통하여 분무되어 가늘고긴 개구부(812) 위에 놓인 웨이퍼(40) 부분을 커버한다. 과잉의 세척액체 및 웨이퍼(40)으로부터 헹거진 슬러리는 세척 챔버(810)의 하부로 떨어지고 재순환 또는 제거를 위하여 배출 개구부(836)를 통하여 배출된다.
중간 세척 스테이션의 동작을 이하 기술한다. 도 26A에 도시된 예를 들면 50a와 같은 제 1연마 스테이션에서 연마 단계가 완료될 때, 웨이퍼 헤드(110)의 회전은 중지되고 웨이퍼(40)를 보유한 웨이퍼 헤드(110)의 하단부는 예를 들어 1/4인치(6mm)의 짧은 간격 만큼 가압판(52) 및 연마 패드(54)로부터 상승되며, 웨이퍼 헤드(110)를 보유한 슬라이드(908)는 중간 세척 스테이션, 예를 들어 80a와 정렬된 카루우젤(90)의 방사상 위치에 배치되며, 카루우젤(90)은 도 26B에 도시된 바와 같이 웨이퍼 헤드(110)의 중심 및 웨이퍼(40)를 중간 세척 스테이션(80a)의 중심 위에 배치하는 위치로 웨이퍼 헤드를 이동시키기 위하여 회전된다. 웨이퍼 헤드(110)의 하단부는 도 26C에 도시된 바와 같이 하강하여, 그 사이에 물 배리어를 제공하지만 웨이퍼를 손상시키지 않도록 중간 세척 스테이션(80a)의 탄성 밀봉 부재(828)와 웨이퍼가 저압력으로 접촉하도록 한다. 요구되는 압력은 연마 스테이션에 사용된 압력과 같거나 이보다 작다. 도 26D 및 26F에서, 세척 액체(840)는 세척 챔버(810) 위에 노출된 웨이퍼(40) 부분을 세척하기에 충분하게 가압되며, 세척된 슬러리는 배출 파이프(838)를 통하여 배출된다.
바람직하게, 도 26D 및 도 26F에 도시된 것처럼, 웨이퍼는 웨이퍼 헤드 모터(1012)가 탄성 밀봉 부재(828)를 지나 웨이퍼(40)를 연속적으로 회전시킴에 따라 연속적으로 세척된다. 물론, 밀봉 부재(828)의 재료, 인가된 힘 및 회전 속도는 웨이퍼(40)가 밀봉 부재(828)를 가진 물이 새지 않는 밀봉부 위에서 슬라이드될 때 웨이퍼가 홈이 파이거나 또는 긁히지 않도록 선택되어야 한다. 세척 중의 다수의 회전은 닦는 효과를 가진다.
선택적으로, 웨이퍼는 하강되고, 세척되고 헹거지고 부분적으로 새로운 위치로 회전함에 따라 개별적인 단계에서 세척되어 웨이퍼의 모든 부분을 세척할 수 있다.
분무는 분무 차폐가 파손되게 하기 때문에 세척 액체가 세척 챔버(816)로부터 경로가 이탈하지 않도록 하고 다중 헤드 카루우젤(90)의 하부로 분무되지 않도록 하는 한 이러한 방법의 결합이 이용될 수 있다. 세척 헤드는 적어도 모든 표면이 세척되거나 또는 세척 스테이션과 웨이퍼 헤드의 하부 사이의 밀봉부에 의하여 닦여지고 닦여진 액체는 챔버 하부으로부터 배출되도록 천천히 회전될 수 있다. 웨이퍼 헤드는 다음 가압판에서 연마 위치로 상승 및 이동될 수 있다. 이는 웨이퍼 헤드로부터의 적어도 떨어져나온 모든 입자가 제거되도록 한다.
상기 설명은 특정 중간 세척 스테이션(80)에서 단일 웨이퍼에만 관련되어 있지만, 카루우젤은 각각의 세척 스테이션 위에 모든 웨이퍼 헤드(110)를 배치하고 세척 스테이션은 이들 모두의 각을 위룬 위치에 존재한다. 따라서 두 개, 세 개, 또는 네 개의 웨이퍼가 동시에 다중 세척 스테이션(80)에 의하여 상기 공정에 따라 세척될 수 있다.
전체 웨이퍼(40)를 완전히 세척한 후에, 웨이퍼 헤드(110)는 웨이퍼를 탄성 밀봉 부재(828)의 밀봉 부재로부터 상승시키고, 도 26E에 도시된 것처럼 카루우젤(90)은 웨이퍼 헤드(110) 및 부착된 웨이퍼(40)를 다음 연마 스테이션(50b)으로 회전시킨다.
선택적인 중간 세척 스테이션(80')에 대한 설계는 도 26H의 단면도 및 26I의 평면도에 도시된다. 폐쇄된 세척 캐비티(852)를 가진 세척 하우징(850)은 테이블 상부(23)의 상부에 고정된다. 선형 세척 개구부(854)는 웨이퍼(40)의 직경과 동일한 길이까지 세척 하우징(850)의 상부에 형성되며 2개의 연마 스테이션(50) 사이의 경계부를 따라 카루우젤(90)의 회전 방향과 수직으로 정렬된다. 그러나, 중간 세척 스테이션(50 또는 50')은 바람직하게 도 6A의 3개의 패드 시스템의 4개의 중간 세척 스테이션 전체에 대한 연마 시퀀스의 전후에 대응하는 위치에 배치되는 것이 바람직하다.
접촉 패드(856)는 세척 개구부(854) 위를 제외하고 세척 하우징(850)의 상부에 접착제에 의하여 접착되어 웨이퍼(40)의 긁힘없이 세척 스테이션(80')의 상부에 대해 웨이퍼(40)를 서서히 가압하나 여전히 확실한 밀봉부를 형성한다. 이러한 접촉 재료는 부드럽고 유연해야 하며 이송/세척 스테이션(70)의 축받이(72) 위에 배치된 탄성 시트와 유사하거나 미세 연마 패드 재료와 유사한 섬유질 또는 거품 패드일 수 있다. 선택적으로, 접촉 재료는 세척 하우징(850)에 쉽게 연결될 수 있는 제거가능한 상부로 결합될 수 있다.
리지 노즐 마운트(860)는 테이블 상부(23)에 고정되고 세척 하우징(850)의 세척 캐비티(852)내에서 상승한다. 그상부의 리지 피크(862)는 세척 개구부(854) 바로 하부에 배치되고 약 0.025"(0.64mm)의 직경을 가진 몇 개의 수직으로 향한 노즐 홀(864)을 포함한다. 노즐 홀(864)은 중심에 위치한 수직 공급 통로(868)와 연결된 세로 방향으로 연장되는 공급 통로(866)에 연결되며, 상기 공급 통로(868)는 세척 액체의 공급부에 연결될 수 있는 하부에 탭핑 홀(876)을 가진 테이블 상부(23)의 수직 통로(872)에 O링 리세스(870)에 의하여 밀봉된다. 몇 개의 수평으로 연장되는 배수구(878)가 테이블 상부(23)와의 접속부에서 세척 하우징(850)의 하부를 통하여 연장되어 세척 캐비티(852)의 바닥에 떨어지는 세척 액체가 테이블 상부(23)의 상부로 바깥쪽으로 흐를 수 있게 하며, 테이블 상부는 과잉 슬러리 및 다른 연마 액체를 위한 배출부를 포함한다.
세척 하우징(850) 상부의 접촉 패드(856)의 상부는 연마 스테이션(50)의 가압판(52)의 상부 약간 위에 놓인다. 웨이퍼(40)가 하나의 연마 스테이션(50)에서 연마된 후에, 웨이퍼 헤드(110)는 가압판으로부터 웨이퍼(40)를 상승시키고, 이를 중간 세척 스테이션(80')위로 이동시키고, 이에 대하여 웨이퍼를 하강시킨다. 노즐(864)은 웨이퍼(40)쪽으로 세척액을 사출하고, 액체에 실린 부스러기는 캐비티(852)내에 떨어져 배수구(878)를 통하여 배출되도록 한다.
웨이퍼(40)는 전술한 단계식 세척에 의하여 또는 웨이퍼 헤드(110) 및 접촉 패드(856)와 느슨하게 접촉하여 부착된 웨이퍼(40)를 천천히 연속적으로 회전시킴으로써 연마될 수 있다. 탄성 밀봉부(856)의 다공성 구멍이 적절히 선택된다면, 웨이퍼(40)는 중간 세척 스테이션(80') 위를 통과할 때 닦힌다.
종래 기술에서, 별도의 연마 스테이션이 연마 종료시 웨이퍼(40)를 닦기 위하여, 즉 먼지 또는 부스러기를 제거하도록 가볍게 연마하기 위해 요구되었다. 닦음은 연마 패드와 유사한 버퍼링 패드상에서 수행된다. 중간 세척 스테이션, 특히 연마 시퀀스의 종료시의 스테이션의 동작은 닦기 기능과 유사한 기능을 수행한다. 결과적으로, 중간 세척 스테이션의 포함은 실제 연마를 위한 제 3연마 스테이션을 자유롭게 하여 시스템의 산출량을 증가시킨다.
또한, 하나 이상의 중간 세척 스테이션(80 또는 80')을 별도의 연마 스테이션으로 간주할 수 있다. 따라서, 하나 이상의 세척 스테이션(80 또는 80')은 연마 스테이션(50)에 대하여 각을 이루게 정렬될 수 있으며, 따라서 웨이퍼 헤드(110)는 동시에 세척 스테이션(80 또는 80') 및 연마 스테이션(50)에 위에 걸린다. 결과적으로, 세척 스테이션에서의 세척 및 닦음을 연마 스테이션에서의 연마와 동시에 수행될 수 있어, 연마 장치의 산출량이 증가된다.
패드 조정기
패드가 완전히 교체되기 전에, 연마 패드는 표면이 글레이징되는 것을 방지하기 위하여 때때로(또는 정기적으로) 조정되어야 한다. 본 발명의 실시예에서, 패드 조정기는 조정중에 회전하는 연마 패드와 연속적으로 접촉하며 주변부에서 중심까지 패드(54)를 전후로 스위핑하는 거친 표면을 가진 회전 디스크이다. 다른 형태의 조정기가 이용될 수 있다. 조정 부재는 평면이지만 비원형일 수 있으며, 이는 패드와 접촉가능한 주변표면을 가진 실린더형 부재일 수 있거나 또는 다른 형태일 수도 있다. 조정기의 표면은 치형의 연마재 또는 날카로운 개구 에지일 수 있다. 조정 부재의 표면은 패드에 대하여 이동될 수 있으며, 조정 부재는 패드 위에서 회전할 수 있으며 패드에 표면 패턴을 일차적으로 새기며, 조정부재는 패드의 정지체(stationary body)로서 견인되거나, 패드에 대하여 상이한 평면으로 회전될 수 있다. 상기와 같은 모든 조정부재는 연마 패드 위에 배치될 수 있고 이에 대하여 이동할 수 있는 조정 헤드 개념에 포함될 수 있다.
전체적으로, 도 29에 도시된 바와 같이, 패드 조정기(60)는 암(62)의 말단단부 위에 매달린 조정 헤드(64)를 포함한다. 암(62)에 인접한 단부는 지지 어셈블리(65)에 의하여 지지되며, 상기 어셈블리는 웨이퍼 평면에서 전체 암(62)을 회전시켜 패드 조정을 위한 위치에 조정 헤드(64)를 배치하고 이를 패드(54) 위에서 스위핑할 수 있으며, 약 1인치(32mm)만큼 조정 헤드(64)를 약간 상승시켜 조정 헤드(54)가 패드(54)와 선택적인 접촉을 하게 하며, 벨트 드라이브를 통하여 조정 헤드(54)를 회전시킬 수 있다.
조정 헤드
조정 헤드(64)는 그의 하부면상의 리세스(60)내에 치형 또는 연마 표면 조정 디스크(612) 또는 실린더형 부재를 보유한다. 상기 헤드의 하향 표면(614)은 글레이징된 연마 패드(54)와 맞물리고 이에 대하여 이동할 때, 그의 표면을 닦아내어 패드(54)를 글레이징되지 않도록 할 수 있을 정도로 충분히 거칠다.
조정 헤드(64)는 도 31에 도시되어 있다. 조정 디스크(612)는 하부 중심에 있는 중심 하부 개구부(616)를 포함하며, 상기 개구부의 중심 및 하부는 조정 디스크(612)의 효과적 회전 중심(618)에 배치된다. 효과적 회전 중심(618)은 패드(54) 및 조정면(614)의 압축 및 가변 측방향 경도를 고려할 때, 조정면 및 연마패드 사이의 회전 마찰 맞물림이 수직방향의 포인트에 대하여 토오크를 생성하지 않기 때문에, 토오크가 최소화될 수 있는 포인트를 제공하는 포인트이다.
도 30에 도시된 바와 같이, 조정 디스크(612)는 리세스(610)에 배치되고 표면 플레이트(620) 및 하부 자기 표면에 부착하는 끈적이는 표면을 가진 가요성 보유 패드(621)에 의하여 조정 헤드 표면 플레이트(620)의 하부에서 리세스(610)에 보유된다. 조정 디스크(612)는 보유 패드(621)에 인접한 리세스(610)에 고정된다. 조정 디스크(612)는 보유 패드(621)의 자기면에 보유되는 자기 재료로 만들어지며, 상기 보유 패드의 다른면은 조정 디스크(612)를 통과하는 삼각 어래이의 원형 홀(615)의 에지에 대하여 연마 패드(54)를 스크랩핑하기 위해 다이아몬드가 삽입된다. 홀의 직경은 약 1/8인치(3mm)이다. 조정 디스크(612)는 펜실바니아 퍼롱의 티티더블유(TBW) 인더스트리스에서 시판하는 그리드-어브레드 모델을 이용할 수 있다. 게이트(619a)는 리세스(610) 벽(619)에 형성되어 조정 디스크(612)가 리세스(610)로부터 상승되도록 한다.
도 30의 관통된 조정 디스크(612)만이 도시되며 다른 조정부재는 본 발명에 포함된다.
짐벌(gimbal) 드라이브
도 31에 도시된 바와 같이, 신규한 짐벌링 구조는 조정 헤드 표면 플레이트(620)와 부착 조정 디스크(612)를 조정기 암(64)에 연결시킨다. 짐벌링 구조는 회전 운동이 디스크형 구조에 전달되도록 하며, 한편 구동축은 디스크에 대하여 수직이 아닌 각도로 경사진다. 그러나, 도 32에 도시된 것처럼, 종래의 짐벌링 구조(621)는 짐벌 회전 중심(622)(짐벌 구조의 2개의 수평회전축이 교차함)를가지며, 상기 중심에 대하여 구동축(624) 및 정상축(626)은 각도 αgimbal만큼 이탈될 수 있다. 상기 종래 짐벌 회전 중심(622)은 조정 디스크(612)와 연마 패드(54) 사이의 계면에서 수평 토오크 중심(627) 위에 배치된다. 수평 토오크 중심(627)으로부터의 오프셋은 조정 디스크(612)가 패드(54) 위를 스위핑하고 짐벌 중심 회전 중심(612)으로부터 오프셋된 네트 수평 선형 마찰력을 경험할 때 유한한 수직 토오크(628)가 생성된다는 것을 의미한다. 네트 수직 토오크(628)는 조정 디스크(612)를 회전시키고 표면을 따라 선형으로 전달하는 샤프트가 짐벌회전 중심(622)을 통과하는 수평면에 합성력(R)을 발생시키고 전달된 조정 디스크(612)에 대하여 패드(54)가 영향을 받는 네트 선형 마찰력(F)은 조정 디스크(612) 및 패드(54) 사이의 계면에 존재한다는 것을 증명한다. 즉, 2개의 힘이 반대 방향으로 동일하더라도, 상기 2개 힘은 유한 수직 토오크(628)를 생성하는 모멘트 암에 의하여 분리된다. 수직 토오크(628)는 연마 디스크(612)의 선두 에지(630)가 조정 디스크(612)의 뒤쪽 에지(632)에 인가된 수직 압력 보다 글레이징되지 않는 조정 패드(54)에 대해 큰 수직 압력을 갖게 한다.
수직 토오크(628)는 연마 공정이 뒤쪽 에지(632)보다 앞쪽 에지(630)가 더 연마되도록 한다. 상이한 하중 및 연마를 발생시키는 이러한 토오크는 조정 헤드가 큰 하방향의 압력을 갖는 방향으로 스위핑할 때 증가되어 스위핑 힘이 앞쪽 에지 상의 하방향의 힘으로 부분적으로 변환되도록 한다.
상이한 연마의 문제는 수평 토오크(627)가 공통 중심(636)에서 짐벌회전 중심(622)과 일치하는 도 33에 따른 구조의 헤드에서 감소 또는 거의 제거된다. 패드(54)를 견인하는 합력(resultant force)(R')과 조정 디스크(612)와 패드(54) 사이의 마찰력은 조정 디스크(612)와 패드(54) 사이의 계면에서 동일한 평면내에 놓이게 된다. 마찰표면을 스위핑함으로써 발생하는 회전 토오크(628)는 제로로 감소되는데, 이는 토오크 중심(628)이 상기 토오크에 저항하는 평면내에 놓이기 때문인바, 즉 합력(R') 및 마찰력(F')이 동일 평면내에 놓여 이들 사이에 어떠한 모멘트 암을 가지지 않는다. 결과적으로, 오프셋 짐벌링 중심(622)에 의하여 야기되는 하중 차이가 상당히 감소된다.
도 34를 참조로, 조정기 암(62)의 왕복, 즉 중심에서 주변부까지 연마 패드(54) 사이의 스위핑은 암 스위핑 구동 모터(1670)에 연결된 하모닉 드라이브(1668)에 의하여 회전되는 조정기 지지 샤프트 하우징(1630)의 회전에 의하여 수행된다. 이러한 구조를 이하 상세히 설명된다. 조정기 암(62)은 하우징(1630)에 볼트연결된 스터브 샤프트(1642) 세트를 통하여 조정기 스위핑 구동 모터(1670)에 의하여 회전된다.
신규한 짐벌링 구조에 대한 도 33을 다시 참조로, 조정 디스크(612)가 글레이징된 패드(54)의 표면을 따라 힘을 받을 때, 마찰력(F')이 형성된다. 그러나, 중심에 위치한 공통 중심(636) 때문에, 이동힘(R')은 동일하고, 반대방향이고 평행하고 인라인 상태이다. 그 결과, 조정 헤드에는 네트 토오크가 없다.
이러한 효과는 대칭의 구형 중심이 조정 디스크(612)와 연마 패드(54) 사이의 계면에 놓이는 볼-앤드-소켓 연결부(640)에 의하여 이루어질 수 있다. 추가의수단은 소켓부분(642)이 볼부분(644)에 대하여 수평면 내에서 회전하는 것을 방지한다. 마찰력과 반대로 힘이 연마 패드(54)의 표면으로 전달되도록 볼-앤드-소켓 연결부의 중심을 배치함으로써, 이러한 구성은 헤드가 회전하는 경향과 종래 기술에서 발생했던, 조정 헤드의 한 측면상에서 다른 쪽보다 큰압력을 생성하는 것을 제거한다.
도 31의 단면도에 도시된 것처럼, 본 발명에 따른 특정 설계는, 공통 중심(618)에서 곡률 중심을 갖춘 볼록 환형 세그먼트 표면(654)을 갖는 베어링 부재(652)의 하부의 내부 모서리에 부착한 실린더형 하부 볼 연결부와 연마재 조정 헤드 표면(614)을 포함하는 조정 디스크의 후면을 부착하는 것이다. 상기 부분은 볼-앤드-소켓 연결부의 볼을 형성한다.
전술한 볼부분과 반대로, 소켓부분은 볼록 표면(654)에 대하여 반대로 오목 환형 세그먼트 표면(658) 및 공통 중심(618)에서 곡률 중심을 가진 조정 헤드축(656)을 포함한다. 볼 베어링 케이지(660)는 베어링 부재(652)의 볼록 표면(654)과 조정 헤드축(656)의 오목 표면(658) 사이에서 회전하는 몇 개의 베어링 볼(662)을 잡는다. 베어링 볼(662)은 조정 헤드 샤프트(656)이 조정 헤드 표면 플레이트(620) 및 패드(54)에 대하여 (두개의 수직 평면내에서) 뉴테이트되도록(nutate) 한다. 그러나, 매우 부드러운 O링(664)(바람직하게 듀로매터(40))은 베어링 부재(654)의 환형의 안쪽으로 향한 리세스(666)에 잡히고 조정 헤드 샤프트(656)의 바깥쪽으로 향한 벽(668)과 접한다. 한정되는 리세스(666)내의 O링(664)의 압축력은 어느 정도 조정 헤드(64)의 동작에 충분한 정도로 베어링부재(654)에 대하여 조정 헤드 샤프트(656)의 누테이션(nutation)을 제한한다. 사실, 유한한 압축력은 짐벌링 구조에 수직 토오크가 없다는 가정을 훼손한다. 누테이션은 조정 헤드를 표면 플레이트(620)의 한쪽에 다른쪽 보다 큰 압력을 제공하지 않고도 연마 패드(54)의 표면에서 미소 변화를 허용하는 작은 범위의 각도내에서 조정 디스크(612)의 이동을 허용한다.
네크형 너트(670)는 조정 헤드 베어링 부재(620)의 상부 림(672)상에 나사결합되고, 상부 네크(672)는 조정 헤드 샤프트(656)의 외부 플랜지(674)를 단지 느슨하게 감싸면서 잡으며, 가능한 맞물림은 베어링 부재(656)에 대한 조정 헤드 샤프트(656)의 누테이션에 궁극적인 한계를 제공한다. 쇼울더 볼트(676)는 조정 헤드샤프트(656)의 하부 중심에 나사결합된다. 하향의 헤드(678)는 베어링 부재(650)의 안쪽으로 향한 립(680)에 의하여 상향 측면상에 잡힌다. 쇼울더 볼트(676)의 헤드(678) 및 베어링 부재(650)의 립(680)의 선택적인 결합은 조정 헤드(64)가 연마 패드(54)로부터 상승될 때 조정 헤드 베어링 부재(620)가 조정 헤드 샤프트(656)로부터 떨어지는 것을 방지한다.
볼 베어링(662)은 조정 헤드 샤프트(656)에 대한 베어링 부재(652) 및 부착된 조정 디스크(612)의 자유 방위각 회전을 허용한다. 그러나, 몇 개의 주변 구동 핀(682)(도 31에는 하나만 도시됨)은 조정 헤드 베어링 부재(620) 및 조정 헤드 구동 샤프트(656)의 쌍으로된 구동핀 홀(685, 686)에 느슨하게 잡혀서 그 사이의 실제적인 방위각 이동을 방지한다. 즉, 조정 헤드 샤프트(656)의 구동 핀 홀(686)은 반대 방향으로 구동 핀(682)을 견고하게 잡지 않아, 조정 헤드 베어링 부재(620)에대한 조정 헤드 샤프트(656)의 제한된 누테이션이 가능하게 하지만, 이들은 상대 방위각 회전을 방지하기 위하여 측면으로 구동 핀(682)을 잡는다.
조정 헤드의 짐벌링은 조정 헤드의 조정 디스크를 위한 평면형 회전 구동을 허용하나 조정되는 연마 패드에 수직으로 어느 정도 경사지도록한다. 짐벌 구동은 회전의 하부 중심부 때문에, 짐벌 구동은 기판 밑으로의 상이한 조정을 방지한다.
2개의 환형 베어링(688)의 외부 레이스는 외부 환형 스페이서(690)에 의하여 간격을 두며 하부 환형 베어링(688) 및 하부 외부 컬러(694) 사이의 바이어싱 환형 스프링(696)으로 하부 외부 컬러(694)에 나사결합된 상부 외부 컬러(692)에 의하여 보유된다. 상부 외부 컬러(696)는 하부, 외부 스커트(693)를 포함하며, 상기 스커트는 조정 헤드 샤프트(656)를 지지하는 베어링(688)에 도달하는 슬러리 및 다른 오염물에 미로 경로를 제공한다.
이러한 어셈블리는 U형상 암 몸체(1604)로 들어가는 나사(1602)에 의하여 매달리고 상부 컬러(692)의 하부 플랜지(1608)로 탭핑된다.
어셈블리에서, 조정 헤드의 하부는 환형 베어링(688)의 중심으로 상승되며 하부 환형 베어링(688)의 내부 레이스는 조정 헤드 샤프트(656)의 리지(1610)에 안착된다. 내부 스페이서(1612)는 2개의 환형 베어링(688)의 내부 레이스를 분리한다. 상부 환형 베어링(688)의 내부 레이스는 치형 시브(1616)의 코오니스(1614)에 의하여 잡힌다. 볼트(1618)가 조정 헤드 샤프트(656)로 나사결합됨에 따라 시브(1616)를 압박하고 환형 베어링(688)의 내부 레이스를 보유한다.
조정기 암 및 지지체
도 29의 전체 단면도, 도 35의 확대 단면도 및 도 34의 개략도를 참조로, 조정기 암(62)은 조정 헤드(64)를 지지 및 상승시키고, 조정되는 패드(54)에 대해 헤드를 스위핑하고, 조정 헤드(64)에 파워를 공급하는 벨트 어셈블리를 감싼다.
암 몸체(1604)는 구동 벨트 어셈블리를 감싸 슬러리로부터의 오염을 방지하는 하우징(1622)을 형성하기 위하여 암 몸체(1604)에 나사결합되는 말단 단부벽(1618) 및 채널 커버(1620)를 포함한다. 구동 벨트 어셈블리는 조정 헤드(64)에 부착된 치형 헤드 시브(1616) 및 암 지지체(65)의 치형 구동 시브(1626) 주위를 감싸는 치형 구동 벨트(1624)를 포함한다. 조정 헤드(64)가 상이한 표면을 조정함에 따라 요구되는 구동 벨트(1624)의 토크를 변화시키기 때문에 치형 구동 벨트(1624)가 요구된다.
도 34 및 35에서, 회전 지지 하우징(1630)은 수평의 누테이션 축(1634)을 중심으로 암 몸체(1604)에 가까운 단부(1632)가 회전하도록 지지한다. 수직으로 연장되는 지지 하우징(1630)은 4개의 각각의 보유 홀(1638)에 탭핑되는 두 개의 평탄부(1636)를 포함한다. 지지 하우징(1630)의 평탄부(1636)가 암 몸체(1604)의 채널(1622)내에 배치될 때, 각각의 스터브 샤프트(1642)를 갖는 2개의 샤프트 베이스(1636)는 샤프트 베이스의 플랜지로 들어가는 홀(1644)에 보유된 나사에 의하여 평탄부(1636) 위에 부착되며, 나사는 지지 하우징(1630)에 보유 홀(1638)속으로 나사결합된다. 외부로 연장되는 스터브 샤프트(1642)는 구형 베어링(1646)의 내부 레이스에 의하여 회전하도록 지지되어 자기 정렬되고 스터브 샤프트(1642) 사이의오정렬을 조절한다. 이들 베어링(1646)의 외부 레이스는 베어링 커버 플레이트(1648)에 부착되며, 상기 플레이트는 베어링 커버 플레이트(1648)의 플랜지의 보어 홀(1652)을 통과하는 나사에 의하여 암 몸체(1604)의 수직 스커트(1650)에 고정되고 암 스커트(1650)의 탭핑 홀(1654) 속으로 나사결합되어 수평의 누테이션 축(1634)를 설정한다.
따라서, 조정기 암 몸체(1604) 근처의 단부(1632)는 수평의 누테이션 축(1634) 중심을 선회하도록 지지되고, 조정기 암 몸체(1604)는 또한 지지 하우징(1630)의 회전에 의하여 수평 평면에서 회전할 수 있다.
수평의 누테이션 축(1634) 부근의 조정기 암(62)의 회전은 암 몸체(1604)의 뒤로부터 연장되는 요크(1660)의 2개의 수평한 홀(1658)에 배치된 핀 및 피봇 지지 플레이트(1662)에 연결된 수압 램(1656)에 의하여 이루어지며, 상기 지지 플레이크는 샤프트 하우징(1630)에 부착되어 회전한다. 수압 램(1656)의 팽창 및 수축은 수압 램(1656)에 제공된 압력에 의하여 제어되는 특정 압력으로 연마 패드(54) 쪽으로 조정기 암(62) 및 부착된 조정 헤드(64)를 압박하거나, 또는 저장 또는 유지보수를 위하여 연마 패드(54)로부터 떨어진 조정기 암(62) 및 조정 헤드(64)를 선택적으로 상승시킨다.
도 34 및 35에서, 벨트(1624)용 구동 시브(1626)는 수평의 누테이션 축(1634) 위의 포인트에서 구동 샤프트(1664)의 상단부에 고정된다. 구동 샤프트(1664)는 샤프트 하우징(1630)내에 수직으로 통과한다. 상단부에서, 베어링을 보호하는 피봇 지지 플레이트(1662) 및 스커트(1663)에 연결된다. 하단부는 조정 헤드 모터(1666)의 외부 샤프트 상의 기어(1667)에 연결된 기어(1665)를 보유하여 조정 디스크(612)의 회전을 위한 이동힘이 제공된다. 조정 헤드 모터(1666)는 테이블 상부(23)에 고정된 모터 브라킷(1676) 위에 장착된다.
기하학적 구조의 결과로서, 액추에이터(1676)는 구동 시브(1626)가 조정기 암 몸체(1604)와 함께 선회하지 않게 하지만; 그러나, 헤드 시브(1616)는 조정기 암 몸체(1604)와 함께 선회한다. 따라서, 구동 시브(1616)와 누테이션 축(1634) 사이의 오프셋으로 인해, 구동 시브(1626) 및 헤드 시브(1616) 사이에 장착된 구동 벨트(1624)의 장력은 조정기 암(62)이 상승됨에 따라 감소되고 조정기 암(62)이 하강함에 따라 증가된다. (경사각에 따른 장력 변화는 만약 구동 시브(1626)가 누테이션 축(1634)하부에 배치된다면 반대로 된다). 수직 피보팅의 중심(1634) 상부(약간 상부임에도 불구하고)에서 오프셋된 구동 시브(1626)의 정렬은 구동 벨트(1624)의 장력에 영향을 준다. 암(62)이 연마 패드(54)를 향해 하향 선회함에 따라, 벨트(1624)의 장력은 증가하며, 암(62)이 연마 패드(54)로부터 멀리 선회함에 따라, 벨트(1624)의 장력은 감소한다. 벨트 장력의 감소 및 증가는 수압 램(1656)으로부터의 힘과 조합되어 연마 패드(54)상의 조정 헤드(64)의 압력에 영향을 준다. 벨트(1624)의 장력 증가는 연마 패드(54)쪽으로 조정 헤드(64)를 압박하는 수압 램(1656)에 의하여 발생된 힘과 반대이다. 장력 증가는 암(62)을 상승시키며, 장력 감소는 암(62)이 하부의 연마 패드(54)를 향하여 큰힘으로 압박하도록 한다.
이러한 구성에서, 조정 헤드(64)와 연마 패드(54) 사이의 일정한 마찰 계수는 구동 벨트(1624)에 일정 공칭의 장력을 제공하며, 이는 수압 램(1656)은 조정 헤드(64)와 연마 패드(54) 사이의 계면 높이의 미소 변화에 관계없이 조정 헤드(64)와 연마 패드(54) 사이에 일정한 공칭 압력을 제공한다. 조정 헤드(64)와 연마 패드(54) 사이의 마찰이 증가하면, 거친 연마 패드 표면이 발생될 때(이는 표면이 이미 거칠어 추가로 거칠기/조정이 요구되지 않음), 마찰 계수의 증가는 일정 속도에서 조정 헤드(64)를 계속 회전시키는데 요구되는 힘을 증가시킨다. 힘의 증가는 조정 구동 벨트(1624)의 장력이 증가하도록 하여, 연마 패드(54)상의 조정 헤드(64)의 압력 및 마멸을 감소시키기 위하여 연마 패드(54) 바깥으로 조정 헤드(64)가 상승하도록 한다. 반대로, 조정 헤드(64)가 낮은 마찰 계수를 가진 영역, 예를 들어 연마 패드의 표면상의 글레이징된 영역을 만날 때, 조정 헤드(64)의 회전에 대한 저항이 감소되어 조정 헤드 구동 벨트(1624)의 장력을 감소시킨다. 장력 감소는 구동 벨트(1624)의 힘을 감소시켜 조정기 암(62)을 하강시키고 연마 패드상의 조정 헤드힘이 증가되도록 하고, 연마 패드에 더욱 물리도록 하고 그리고 글레이징 위치 또는 낮은 마찰 계수로 추가 조정을 제공하도록 한다.
도 29 및 35에 도시된, 구동 스위핑 모터(1670)는 중심 및 주변부 사이의 연마 패드(54) 사이의 왕복 경로에서 조정기 암(62)을 스위핑한다. 구동 스위핑 모터(1670)는 테이블 상부(23)의 바닥에서 모터 브라킷(1676)에 장착된다. 출력 샤프트 상의 기어(1672)는 하모닉 드라이브(1668)의 림 구동 기어(1674)에 연결되며, 상기 드라이브는 전송된 토오크를 배가시킨다. 패드 조정기(60)를 위한 하모닉 드라이브는 유니트 사이즈 25로 페바디, 매사추세츠의 하모닉 드라이브 테크놀로지스, 테이진 세이키 보스톤 인코포레이티드로부터 구입할 수 있다. 벨트 구동 샤프트(1664)는 하모닉 드라이브(1668) 및 림 기어(1674)의 중심축을 따라 통과한다. 하모닉 드라이브(1668)의 고속, 저-토오크측은 모터 브라킷(1676)에 고정되고, 저속, 고-토오크측은 샤프트 하우징(1630)에 고정된다.
조정기 암(62)은 전술한 바와 같이 암 몸체(1604) 및 샤프트 하우징(1630) 사이에 볼트연결된 스터브 샤프트(1642)의 세트를 통하여 수평으로 회전된다. 조정 헤드 모터(1666)는 테이블 상부(23)에 고정된 기어 하우징(1672)의 기어 세트를 통하여 구동 샤프트(1664)에 연결된다. 구동 샤프트는 구동 벨트(1624), 조정 헤드(64) 및 조정 디스크(612)를 회전시킨다.
도 29 내지 도 35의 패드 조정기(60)는 다수의 상이한 모드를 가지며, 각각은 연마 시스템용 제어기 컴퓨터에 포함된 소프트웨어에 의하여 제어 및 선택될 수 있다.
연마 패드(54)는 연마가 상기 패드에서 방해받을 때 조정될 수 있다. 웨이퍼 헤드(110)는 방사상 가장 안쪽 위치로 배출되며, 그의 가장 바닥쪽 부분은 패드 표면상의 웨이퍼 헤드(110)에 보유된 임의의 웨이퍼를 분리하기 위하여 상승되며, 가압판(52)은 조정기 암(62)이 주변부에서 중심까지 회전 패드(54)와 접촉하여 이 사이에서 회전 조정 헤드(64)를 스위핑됨에 따라 회전한다.
선택적으로, 연마 패드(54)는 연마가 패드에서 계속되는 동안, 즉 실시간에 조정될 수 있다. 조정 헤드(64)의 스위핑은 일반적으로 패드(54)의 바깥쪽 부분(테이블 상부(23)의 중심에서 볼 때)에 대해 연장되는 반면, 웨이퍼 헤드(110) 및웨이퍼(40)는 안쪽 부분에 대해 스위핑된다. 그럼에도 불구하고, 2회의 스위핑이 충돌을 피하기 위하여 동기화되어야 한다. 동기화는 상부-중심 연마와 동시에 수행되는 실시간 패드 조정을 위해 요구되는데, 이는 웨이퍼 헤드(110)가 패드 중심(54a) 위를 지나 통과하고 패드(54)의 부분의 조정을 요구하기 때문이다.
조정 헤드 세척컵
조정 헤드(64)의 조정 디스크(614)는 연마 패드(54)를 스위핑할 때 연마 패드(54)에 인접한 연마 표면 및 외부 표면 상에서 슬러리로 커버되는 경향이 있다. 조정 헤드(64)가 연마 패드(54)의 젖은 표면상에서 작동하는 동안, 조정 헤드(64)의 표면 위에 있는 슬러리는 조정 공정이 계속됨에 따라 건조될 시간이 없으며 새로운 젖은 슬러리 입자에 의하여 쉽게 채워진다. 그러나, 동작을 안할 때, 예를 들어, 조정 헤드가 연마 중에 저장되지만 전체 장치가 예를 들어 유지보수와 같은 여러 이유로 동작하지 않을 때, 조정 헤드는 건조되고 조정 헤드 위에 코팅된 슬러리는 락-하드 케이크 형태로 되거나 슬러리의 수산화나트륨이 조정 헤드의 표면에서 결정화되도록 한다. 따라서 케이크 형태의 슬러리를 제거하거나 또는 결정화된 수산화나트륨이 다시 용액이 되도록 하는 것이 곤란하다.
이러한 문제를 방지하기 위하여, 도 18의 테이블 상부(23)의 평면도에 도시된 바와 같이, 세척컵 어셈블리(68)는 각각의 연마 스테이션(50a, 50b, 50c)과 관련되어 액체 환경에서 비활성 조정 헤드(64)를 저장한다.
도 36A에 개략적으로 도시된 바와 같이, 각각의 세척컵 어셈블리(68)는모터(2612)의 샤프트에 장착된 세척컵(2610)을 포함하며, 상기 모터는 조정 헤드(64)가 저장될 때 조정기 암(62)이 세척컵(2610)으로 조정 헤드(64)를 하강시키는 비활성 위치로 세척컵(2610)을 회전시킬 수 있다. 유체 라인을 포함한 보다 자세한 도면은 도 37이다. 비활성 위치는 연마 스테이션(50c)에 대하여 도 18의 평면도에 도시한다.
도 36B에 도시된 것처럼, 조정 헤드(64)가 연마 패드(54)를 조정하기 위하여 작업위치로 복귀될 대, 조정기 암(62)은 세척컵(2610)의 바깥으로 조정 헤드(64)를 상승시킨다. 다음에, 도 36C에 도시된 것처럼, 모터(2612)는 세척컵(2610)을 비활성 위치로 회전시키며, 이는 또한 연마 스테이션(50a, 50b)에 대하여 도18의 평면도에 도시된다. 다시 도 36C을 참조로, 조정기 암(62)은 가압판(52) 상에 장착된 연마 패드(54)로 조정 헤드(64)를 하강시킨다. 조정 작업이 종료된 후에, 조정 헤드(64)는 상승되고 세척컵(2610)은 도 36B의 위치로 다시 스윙되며, 상기 위치에서 조정 헤드(64)는 도 36A에서 처럼 저장을 위하여 세척컵(2610)으로 다시 하강하여 조정 헤드(64)에 부착된 슬러리 및 수산화나트륨이 용액 상태가 되도록 하거나 또는 제거되도록 한다.
세척컵 어셈블리(68)는 도 37에 도시되며, 세척컵(2610)은 도 38에 도시된다. 세척컵(2610)은 조정 헤드(64)의 바닥부분을 수용하기에 충분한 사이즈 및 깊이를 가진 원형 위어(2616)에 의하여 한정된 중심 수반(2614)을 포함한다. 위어(2616)는 1/8인치(3.2mm)의 직경을 가진 수직으로 연장되는 세척 공급 라인(2620)외측 단부에 개구부를 가진 종방향 슬립(2618)을 제공하도록 형상화된다. 물 또는 다른 세척 용액은 세척 공급 라인(2620)으로부터 세척컵(2610)을 통하여 순환된다. 조정 헤드(64)는 세척컵(2610)의 수반(2614)으로 하강됨에 따라, 조정 헤드(64)는 그안에 함유된 세척 용액을 분무하는 것이 가능하다. 따라서, 조정 헤드(64)를 세척컵(2610)으로 하강시키기 전에, 수반(2614)이 수직 공급 통로(2620)를 통하여 분출되며, 상기 공급 통로는 펌핑 및 공급 라인(2632)에 연결된 3방향 밸브에 의하여 이루어질 수 있다.
주변 배출부(2622)는 위어(2616)의 외측과 높은 주변 댐(2624) 사이에 형성된다. 주변 배출부(2622)의 양쪽 단부는 1/4인치(6.4mm) 직경을 가진 공통의 수직으로 연장되는 배출 경로(2626)에 연결된 2개의 배출 홀(2625)에 대하여 슬립(2618)으로 평행하게 외부로 연장된다. 유체가 수반(2614)을 넘칠 때는 언제나 주변 배출부(2622)에서 포착되어 배출 통로(2626)를 통하여 배출된다.
세척컵(2610)은 수직 공급부 및 배출 통로(2620, 2626)에 의하여 형성된 회전가능한 샤프트(2628)의 지지면 위에서 장착되며, 샤프트(2628) 및 세척컵(2610) 사이의 통로(2620, 2628)는 리세스에서 도시되지 않은 밀봉부에 의하여 밀봉된다. 샤프트(2628)는 지지 베어링(2630)에 의해 테이블 상부(23)에 장착된다. 세척컵(2610)의 회전은 상대적으로 제한되기 때문에, 가요성 공급부 및 배출 라인(2632, 2634)은 접속부(2636, 2638)를 통하여 샤프트(2628)에서 각각의 통로(2620, 2626)와 직접 연결될 수 있다. 배출 라인(2634)을 통해 배출된 세척 액체는 공급 라인(2632)을 통하여 처리 또는 순환될 수 있다. 분무를 방지하기 위하여, 세척컵(2610)이 이동되는 동안 그리고 조정 헤드(64)가 세척컵(2610)으로 하강될 때, 중심 수반(2614)이 배출되도록 하는 것이 바람직하다. 중심 수반(2614)은 세척 공급 라인(2620) 및 가요성 공급 라인(2632)을 통하여 배출될 수 있으며, 3방향 밸브는 가요성 공급 라인(2632) 위에 연결되어 세척 유체 소스 및 배출부 사이를 변화시킨다. 모터(2612)는 브라킷(2640)에 의하여 테이블 상부(23)의 바닥에 고정되며 도시되지 않은 기어 연결을 통하여 샤프트(2628)의 측면에 기어연결된다.
도 37에 도시된 바와 같이, 외측 댐(2624)의 높이가 높기 때문에, 세척컵 어셈블리로부터 유체가 손실되지 않으며, 새로운 세척 용액은 요구될 때 세척 용액이 깨끗한 상태를 유지하도록 공급되거나 순환되어, 조정 헤드(64)가 슬러리 또는 화학적 결정 형성 없이 그리고 조정 헤드의 표면 위에 문제를 발생시키지 않고 영구적으로 저장될 수 있다.
도 39A, 39B 및 39C는 세척컵 어셈블리(68)에 대한 조정기 암(62), 웨이퍼 헤드(64) 및 연마 가압판(52)의 상대 이동을 도시한다. 도 39A, 39B 및 39C는 도 36A, 36B 및 36C의 조정기 암(62)의 위치에 대하여 상호연관된다. 본 발명의 이러한 실시예에서, 조정 헤드(64)는 동시적인 연마 및 조정 작업 중에 웨이퍼 헤드(110)와 조정된 운동으로 연마 가압판(52)를 스위핑한다. 조정은 웨이퍼 헤드(110)가 카루우젤 지지 플레이트(90)의 슬롯(910)에서 방사상 왕복할 때 웨이퍼 헤드(110)의 간섭을 피하기 위하여 요구된다.
도 39A에서, 웨이퍼 헤드(110)는 연마 패드(54) 위에 중심이 형성되며, 조정기 암(62)은 저장 위치에 배치되며 조정 헤드 세척컵 어셈블리(68)는 조정 헤드(64)를 감싼다.
도 39B에서, 조정기 암(62)은 세척컵 어셈블리(68)의 바깥으로 수직으로 선회하며, 가상선은 가압판 에지를 오버랩하지 않고 조정기 암(62)의 내부 맨끝에서 외부 맨끝으로의 웨이퍼 헤드 맨끝 외부 위치를 나타내며 다른 가상선(2642)은 조정기 암(62)을 위한 안쪽 및 바깥쪽 맨끝 사이의 유사한 왕복 운동을 도시한다.
유사한 왕복을 도시한다.
도 39C에서, 세척컵 어셈블리(68)는 조정기 암(62)이 중심에서 에지로 그리고 후방으로 연마 패드(54) 사이를 왕복 스윙하는 동안 이동하는 경로 밖으로 이동된다. 주목할 것은 웨이퍼 헤드(110), 조정 헤드(64) 및 가압판(52)은 동일 방향(시계방향)으로 회전한다는 것이다. 도 39C는 헤드가 가압판(52)의 에지 위에 매달리도록 허용될 때 웨이퍼 헤드(110)의 외부 맨끝 위치를 도시한다. 웨이퍼 헤드에 의해 보유되는 웨이퍼를 제외한 웨이퍼 헤드(110)의 보유 링 부분은 가압판(52)의 에지 상에 매달려 있게 된다.
선택적인 공정에서, 조정 및 연마 단계는 분리된다. 연마 공정 중에, 조정 헤드(64)는 도 39B에 도시된 바와 같이 저장 컵 어셈블리(68)에 저장되는 반면, 웨이퍼 헤드(110)는 회전하는 연마 패드(54)에서 웨이퍼를 스위핑한다. 도 39C에 도시된 것처럼, 조정 공정 중에, 웨이퍼 헤드(110)는 카루우젤(70)의 중심 근처의 가장 안쪽의 위치에서 회전 패드(54) 위에 저장된다. 조정 헤드(64)는 저장 컵 어셈블리(68)로부터 상승되며, 상기 어셈블리는 비간섭 위치로 회전되며, 조정 헤드(64)는 회전 패드(54)를 조정하기 위하여 그 위에서 스위핑된다. 패드 조정이 완료되면, 컵 어셈블리는 다시 조정 헤드(64)가 복귀되어 저장되는 위치로 회전된다.
웨이퍼 이송 정렬 및 세척 스테이션
다시 도 1 및 도 2을 참조로, 이송 스테이션(70)은 적재 장치(30) 및 연마 장치(20) 사이의 전후로 웨이퍼를 이동시키고 연마가 종료된 후에 웨이퍼를 세척하는 등 여러 가지 목적을 수행한다. 도 40은 웨이퍼 이송 스테이션(70)의 확대 투시도를 도시하며, 이는 테이블 상부(23)에 대하여 상승할 수 있다. 웨이퍼 이송 축받이(72)는 수평으로 연장되는 상부면을 가지며, 상기 상부면에는 얇은 탄성 필름(722)이 기본 표면을 손상시키지 않고 축받이(72)의 상부 위에 웨이퍼를 부드럽게 지지하기 위하여 접착된다. 3개의 포크 어셈블리(74)는 축받이(72)의 한개의 수직 위치 주위에 배치되어 축받이(72) 위에 지지된 웨이퍼를 측방향으로 정렬시킨다. 축받이(72)는 세척 보호판(76)내에서 수직으로 인입가능하여, 보호판(76)에 부착된 3개의 세척 어셈블리(77)가 웨이퍼, 축받이 또는 웨이퍼 헤드를 향해 헹굼 유체를 사출할 때, 헹굼 유체가 보호판(76)내에 포함되도록 한다. 보호판(76)은 또한 테이블 상부(23)에 대하여 수직으로 상승할 수 있다.
도 41은 가압판의 상부 및 세척 보호판의 평면도이다. 도 42 및 43은 도 40과 유사한 2개의 상이한 각도에서의 투시도를 도시하지만, 포크 및 물 노즐의 동작을 도시하기 위한 부분적 단면도를 도시한다. 도 44는 이송 스테이션의 가압판 영역의 상세 단면도를 도시한다. 보호판(76)은 실린더형 수반 샤프트 하우징(78) 위에 지지되고 밀봉되며, 축받이는 수반 하우징(78)내에서 수직으로 연장되는 튜브형 축받이 칼럼(79) 상에 나사결합되고 이에 의하여 지지된다.
축받이 위 및 세척 수반내의 세척 및 진공 부품
도 41 및 44에 도시된 바와 같이, 이송 스테이션(70)의 축받이(72)는 중심으로부터 오프셋되고 탄성 필름(722)을 관통하는 축받이(72)의 상부면 위에 중심 포트(724) 및 다수의 오프셋 포트(726)를 포함한다. 즉, 물 및 진공을 위한 포트(724, 726)는 축받이(72) 및 탄성 필름(722)의 상부를 통하여 개방된다. 포트(724, 726)는 튜브형 축받이 칼럼(79)의 중심 통로(732)에 반해 수직 통로(730)에 연결되는 축받이(72)의 측면 통로(728)(도 44에 2개만 도시됨)에 연결된다. 압축된 세척액 또는 진공은 나사형 유니온(738)을 통하여 축받이 칼럼(79)에 착탈식으로 연결된 가요성 유체 호스(736)를 통하여 축받이 칼럼(79)의 중심 통로(732)의 하부에 가해진다. 세척 유체로 진공 소스의 오염을 방지하기 위하여, 진공 발생기 및 3-방향 밸브는 진공 라인 및 세척 공급 라인과 결합하여 가요성 라인(736)에 연결된다. 진공 발생기는 진공을 발생시키기 위하여 수압을 이용한다. 진공 발생기는 매사추세츠, 힝함의 PIAB사의 모델 L10 진공 펌프일 수 있다. 3-방향 밸브이지만, 축받이 칼럼(79)의 중심 통로(723) 및 관련 포트는 가압된 액체 또는 진공이 공급될 수 있으며, 액체에 의하여 진공 소스가 오염될 가능성은 감소된다.
도 41의 평면도 및 도 49A의 측단면도에 도시된 것처럼, 디스크 팁 노즐은 포트(724, 726)(바람직하게 일리노이즈 새인트 챨스의 레클러사로부터 시판되는 모델 680.345.17)와 나사결합된다. 이하에 기술되는 단방향 체크 밸브는 중심포트(724)에 설치되어 세척액이 사출되는 것을 방지하지만 중심 포트에 진공이 공급되도록 한다. 가압된 세척 용액이 오프셋 포트(726)를 통하여 공급될 때, 상향을 향하는 액체는 웨이퍼 헤드(110)의 하부면 및 여기에 부착된 웨이퍼를 세척한다. 웨이퍼가 탄성 필름(722)과 접촉할 때, 포트(724, 726)에 공급된 진공은 축받이(72)의 상부에 견고하게 웨이퍼를 밀봉시킨다.
도 43에 개략적으로 단면이 도시된 3개의 세척 어셈블리(77)는 축받이(72)에 대하여 약 120°간격으로 배치되며 포치 루프(porch roof)(740) 아래 및 외벽(741)내부 보호판(76) 주변에 배치된다. 각각의 세척 어셈블리(77)는 수반(76)의 내부 하부에 고정되고 수직 통로(748)를 통하여 제 1 탭핑 노즐 홀(746)에 연결된 방사상 통로(744)를 가진 하부 부재(742)를 포함한다. 세척 어셈블리(77)는 하부 부재(742) 위에 고정된 상부 부재(750)를 포함하며 다른 수직 통로(748)에 밀봉된 그 자신의 수직 통로(752)를 가지며 제 2 탭핑 노즐 홀(754)에 연결된다. 각각의 평탄 분무 노즐은 노즐 홀(746, 754)에 나사결합되며, 이들의 각각의 슬릿 방향은 전체 분무 패턴을 최적화하도록 선택된다. 하부 노즐 홀(746)은 축받이(72)의 수평면에 대하여 약 30°만큼 위로 향한 세로축을 가지며, 상부 노즐 홀(754)은 수평면에 대하여 약 15°만큼 아래로 향한 세로축을 가진다; 즉, 2개의 노즐 홀(746, 754)은 약 10° 내지 45°의 범위 각도만큼 웨이퍼의 평면으로부터 오프셋된다. 이들 2개의 분무 패턴의 오프셋은 축받이(72)의 주변 근처 또는 이의 외측이 교차하도록 배치되어 비어 있는 축받이(72) 및 연마에 의하여 보유되는 웨이퍼를 더욱 효과적으로 세척하도록 한다.
도 43 및 도 44에 도시된 것처럼, 각각의 세척 어셈블리(77)는 하부 부재(742)의 방사방향의 내부 단부에 연결되고 방사상 통로(744)에 밀봉된 공급 튜브(756)를 포함한다. 각각의 세척 어셈블리(77)의 공급 튜브(756)는 그의 하단부로 수반 하우징(78)의 내부 아래로 수직으로 연장된다. 여기서, 하부 컬러(760)의 통로(758)에 연결되며, 상기 컬러는 세척 유체용 가요성 라인에 나사연결하기 위하여 외벽 위에 탭핑 홀을 가진다.
따라서, 세척 유체는 3개의 수평으로 배향된 주변 세척 어셈블리(78) 및 축받이(72) 상부위의 수직으로 배향된 포트(726)에 독립적으로 공급될 수 있다. 각각의 소스로부터의 세척 유체는 웨이퍼 헤드(110)가 이송 스테이션(70) 위에 배치되고 수반 보호판(76) 및 관련 세척 어셈블리(77)가 상승될 때 수반 보호판(76)내에 포함되어, 수반 보호판(76)의 포치 루프(740) 내부에 웨이퍼 헤드(110) 및 부착된 웨이퍼를 배치하도록 한다. 과잉의 세척 유체 및 거기에 실린 슬러리는 수반 보호판(76)에서 포착되어 배출 통로(759)가 수반 보호판(76)의 하부 및 컬러(760)를 관통하고 배출 파이프(761)에 연결되는 수반 하우징(78)의 하부를 향해 배출된다.
웨이퍼 헤드(110) 주위의 수반 보호판(76)의 상승은 요구되는 웨이퍼 헤드(110)의 수직 스트로크를 감소시킨다. 이러한 짧은 스트로크는 웨이퍼 헤드를 간단하고 쉽게 설계할 수 있다.
웨이퍼 정렬 포크
도 40에 도시되고 이하에 설명되는 것처럼, 3개의 포크 어셈블리(74)는 웨이퍼(40)가 웨이퍼 이송 패들에 의하여 축받이(72) 위에 적재될 후에 세척 스테이션(70) 및 축받이(72)에 대하여 웨이퍼 헤드(110)를 정렬시키기 위하여 이용된다. 축받이(72)는 약간 하강되고 포크 어셈블리(74)가 부착된 수반 보호판(76)은 축받이(72), 웨이퍼(40) 및 웨이퍼 헤드(110)의 하부를 측방으로 둘러싸도록 상당히 상승된다. 중심 조절이 완료된 후에만 웨이퍼(40)는 웨이퍼 헤드(110)에 적재된다.
도 41은 평면도로서 3개의 웨이퍼 정렬 포크 어셈블리(74)의 삼각 방향을 도시한다. 도 42의 투시도, 도 45의 단면도 및 도 44의 단면도에 도시된 것처럼, 각각의 포크 어셈블리(74)는 제한된 각도 범위에서 회전가능하고 중심이 조절된 웨이퍼의 에지를 접하게 하기 위한 한쌍의 정렬 가지(tine)(764)를 가진 포크(762)를 포함한다. 포크(762)는 수반 하우징(78)의 내부로 하향 연장되는 수직 리브(768)에 고정된 인접한 단부를 가진 방사상 연장되는 포크암(766)의 말단 단부 위에서 회전한다. 리브(768)의 하단부는 이하에 기술되는 지지 슬리브(772)의 윙(770)에 샤프트(769)를 중심으로 힌지되며, 상기 슬리브는 수반 하우징(78)에 고정된다. 공기 실린더(774)는 수반 하우징(78)의 외측면의 측면에 고정되며 수반 하우징(78)을 관통하고 그의 샤프트 단부 상에서 수직 리브(768)의 중간 부분속으로 나사결합된 커플링을 가지는 출력 샤프트(776)를 가진다. 본 설계는 각각의 리브(768) 및 관련 포크 어셈블리(74)에 대해 한 개의 공기 실린더(774)를 사용하였지만, 본 설계는 하나의 공기 실린더로 3개의 리브(768)를 작동시키기 위하여 쉽게 변형될 수있다.
포크 공기 실린더(774)의 공기 작동 및 비작동은 축받이(72) 상의 웨이퍼에 대하여 포크(762)의 방사상 위치를 제어한다. 작동은 방사상 안쪽으로 리브(768)를 가압하여 포크(762)가 축받이(72) 상의 웨이퍼에 접근하고 접촉하도록 한다. 비작동은 리브(768)를 방사방향으로 외부로 당겨, 축받이(72)이로부터 포크(762)를 인출한다. 주목할 것은 기하학적 구조는 축방향 이동에 의하여 포크(762)의 방사상 이동을 결합하여 웨이퍼(40)에 근접할 때 포크(762)를 상승시킨다. 포크 공기 실린더(774)는 진공 실린더(774)에 대하여 변화하는 하중을 제공하고 위치에 대한 미세한 공기 제어를 허용하도록 스프링이 장전된다. 멈춤 나사(778)는 포크 암(766)의 방사상 내부 부분을 통하여 하부로부터 나사결합되어 포크암(766)에 대하여 수직으로 조정가능한 하부 스톱을 제공하고 포크(762)의 방사상 외부 이동을 제한한다.
도 42 및 45에 도시된 것처럼, 포크 어셈블리(74)의 포크(762)는 포크암(766)의 말단 단부에 고정되고 이로부터 수직으로 상향 연장되는 포크 회전 샤프트(780) 위에 회전하도록 지지된다. 2개의 부싱(782)(도 45에는 하나만 도시됨)은 포크(762)의 요크를 포착하며 포크 회전 샤프트(780)에 대하여 수평면에 자유 회전을 제공한다. 포크(762)의 자유 회전은 포크(762)가 상당히 오정렬된 웨이퍼에 최소의 긁힘 작용을 이루도록 하고 3개가 아닌 6개의 접촉 포인트를 제공한다.
2개의 범퍼 어셈블리(784)는 포크 가지(764)의 후방으로 방사상으로 수직축을 중심으로 회전하도록 지지된다. 각각의 범퍼 어셈블리(784)는 노브형 범퍼(786)의 수평면에서 자유 회전이 가능하도록 하는 2개의 볼 베어링을 가진다. 범퍼(786)는 웨이퍼 헤드(110)의 측면과 맞물리며, 이는 세척 스테이션(70)의 축받이(92)와 정확하게 정렬되지는 않는다. 포크(762)가 그의 양쪽 가지(764)와 웨이퍼(110)의 측면을 초기에 접촉시킨 후에, 포크 어셈블리(74)의 내부 수축은 제동되지 않은 카루우젤 지지 플레이트(906)가 요구되는 방향으로 회전하도록 하여 축받이(72)이와 적정하게 정렬되도록 한다. 이때만 카루우젤(90)이 제위치에 록킹된다. 범퍼(786)는 오정렬된 웨이퍼(40)와 재정렬된다.
원거리 샤프트(769)를 중심으로 선회하는 포크암(766) 및 리브(768)의 외팔보 설계는 중간 지지 구조의 긴 모멘트 암 및 제한된 견고성에 의하여 포크(762)가 원주 방향 및 수직방향으로 이탈되게 한다는 단점을 가진다. 포크 어셈블리(74)의 자유 이동은 방해하지 않으면서 상기와 같은 이탈을 방지하기 위하여, 3개의 정렬 포크 어셈블리(790) 각각이 120°만큼 분리된 원주 위치 및 축 위치에서 세척 수반(76)의 외벽(741)의 각각의 리세스에 나사결합되어 고정된다. 이러한 위치는 포크 회전 샤프트(780) 및 범퍼(786)로부터 방사방향으로 내부 위치에서 포크(762)에 고정되고 이로부터 하향 하강하는 포스트(792)에 상응한다. 정렬 포크 어셈블리(790)는 수반벽(741)으로부터 방사상 내부로 연장되는 2개의 가지(794)를 가지고 있어 포크 회전축(780)의 하방향 하강 포스트(792)를 느슨하게 포착하여 포크(762)가 소정 예정된 회전 제한 이상으로 회전됨으로써 원주방향으로 이탈하는 것을 방지한다. 포크(762)는 그의 회전이 가지(794)중 하나와 연결된 포스트(792)에 의하여 정지될 때까지 가지(794)내에서 부싱(782)을 중심으로 회전한다.
축받이 상에 있는 웨이퍼의 처리면이 있는 웨이퍼 지지체를 위한 상기 설계는 웨이퍼 처리면과의 불필요한 접촉 없는 종래의 설계 원리와 반대로 이루어진다. 이러한 접촉을 제거하는 선택적인 설계는 축받이면으로부터 상향 연장되고 웨이퍼의 가공면의 가장 바깥쪽 주변부 또는 웨이퍼 림과 맞물리도록 배치된 3개의 핑거를 포함한다. 리지 또는 테이퍼는 핑거의 상부 팁에서 안쪽으로 면하고 있어 핑거와 웨이퍼의 정렬을 개선시킨다. 따라서, 웨이퍼 처리면의 중심부는 축받이 위에 매달려 있게된다. 반사 광학 센서는 웨이퍼가 핑거 위에 배치될 때를 감지하도록 축받이면에 결합된다.
이송 스테이션 지지 및 이송
전술하고 도 44의 단면도에 도시된 바와 같이, 이송 축받이(72) 및 세척 수반(76)은 기계 베이스(22)의 테이블 상부(23)에 대하여 수직으로 독립적으로 이동가능하다.
수반 하우징(78)은 테이블 상부(23)의 상부에 고정된 숄더(1714)에서 개구부(1712)를 자유롭게 통과한다. 공기 실린더(1716)는 수반 하우징(78)의 하단부의 측면에 고정된다. 출력 샤프트(1718)는 상향 수직으로 연장되며며, 푸트(1720)는 플레이트(1724)를 통하여 숄더(1714)의 하부에 부착된 조우(1722)에 포착된다. 따라서, 수반 공기 실린더(1716)는 수반 하우징(78) 및 테이블 상부(23)에 부착된 부재의 상대 운동을 제공한다. 공기 실린더(1716)는축받이(92)를 이동시키지만 공기 실린더(1716)에 의하여 이동된 별도의 이동 수단은 수반 하우징(78)과 무관하게 축받이(92)를 이동시킬 수 있다. 도시되지 않은 수직 레일이 보호판(1714)에 부착되고, 수반 하우징(78)에 부착된 도시되지 않은 핸드는 레일과 맞물려 수반 공기 실린더(1716)에 의하여 수직으로 이동될 때 수반 하우징(78)에 측면 안정성을 제공한다.
수반 하우징(78) 하부의 내부 립(1726)은 수반 하우징(78) 내에서 상향 연장되는 지지 슬리브(772)의 하부를 지지한다. 2개의 실린더형 부싱(1728, 1730)은 지지 슬리브(772) 및 축받이 칼럼(79) 사이에 삽입되어 이를 측방향으로 지지하지만 수직방향으로 자유롭게 안내한다. 상부 부싱(1728)은 지지 슬리브(772)에 나사결합된 컬러(1732)에 의해 지지 슬리브에 대하여 하향 가압된다. 하부 부싱(1730)은 지지 슬리브(772)의 하단부만을 접하며 하부 컬러(760)에 의하여 축받이 지지 칼럼(79)에 대하여 보유된다. 도시되지 않은 볼트 세트는 하부 컬러(760), 수반 하우징(78)의 하부립(1726)을 통과하며, 지지 슬리브(772)의 하단부 속으로 나사결합되어 지지 슬리브(772) 및 수반 하우징(78)을 견고하게 연결한다. 앞서 언급된 것처럼, 각각의 포크 어셈블리(74)을 위해, 리브(768)는 지지 슬리브(772)의 하단부에서 윙(770)을 통과하는 샤프트(769)를 축으로 선회한다.
축받이 칼럼(79) 및 축받이(72)는 도 46에 부가적으로 개략적 도시된 3-레그 스파이더(1740)에 의하여 수반 하우징(78)의 하부에 이동하도록 보유된다. 스파이더(1740)는 도 44A에 확대 단면도로 도시된 2개의 O링(1742)에 의하여 축받이 칼럼에 견고하게 보유되며, 웨지형상 스페이서(1743)가 이들 사이에 배치되며, 전부 하부 탭핑 에지를 가진 환형 리세스(1744)에 배치된다. 축 압축은 O링(1742)이 스파이더(1740), 웨지형상 스페이서(1743) 및 축받이 칼럼(79)과 탄성 접촉하도록 하여, 이들을 서로 고정시킨다. 위에 놓인 컬러(1746)의 립은 스파이더(1740)에 대하여 나사에 의해 바이어스되어, 각각의 테이퍼의 예리한 포인트로 O링(1742)을 보내고 축방향 칼럼(79)과 방사상 맞물려 임의의 상대적 이동을 방지한다.
도 44 및 46A에 도시된 것처럼, 스파이더(1740) 각각의 레그(1750)는 그의 말단 단부에 하부 조우(1752) 및 2개 톱니의 상부 조우(1754) 사이의 슬릿(1755)을 갖는 둘갈래로 나뉜 상부 조우(1754)를 갖는다. 스파이더 지지 샤프트(1756)는 상부 조우(1754)의 치형부 사이를 통과하고 하부 및 상부 조우(1752, 1754) 사이에 맞물린 푸트(1758)를 그의 하단부에 부착한다.
스파이더 지지축(1756)은 수반 샤프트 하우징(78)의 측면에 부착된 공기 실린더(1760)의 수직으로 향한 출력 샤프트이다. 따라서, 축받이 공기 실린더(1760)의 작동은 축받이(72) 및 그 위에서 지지되는 웨이퍼가 세척 수반에 대하여 수직으로 이동하도록 한다. 3 가이드 포스트(1762)는 스파이더(1740)의 암(1750)에서 부싱(1764)을 통과한다. 가이드 포스트(1762)의 상단부는 수반 샤프트 하우징(78)에 부착된 도 44의 하부 컬러(760)에 고정되어 스파이더(1740) 및 부착된 축받이(72)의 이동에 안정성을 제공한다.
상기 지지 및 이송 메커니즘은 축받이를 이동시키기 위하여 3개의 공기 실린더(1760)를 사용하였지만, 하나의 공기 실린더만에 대하여 쉽게 재설계될 수 있다.
이송 스테이션에 대한 웨이퍼 적재
도 47에 도시된 것처럼, 적재 시스템으로부터 연마 시스템(20)에 웨이퍼(40)를 적재할 때, 세척 수반 및 여기에 부착된 부재는 수직으로 정지한 웨이퍼 헤드(110)로부터 멀리 하강하며, 축받이(72)는 하부 측면에 웨이퍼가 부착된(이하 설명되는 장치 및 공정에 의해) 이송 로벗 블레이드(38)가 수직으로 정지한 웨이퍼 헤드(110) 아래 및 축받이(72) 상부를 통과할 수 있는 위치로 약간 하강한다. 웨이퍼 블레이드(38)가 중심에 배치될 때, 축받이(72)는 그의 탄성 표면(722)이 웨이퍼(40)를 부드럽게 수용할 수 있도록 상승된다. 다음에, 축받이(72)는 하강되고 웨이퍼 블레이드(38)는 인출된다. 도시된 것처럼, 웨이퍼(40)는 처음에 축받이(72)와 상당히 오정렬될 수 있다.
웨이퍼 적재시, 이송 세척 수반 보호판(76) 및 그의 내부 부재는 웨이퍼 이송 축받이(72)로부터 멀리 하강된다. 하부에 웨이퍼(40)를 보유하는 진공 척킹 홀을 가진 로벗 블레이드(38)는 웨이퍼(40)가 세척 수반(67) 위로 연장되는 축받이(72) 상부 아래와 면하는 위치로 웨이퍼(40)를 이동시킨다. 축받이(72)는 웨이퍼 표면과 접하도록 상승되며 웨이퍼는 로벗 블레이드(38)로부터 방출된다. 축받이가 하강되거나, 또는 로벗 블레이드가 약간 상승되어 로벗 블레이드(38)가 웨이퍼 헤드(110)와 축받이(72) 사이로 부터 수평 회전함에 따라 웨이퍼와 로벗 블레이드의 접촉을 방지한다. 웨이퍼 헤드(110) 및 세척 수반 보호판(76)은 상승되어(도 47B) 웨이퍼 헤드(110)의 주변부를 둘러싼다.
다음에, 도 47B에 도시된 바와 같이, 축받이(72)는 약간 상승되지만,수반(76)은 실질적으로 정지 웨이퍼 헤드(110) 및 축받이(72) 위에 부착된 웨이퍼(40)를 감쌀 정도로 상승된다. 이러한 동작 중에, 웨이퍼 정렬 어셈블리(74)는 해제되고 방사상 바깥쪽 위치에 놓인다. 수반 보호판(76)이 상승되어 웨이퍼(40)가 포크(762)의 가지(764)와 수평으로 정렬될 때, 포크 공기 실린더(774)가 동작하여 포크(764)가 축받이(72)의 중심쪽을 이동하게 하고 만약 축받이(72) 위에 지지된 웨이퍼(40)의 주변을 접촉하지 않는다면 접근하도록 한다. 포크(764)는 그의 범퍼(786)가 웨이퍼 헤드(110)의 외측과 접촉할 때까지 방사상 내부로 이동한다. 이러한 접촉은 2-가지(tined) 포크(762)가 포크 피보팅 포스트(780)를 중심으로 원주방향으로 정렬되도록 한다. 도 48A 및 48B에 도시된 것처럼, 방사상 내부로의 이동은 축받이(72)의 중심(72a)과 웨이퍼 헤드(110)를 정렬시키고 또한 가지(764)가 축받이(72)의 중심(72a)과 웨이퍼(40)의 중심(40a)을 정렬시키도록 한다. 웨이퍼(40)와 초기에 접하는 가지(764)는 동일 포크(762)에서 대립된 가지(764)가 웨이퍼(40)와 접할 때까지 다시 선회한다. 다음에, 도 47D에 도시된 것처럼 2-가지(764)는 다른 2개의 포크 어셈블리(74)의 범퍼(786)가 웨이퍼 헤드(110)를 접촉함으로써 정지될 때까지 다른 2개의 포크(762)쪽으로 이미 중심이 맞춰진 웨이퍼(40)를 보낸다. 웨이퍼(40)가 축받이 상에 적절히 정렬되면, 정렬 포크(762) 및 그의 가지(764)는 웨이퍼(40)와 간신히 접촉하게 된다.
웨이퍼 헤드(110)에 부착하기 위하여 축받이(72)의 중심에 웨이퍼(40)를 정렬시키기 위하여 정렬 포크(762)에 의하여 발생된 추진력은 정렬 포크(762)의 6-가지(764)중 몇 개로 분산된다. 각각의 포크(762)의 추진력은 두 개의 포인트에서웨이퍼(40)를 추진시키기 위하여 요크를 통하여 거의 동일하게 분산된다. 웨이퍼(40)가 축받이(72)의 중심을 향해 이동함에 따라, 슬라이드 접촉 없이 선회함으로써 웨이퍼 에지와 접하도록 유지하기 위하여 수평으로 회전하도록 하는 요크의 자유는 웨이퍼를 파손시킬 수 있는 웨이퍼 모서리에서의 스트레스 농도를 감소시키며, 큰 영역위의 정렬 조우 및 웨이퍼 사이의 슬라이드에 대하여 마찰 저항력을 제공하여, 동일 힘이 작은 영역에 가해질 때 더 큰 국부적 변형력 때문에 국부적으로 매달릴 가능성를 감소시킨다. 정렬 요크의 사용은 웨이퍼가 웨이퍼 헤드(110)와 정렬되도록 추진됨에 따라, 웨이퍼의 회전 및 슬립페이지(slippage)를 허용한다.
도 47D 및 도 26C에 도시된 것처럼 웨이퍼(40)가 웨이퍼 헤드(110)와 정렬될 때, 웨이퍼는 웨이퍼 헤드(110) 하부(1110)의 리세스(1115) 아래에 위치된다.
다음, 도 47E에 도시된 것처럼, 포크 액추에이터(774)는 포크 어셈블리(74)가 방사상 인출되도록 한다. 축받이(72)는 웨이퍼 헤드(110) 하부(1110)의 웨이퍼 수용 리세스(1115)로 웨이퍼(40)를 올리기 위하여 상승된다. 웨이퍼(40)는 웨이퍼 수용 리세스(1115)의 내부 기본 표면에 대하여 강하게 압박되어 축받이(72)가 하강되기 전에 웨이퍼(40)와 웨이퍼 헤드(110) 사이의 진공 또는 표면 장력 부착이 수행될 수 있도록 한다. 일부 구성에서, 웨이퍼 헤드(110)는 웨이퍼 수용 리세스(1115)에서 진공 포트를 가져 진공 포트가 웨이퍼(40)에 의하여 밀봉될 때 인터록 센서가 감지하도록 한다. 이는 웨이퍼(40)가 웨이퍼 헤드(110)에 확실하게 부착되도록 하고, 아래로부터 웨이퍼(40)를 확실하게 지지하는 축받이(72)가 웨이퍼가 웨이퍼 헤드(110)에 적정하게 부착되지 않는 위험 없이 하강되도록 할 수 있다. 세척 수반(76)이 하강되고 웨이퍼(40)가 부착된 웨이퍼 헤드(110)는 연마를 위하여 다음 연마 스테이션으로 회전되도록 한다.
이송 스테이션으로부터 웨이퍼 세척 및 적재
도 49A, 49B, 49C, 49D, 49E 및 49F는 일단 웨이퍼 연마가 완료되어 웨이퍼 헤드를 세척하고 웨이퍼 헤드로부터 웨이퍼를 제거할 때 수행되는 동작을 도시한다.
도 50A, 50B 및 50C는 축받이(72)의 중심에서 중심 노즐(724) 뒤에 배치된 체크 밸브 어셈블리(1770)의 동작을 도시한다.
도 49A 및 49B는 연마가 완료된 후에 세척 수반(76)으로 둘러싸이고 축받이(72) 하부 측면을 면하고 있는 웨이퍼 헤드에 여전히 부착된 연마된 웨이퍼(40)를 도시한다. 모든 세척 분사가 시작된다, 즉, 축받이(72) 표면에 있는 3개의 측면 세척 어셈블리(77)와 오프셋 노즐(726)(그러나 이하 설명되는 체크 밸브로 인해 중심 노즐(726)은 제외)의 모든 6개 노즐(746, 754)은 웨이퍼 헤드(110)의 하부 및 측면 부분 및 축받이(72)의 상부에 탈이온수 또는 화학 용액을 분무하여 연마 공정 중에 웨이퍼 헤드(110) 및 웨이퍼(40)에 포착되거나 부착된 입자를 세척한다. 웨이퍼 헤드(110)는 분무 동작중에 회전될 수 있어 웨이퍼 헤드(110) 하부 상의 모든 영역 및 모든 틈이 세척된다. 세척 수반(76)에 분무된 물은 중심 수반 지지 하우징(78)을 통하여 배출하며 재순환 또는 제거된다.
3C3 웨이퍼 헤드(110") 및 세척 수판 보호판(76)의 포치 루프(74) 사이의 약 0.168인치(4.3mm)의 클리어런스는 감소되며, 제거되지 않는 다면, 기계의 다른 영역으로 수반 보호판(76)의 외부로 물이 분무될 수 있다. 0.146인치(3.7mm)의 감소된 클리어런스는 범퍼(786) 및 웨이퍼 헤드(110) 사이에 존재한다.
도 50A에서 처럼, 체크 밸브 어셈블리(1770)는 중심 노즐(724) 뒤의 축받이(72) 속으로 나사결합된 삽입부(1772)를 포함한다. 축받이(72)의 중심 및 측면 통로(728)에서 중심 포트(724)에 연결된 수직 통로(730)의 교차부분에서, 블록(1774)은 수직 통로(730)의 하부에서 테이퍼벽(1778)과의 사이에 밸브 볼(1776)을 포착한다. 도시된 것처럼, 축받이 칼럼(79)의 중심 통로(732)로부터 공급된 가압된 물은 수직 통로(730)의 테이퍼벽에 대하여 볼(1776)에 힘을 가하여 중심 포트(724)를 차단한다. 이러한 차단은 축받이(72)에 비중심적으로 배치된 포트(726)에 균일한 수압을 제공한다. 도 50A-50C"의 체크 밸브가 제위치에 존재하지 않으면, 분무될 대부분의 물은 큰 중심 노즐(724)에서 벗어나고 일부는 축받이(72)에서 다른 작은 오프셋 노즐(726)로 향한다.
도 49B 및 49B는 인출 동작의 다음 단계를 도시한다. 축받이 공기 실린더(1760)는 웨이퍼(40)와 접촉하여 축받이(72)를 상승시키고 진공 소스는 축받이 칼럼(79)의 하부를 통하여 유체 통로(728, 732)에 도달하며, 상기 유체 통로는 오프셋 분무 포트(726)에 물을 연결한다. 분무 노즐(724, 726)은 진공 흡수 포트로 변환된다. 축받이(72)의 상부상의 탄성 필름(722)은 웨이퍼(40)와 축받이(72) 상부 사이에 밀봉을 제공한다. 진공 밀봉이 진공 라인의 압력을 하강시킴으로써축받이 진공 공급 통로에서 감지되자 마자, 웨이퍼 헤드(110)의 웨이퍼 수용 리세스(1115)에는 웨이퍼(40) 뒤에 가압된 가스가 제공되어 웨이퍼 헤드(110)로부터 웨이퍼(40)를 용이하게 인출하도록 한다. 그렇지 않으면, 축받이(72)에 대한 진공 밀봉은 웨이퍼 헤드(110)에 웨이퍼(40)를 보유하도록 하는 진공 또는 다른 부착힘과 대항하도록 한다.
도 50B에서 축받이(72)의 중심 포트(724)에서 체크 밸브(1770)의 볼(1776)은 블록(1774) 위에 정지되도록 낙하되어 진공이 축받이(72)의 중심을 포함하는 큰 영역에 직접 공급될 수 있도록 수직 통로(730)를 개방한다.
웨이퍼(40)가 축받이(72)의 상부 위에 진공에 의하여 포착될 때, 도 49C 및 49C에서 처럼 축받이의 진공이 유지되고 축받이는 제 2 세척 위치로 하강된다. 웨이퍼 헤드(110)에 웨이퍼(40)가 부착되는 동안 웨이퍼(40) 뒤 또는 다음에 포착되는 슬러리 또는 다른 입자가 노출되고, 세척 어셈블리(77)의 노즐(746, 754)은 활성화되어 모든 입자 및 슬러리 입자가 멀리 분출될 수 있도록 웨이퍼(40)의 후방 사이 및 웨이퍼 수용 리세스(1115)로 물을 분사한다. 이러한 제 2세척 단계 중에, 웨이퍼 헤드(110)는 제 2세척 동작에서 측면 세척 어셈블리(77)의 3개 위치에서만 사출되고 축받이(72)의 상부 포트에서는 사출되지 않는 세척물의 균일한 분배를 위하여 회전된다. 제 2세척 동작중에, 진공 압력은 웨이퍼 축받이(72)의 상부상의 유체 포트(724, 726)에 계속 공급되어 그의 표면으로 물의 힘에 의하여 웨이퍼(40)가 이동하는 것을 방지한다. 도 50C에서, 체크 밸브(1770)의 볼(1776)은 개방된 위치를 유지한다. 축받이(72)상의 웨이퍼(40)의 제 2세척이 완료될 때, 수반 공기실린더(1716)는 세척 수반(76)을 하강시키고, 축받이 공기 실린더(1760)는 그의 미소 스트로크에 의하여 축받이(72)를 하강시켜 로벗 블레이드(38)의 삽입(약 0.25인치 또는 6mm)을 허용한다. 축받이(72)는 로벗 블레이드(38)가 웨이퍼(40)의 후방과 접촉하도록 상승될 수 있다. 진공 밀봉이 로벗 블레이드(38) 및 웨이퍼(40)의 후방 사이에서 감지될 때, 축받이(72)의 진공은 진공력이 웨이퍼(40)의 보유를 방해하지 않도록 해제된다. 축받이(72)는 하강되고 로벗 블레이드(38)는 이송을 위하여 웨이퍼 카세트(42)에 새롭게 연마된 웨이퍼를 배치하기 위하여 이동된다.
테이블 상부 배열
도 52는 도 2의 단면 52-52를 통하여 도시되며, 이는 가압판 회전 모터(232)에 의하여 회전하는 가압판(52)상의 웨이퍼(도시안됨)를 연마하는 제 1 웨이퍼 헤드(110a)의 위치 및 서로에 대하여 여러 가지 부분의 위치를 도시한다. 반대방향에 위치한 웨이퍼 헤드(110c)는 이송 스테이션(70)에 배치되며, 상기 이송 스테이션(70)에는 웨이퍼 헤드(110c) 및 부착된 웨이퍼가 연마된 후에 세척되거나 또는 이송 스테이션(70)으로부터 수용될 때 웨이퍼 헤드(110c)로 웨이퍼가 적재된다.
이송 세척 수반 보호판(76)이 웨이퍼 헤드(110c)로부터 멀리 하강되고 다른 웨이퍼 헤드(110)가 카루우젤 허브(902)에 대하여 가장 안쪽 및 바깥쪽에 있는 위치로 수축될 때, 카루우젤 지지 플레이트(906)는 새로운 위치에 웨이퍼 헤드를 배치하기 위하여 회전한다. 내부 스테이션 세척이 없을 때, 회전은 90°이고; 내부 스테이션 세척에 대하여 회전은 일반적으로 45°이다.
카루우젤 지지 플레이트(906)는 중심 포스트 베어링(984)을 통하여 정지 슬리브형 중심 포스트(902) 위에 회전하도록 지지된다. 카루우젤 구동 모터(986)는 중심 포스트(902)에 의하여 지지되고 그의 출력은 전술한 하모닉 구동 공급부로부터 이용할 수 있는 유니트 사이즈(65)와 같은 하모닉 드라이브(988)에 연결된다. 하모닉 드라이브(988)는 카루우젤 지지 플레이트(906)를 정밀하게 회전시키고 보유할 수 있는 높은 토오크 배가 드라이브를 제공한다.
하모닉 드라이브(988)는 스테이션 사이에서 웨이퍼 헤드 어셈블리를 회전시키기 위하여 허용가능한 회전 속도를 제공한다. 그러나, 하모닉 드라이브(988)의 정지 보유 토오크는 웨이퍼의 연마 및 이송을 위해 특정 기준 위치에서 정확하게 카루우젤 지지 플레이트(906)를 보유하기에 충분하며 웨이퍼 헤드(110)는 변화하는 방사상 위치에서 회전 연마 패드(54)와 맞물린다.
부가적인 차단을 제공하기 위하여, 도 53에 도시된 기어 록킹 시스템이 카루우젤 지지 플레이트(906) 및 하모닉 드라이브(988) 사이에서 이 둘을 연결하는 구동 샤프트(992) 위에 배치될 수 있다. 샤프트 기어(991)는 구동 샤프트(990)에 견고하게 고정된다. 두꺼운 제 1 아이들 기어(992)는 제 1 아이들 샤프트(993) 위에 회전가능하지만 견고하게 조립된다. 두꺼운 제 1 아이들 기어(992)의 상부는 항상 샤프트 기어(991)와 맞물린다. 제 2 아이들 샤프트(995) 상에서 자유롭게 회전하는 얇은 제 2 아이들 기어(994)는 항상 두꺼운 제 1 아이들 기어(992)의 하부 영역 및 샤프트 기어(991)의 맞물린 부분 외부에서 두꺼운 제 1 아이들 기어(992)와 맞물린다. 그러나, 제 2 아이들 샤프트(995)는 기어용 하우징에 고정된 공기실린더(996)에 의하여 축방향으로 이동될 수 있다. 록킹 공기 실린더(996)가 작동될 때, 제 2 아이들 기어(994)는 두꺼운 제 1아이들 기어(992)의 상부쪽으로 슬라이드되고 또한 샤프트 기어(991)와 맞물린다. 3개의 기어(991, 992, 994) 사이의 이러한 맞물림은 이들이 이동하는 것을 방지한다. 제 1 아이들 샤프트(993)는 제 2 아이들 샤프트(995)와 함께 구동 샤프트(990)의 회전을 방지하는 토오크암을 제공한다.
선택적으로, 바람직하게, 디스크 차단 어셈블리가 이용될 수 있다. 로터 디스크가 샤프트(990)에 부착되고, 캘리퍼는 로터 디스크의 반대쪽에 그의 암 세트를 가지며 암 상의 차단 패드는 디스크와 접한다. 캘리퍼는 공기 실린더에 의하여 선택적으로 폐쇄되고 캘리퍼 암 상의 차단 패드는 로터 디스크의 반대쪽에 보유되어 다른 회전을 방지한다.
도 52에서, 웨이퍼 헤드 회전 모터와 다른 전기 장치 사이의 와이어링 및 웨이퍼 헤드 샤프트의 상단부에서 로터리 커플링(1042)에 대한 유체 라인은 그의 상부에서 와이어링 개구부(998)를 통하여 카루우젤 커버(908)로 들어가는 와이어링 및 호스 다발(997)로 연결된다. 이러한 연결은 웨이퍼의 간섭을 피하고 슬러리 환경이 와이어링/호스 개구부(998)를 통하여 커버로 유입될 가능성을 감소시킨다. 모든 4개의 중간 세척 스테이션이 수행된다면, 카루우젤(90)의 회전은 360°이하, 예를 들어 4개의 헤드 어셈블리 장치에서 270°또는 315°로 제한되기 때문에, 카루우젤(90)의 회전은 와이어링 및 튜브 다발을 구속 및 제한을 야기하지 않는다. 순차적인 공정 중에, 제 1 웨이퍼는 제 1헤드 위에 적재되고 적재 위치로부터 제 3스테이션 270°에 도달할 때까지 다음 스테이션에 점진적으로 90°회전된다. 다음 회전 시퀀스는 제 1 웨이퍼를 90°회전시켜 제 1 웨이퍼가 적재 위치에 복귀되지만 와이어 및 호스 구속 및 제한을 방지하고, 90°의 순방향(시계방향)회전, 즉 270°의 반대 회전(시계반대 방향)방향은 전술한 도 5A-5F 및 6A-6D에 대하여 설명된 것처럼, 웨이퍼를 다시 이송/적재 위치로 복귀시키기 위하여 수행된다. 시퀀스에서 적재되는 제 2 및 3웨이퍼는 기능적 시퀀스가 동일하지만 270°의 역방향 회전에 의하여 간섭되는 연마 스테이션 사이에서 순방향 진행을 제공한다.
일반적인 적재 장치
도 1에서 도시되고 전술한 바와 같이, 적재 장치(30)는 보유 스테이션(32) 및 보유 터브(34) 사이에서 웨이퍼 카세트(42)를 이동시키고 또한 보유 터브(34)의 카세트(42) 및 연마 장치(20) 사이에서 각각의 웨이퍼(40)를 이동시키며, 이는 상세히 설명되었다. 이 2세트의 이동은 리스트(wrist) 어셈블리(37) 및 오버헤드 트랙(36)으로부터 하강하는 암(35)에 의하여 부분적으로 이루어진다.
도 54에서 도시된 바와 같이, 리스트 어셈블리(37)는 수평 오버헤드 트랙(36)으로부터 하강하는 하강 암(35)에 의하여 보유되고, 상기 오버헤드 트랙(36)을 따라 암(35)이 수평으로 이동한다. 리스트 어셈블리(37)는 웨이퍼(40)를 이동시키기 위하여 웨이퍼 블레이드(38)를 이용하고 카세트(42)를 이동시키기 위하여 클로우(39)를 이용한다. 이러한 여러 가지 이동을 실시하기 위하여, 암(35)은 그의 수직축을 중심으로 회전하고 상기 수직축을 따라 연장되고 수축될수 있으며, 리스트 어셈블리(37)는 수평축을 중심으로 회전할 수 있으며 그 자신은 수평면에서 회전할 수 있다.
도 54의 측단면도에 도시된 것처럼, 암(35)은 오버헤드 트랙(36)으로부터 매달리고 트랙(36)을 따라 이동하여 보유 스테이션(42)와 보유 터브(34) 사이의 카세트를 이동시키고 웨이퍼(40)가 연마 장치(20)로 적재될 수 있는 위치로 보유 터브(34)내의 여러 위치로부터 각각의 웨이퍼(40)를 이동시키도록 한다.
적재 장치(30)의 상세한 설명은 블레이드(38) 및 클로우(39)로부터 시작한다.
블레이드 및 클로우
도 55에 도시된 바와 같이, 리스트 어셈블리(37)는 허부 부분(314), 이로부터 방사상으로 연장되는 클로우(39) 및 블레이드 브래킷(316)을 포함하는 클로우 부재(312)를 포함한다. 클로우(39)는 도 57에 도시된 바와 같이 2개의 평행한 핑거(318) 및 클로우(39)와 수직으로 연장되는 2개의 핑거팁(320)을 포함한다. 또한 클로우(39)의 후면은 허부 부분(314)과 접하는 너클 리지(322)를 포함한다.
블레이드 몸체(324)는 카운터싱크 평탄 나사 의하여 블레이드 브래킷(316)의 개방 리세스에 고정되어 블레이드 몸체(324)의 한쪽면이 블레이드 브래킷(316)의 한쪽에 의하여 분출되도록 한다. 블레이드 몸체(324)의 분출면은 도 56에 도시된 바와 같이 그의 말단 단부에서 진공 채널(322)과 개구부(330)를 통하여 연결된 사각 진공 리세스(328)를 포함하며, 상기 채널은 블레이드 몸체(324)를 따라 축방향으로 연장된다. 개구부(330)는 도 60에 도시된 바와 같이 블레이드 몸체(324)의 반대쪽에서 블레이드 몸체(324)의 두께 보다 큰 깊이 합계까지 진공 리세스(328) 및 진공 채널(332)을 밀링함으로써 형성된다. 결과적으로, 개구부(330)는 진공 채널(332)이 진공 리세스(328)와 중첩하는 영역에 형성된다. 블레이드(38)의 "하부"에 의하여 웨이퍼가 연마 장치(20)에 적재 및 인출될 때 그의 하부측상의 웨이퍼(40)를 보유하는 진공용 진공 리세스(328) 측면이 이용된다.
도 56에 도시된 바와 같이, 주변 리지(334)는 진공 채널(332)의 주변부를 중심으로 밀링된다. 삽입부(336)는 리지(334)에 고정되고 용접되어 진공 채널(332)을 밀봉한다. 그러나, 삽입부(336)는 그의 중간 단부에서 쓰루홀(338)을 포함하여 진공 소스용 진공 포트를 제공한다. 도 59의 평면도는 블레이드 몸체(324)에 고정된 삽입부(336)를 도시한다. 도 55의 하부 투시도 및 도 58의 측면도에 도시된 바와 같이 진공 홀(340)은 블레이드 브래킷(316)을 통하여 구멍난다. 진공 홀(340)의 수직 단부는 블레이드 삽입부(336)에서 쓰루홀(338) 위에 놓여 밀봉된다. 진공 홀(340)의 수평 단부는 진공 호스(342)의 나사형 커플링에 연결된다. 따라서, 진공 호스(342)에 공급된 진공은 블레이드(30)에 웨이퍼(40)를 진공 척킹하는데 이용될 수 있다. 진공 척킹은 카세트(42)로부터 수직으로 배향된 웨이퍼를 제거하고 블레이드(38)의 하부측 위에 수평으로 웨이퍼(40)를 보유하기 위하여 이용된다. 블레이드(38)는 그의 기판 후면 위에 웨이퍼(40)를 진공척킹하며, 부분적으로 형성된 회로를 포함하는 공정쪽은 방해되지 않는다. 따라서, 공정에 대한 기계적 손상이 방지된다. 블레이드(38)는 이송 스테이션(70)의 축받이(72)의 연화 탄성표면(722)위로 공정 하방향으로 웨이퍼(40)를 디척킹한다. 진공 척킹은 보유 허브(38)의 액체에서 수행되기 때문에, 진공은 전술한 종류의 진공 발생기(343)에 의하여 공급되며, 상기 발생기는 양의 공기압에 의하여 힘을 받은 양의 액체 또는 유체 압력 소스로부터 음의 공기압을 발생시킨다. 전술한 바와 같이, 진공 발생기는 진공이 액정에 대하여 분출될 때 메인 또는 하우스 진공 소스의 오염을 방지한다. 진공 발생기(343)는 리스트(37)의 측면에서 휠 하우징(344) 상에 고정된다. 또한 여기에 부착된 것은 호스(342)내에 압력을 감지하기 위하여 진공 호스(342)에 연결된 공기 압력 센서(345)이다. 이는 특히 진공 척이 웨이퍼를 척킹할 때 유용하다.
도 61에 도시된 바와 같이, 클로우(39) 및 블레이드(38)는 암(35)에 의하여 회전되고 이동될 수 있도록 지지된 웜 휠 하우징(344)에 회전되도록 지지된 기어 어셈블리의 기어에 클로우(39)의 허브 부분(312)을 나사결합함으로써 리스트 어셈블리(37)에 함께 조립된다.
도 57에 도시된 바와 같이, 웜 휠(346)은 클로우(39) 및 블레이드(38)에 고정되고 웜 휠 하우징(344)(도 61참조)에 고정된 샤프트(350)에 고정된 내부 레이스 및 웜 휠 하우징(344)에 고정된 외부 레이스를 가진 외부 레이스 베어링 어셈블리(348) 위에 회전하도록 보유된다. 도 57의 측면도 및 도 61의 상부 단면도에 도시된 바와 같이, 암(35)으로부터 수직으로 하강하는 웜 기어(352)는 웜 휠(346)과 맞물린다. 웜 기어(352)가 회전할 때, 블레이드(38) 및 클로우(39)는 웜 휠(346)의 샤프트(350)를 중심으로 수직 평면에서 회전한다. 이하에 기술되는것처럼, 상기 회전은 (1) 동작 위치로부터 블레이드(38) 및 클로우(39)를 교환하기 위하여, (2) 연마 장치(20)에 대하여 카세트(42)의 수직방향 및 수직방향 사이에서, 블레이드(38)에 대하여, 웨이퍼(40)를 회전하기 위하여, 그리고 (3) 카세트(42)로부터 클로우(39)를 부착 및 이탈시키기 위하여 이용된다.
트랙 및 암
오버헤드 트랙(36) 및 그것이 지지하는 암(35)에 대하여 설명한다. 암(35)은 카세트(42) 및 거기에 포함된 웨이퍼(40) 사이에서 수평으로 이동하며 리스트 어셈블리(37)를 지지하고, 회전시키고, 이를 수직으로 이동시킨다.
도 1의 오버헤드 트랙(36)은 보호 커버(360)에 의하여 커버된다. 벨트 모터(361)는 모터(361)가 다른 단부로부터 돌출되지만 모터는 다른쪽 단부에 위치되는 것이 바라직할 수 있다.
암(35)을 회전하도록 지지하는 캐리지(362)는 도 62에 도시된 바와 같이 오버헤드 트랙(36)을 따라 선형으로 연장되는 측면 레일(366)에 의하여 한쪽면 위에 수평으로 슬라이드되도록 지지된 슬라이더(364)와 볼트 연결된다. 레일(366)은 박스빔(368)의 측면에 고정되며, 상기 박스빔은 오버헤드 트랙(36)을 위한 메인 지지 부재를 형성한다. 슬라이더(364)의 상부에 고정된 외팔보 브래킷(370)은 박스빔(368) 위로 연장되고 구동 벨트(372)에 두 개의 접속 포인트에 의하여 고정된다. 구동 벨트(372)는 그의 내부에 치형이 형성되고 2개의 치형 시브(374) 주위에 싸인다. 도 63에 도시된 바와 같이, 제 1 시브(374)는 박스빔(368)의 한쪽에회전하도록 지지된 샤프트(378)에 부착된다. 유사하게, 제 2 시브(376)는 박스빔(368)의 동일 측면에 자유 휠링 형태로 유사하게 지지된다. 시브(374, 376)에 인접한 빔 채널(368)의 양쪽 단부는 시브(374, 376)가 돌출하는 상부 컷아웃(380)을 가지고 있어 구동 벨트(372)의 상부가 박스빔(368)의 외부에 보내지고 하부가 박스빔(368)을 통하여 내부로 보내지도록 한다.
도 63 및 63의 투시도 및 도 64의 평면도에 도시된 바와 같이, 채널 폐쇄 벨트(380)는 구동 벨트 시브(374, 376)의 샤프트(378) 아래 위치에서 박스 채널(368)의 측벽에 장착된 샤프트(384)를 중심으로 회전하는 2개의 자유-휠링 캡스턴(382)주위를 감싼다. 채널 폐쇄 벨트(380)의 중심의 리지(385)는 캡스턴(382)에서 대응하는 그루브(385a)와 매칭되어 수평 슬라이드(364)가 단부에서 단부로 이동될 때 벨트(380)의 정렬을 유지한다.
채널 폐쇄 벨트(380)의 단부는 암(35) 및 리스트 어셈블리(37)에 대응하는 레일(366)로부터 일정거리에서 캐리지(362)의 하부에 고정된다. 따라서 채널 폐쇄 벨트(380)는 보호 커버(360)의 하부를 폐쇄하는 슬라이딩 밀봉을 제공하여 입자가 하우징 내부로부터 가공되는 웨이퍼위로 떨어지지 않게하거나 메카니즘이 슬러리 오염되지 않도록 한다.
도 62에 도시된 바와 같이 여러 부품(387a, 387b, 387c)은 트랙(36)을 따라 종방향으로 연장되며 추가 지지체 및 커버링을 제공한다. 설명된 것처럼, 하부 코너 부분(388) 및 커버(360)는 개방형 종방향 슬롯(389)을 제공하며, 상기 슬롯을 통하여 암(35)은 캐리지(362)로부터 매달릴 때 슬라이드된다. 그러나, 스롯(389)은 연마 부스러기가 트랙(36) 및 캐리지(362)의 미세한 기계 부재로 상향 관통하고 기계 부스러기가 웨이퍼로 하향 통과하도록 하여 이들을 오염시킨다. 채널 폐쇄 벨트(380)는 한쪽에서 다른 쪽으로 이동할 때 캐리지(362)를 안정시키는 기능 및 커버(360) 안쪽으로부터 입자 및 부스러기가 웨이퍼(42)로 떨어지는 것을 방지하는 기능 및 슬러리로부터 기계 부재를 보호하는 기능을 제공한다.
구동 벨트(372)를 위한 자유 회전 시브(376)용 샤프트(378) 및 채널 폐쇄 벨트(380)를 위한 캡스턴(382) 중 하나를 위한 샤프트(384)는 벽에서 종방향으로 연장되는 슬롯에 설정된 플랜지에 의하여 박스 채널 벽에 장착된다. 각각의 플랜지는 플랜지와 각각의 슬롯 외부에 배치된 앵커 포스트 사이에 나사 결합에 의하여 선택적으로 바이어스된다. 따라서, 각각의 벨트(372, 380)는 선택적으로 장력을 받는다.
도 65의 축단면에 도시된 바와 같이, 캐리지(362)는 원형의 베어링 어셈블리(390)의 외부 레이스를 포착하며 컬러(394)의 플랜지(392)는 내부 레이스를 포착한다. 이하 설명되는 바와 같이, 컬러(394)는 암(35)을 지지한다. 수평 웜 휠(396)은 컬러(394)에 의하여 그 위에 지지된다. 도 64의 수직 평면도에 도시된 바와 같이, 웜 기어(386)는 웜 휠(396)과 맞물려 암(35) 및 암(35)의 수직축을 중심으로 수평면의 리스트 어셈블리(37)를 회전시킨다.
도 62의 투시도 및 도 54의 단면도에 도시된 바와 같이, 암 C-섹션(392)의 평탄 헤드 플레이트(390)는 캐리지(362)에 의하여 회전하도록 지지된 컬러(392) 하부에 볼트연결된다. 암 커버(394)는 사용할 때 암(35)을 커버한다.
암(35)의 연장 및 수축은 도 54 및 도 65에 도시된 바와 같이, 웜 모터(1300)에 의하여 제어된다. 이는 캐리지(362)내에 장착되고 수직으로 배향된 출력 샤프트는 암 C-섹션(392)의 컬러(394) 및 헤드 플레이트(397)를 통하여 하향하는 암(35) 내부를 통과하는 웜 기어(1302)에 연결된다. 수직으로 하강하는 웜(1302)은 L-브래킷(1306)의 상부에서 이동 웜 너트(1304)와 맞물린다. 도 61에 도시된 바와 같이, L-브래킷(1306)의 후면은 C-섹션(392)의 수직부(1310)에 고정된 수직 선형 베어링 레일(1308)과 맞물리는 선형 베어링 도브테일 그루브를 가진다. 웜 드라이브(1300, 1302, 1304)는 약 10인치(27cm)의 수직 경로를 제공하며, 이는 카세트(42)로부터 8인치(200mm) 웨이퍼(40)를 조정하고 웨이퍼를 테이블 상부(23) 위에 위치한 축받이(75) 위에 배치하기에 충분하다.
도 54의 측면도 및 도 61의 개략도에 도시된 바와 같이, 모터(1314)는 L-브래킷(1306)의 푸트(1316) 상에 장착된다. 출력 샤프트(1318)는 푸트(1316)를 통하여 지지 칼럼(1320)의 중심 통로를 따라 통과한다. 도 57의 측면도 및 도 61의 개략도에 도시된 바와 같이, 2개의 하프 컬러(1322)는 지지 칼럼(1320)의 환형 리세스(1323)에 고정되고 암(35)의 하부에서 지지 칼럼(1320)을 웜 휠 하우징(344)에 고정하기 위해 웜 휠 하우징(344)에 나사조립된다. 출력 샤프트(1318)는 웜 휠 하우징(344)을 관통하고 블레이드(38) 및 클로우(39)를 회전시키는 웜 휠(346)과 맞물린 그의 하단부 위에 웜 기어(352)를 가진다.
따라서, 전체 4단계의 이동에 대하여, 모터(1314)에 의한 회전은 수직면에서블레이드(38) 및 클로우(39)를 회전시키고, 모터(384)에 의한 회전은 수평면에서 이들을 회전시키고, 모터(1300)에 의한 회전은 이들을 수직으로 이동시키고, 모터(361)에 의한 회전은 이들을 수평으로 이동시킨다.
도 61에 도시된 바와 같이, 중공 트롬본(1324)은 웜 휠 하우징(344)의 이어(ear)(1326)에 고정되고 C-섹션(398)의 푸트(1316)을 통하여 암(35)의 내부로 수직 영역(1310)에 평행하게 슬라이드된다. 트롬본(1324)은 음의 공기압 라인(342)(또는 국부 진공 발생기가 사용된다면, 정압 라인) 및 블레이드(38) 및 클로우(39)의 절대 각도 위치를 감지하는 리스트 어셈블리(37)의 샤프트(350)을 따라 유도된 전기 라인을 포함한다.
여러 가지 모터 및 로벗 블레이드에 대한 와이어링 및 튜빙은 도 62의 트랙 커버(360)의 정면 후방 및 상기 커버와 평행하게 위치한 체인 링크형 롤링 와이어 트레이(도시안됨)를 통하여 연결된다. 롤링 와이어 트레이의 단부는 트레이의 고정 단부가 안착된 트로프(through)에 고정된다. 상기 트로프는 트랙 커버(360)를 지지하는 브라킷 상에 지지된다. 와이어링 및 튜빙은 롤링 와이어 트레이에 연결되고, 가요성 롤링 와이어 트레이는 캐리지(362)에 도달하기 전에 C밴드를 형성하고, 여기에 와이어 트레이의 다른 단부가 고정된다. 롤링 와이어 트레이의 제 2단부는 오버헤드 트랙(36)을 따라 이동할 때 캐리지(362)를 따른다. 다음에 와이어링 및 튜빙은 캐리지(362)에서 웜 구동 모터(1300)를 중심으로 캐리지(362) 및 하강 암(35) 사이에 도 65의 회전 컬러(394) 주위의 플랜지 볼트가 배치된 하나 이상의 개구부 홀을 통하여 하강 암(35)과 연결된다. 와이어링 및 튜빙이 연결된 부재의 회전은 ±180° 범위의 회전으로 제한되어, 웨이퍼 조정에 필요한 모든 각도가 와이어링 및 튜빙을 과도하게 구속하거나 제한하지 않고 상기 범위내의 전후방 운동내에서 이루어질 수 있도록 한다.
보유 터브
보유 터브(34)는 도 67의 축방향 단면도에 상세히 도시된다. 터브(34) 자체는 웨이퍼 카세트에 이용된 종류의 폴리프로필렌 또는 다른 플라스틱 재료로 된 통합 몸체이다. 일반적으로 직사각형 외벽(1430) 및 캐치 수반(1434)에 의하여 사각 외벽(1430)로부터 분리되고 외벽(1430)의 상부(1440) 하부의 팁(1438)을 가진 바깥방향 및 하방향으로 탭핑된 상부(1436)를 가진 동일한 형상의 내부 위어(1432)를 포함한다. 배쓰(bath)(302)는 내부 위어(1432) 사이의 수반에 채워지며 캐치 수반(1434)으로 넘칠 때까지 위어(1432)의 팁(1438)에 채워진다.
슬롯 리지(430) 사이에 다수의 웨이퍼(40)를 보유하는 하나 이상의 카세트(42)(4개가 바람직하다)는 터브(34)에 적재된다. 위어(1432)의 상부(1438)는 터브(34)에 보유된 웨이퍼(40)의 상부 위에 배치되고, 도 68에 도시된 바와 같이, 위어(1432)의 벽을 통하여 횡방향으로 연장되는 일련의 절단되고 반전된 삼각 채널(1438)을 포함한다. 채널(1438)은 웨이퍼(40)의 상부 위에 위치한 배쓰(302)의 적정 상부 레벨 약간 아래에 하부(1439)을 가지며, 상기 하부는 채널(1438)의 평균 폭보다 짧은 폭을 가진다. 제한된 양의 액체가 하부(1439)의 제한된 폭 사이에 흐를 수 있으며, 배쓰(302)의 레벨은 일반적으로 상기 레벨위로 상승한다. 이러한 상승은 채널(1438) 사이의 비균일 또는 높이차를 해소하기에 충분하여 채널(1438)의 미소 일부만을 통하여 배쓰(302)가 배출하는 것을 방지한다.
각각의 카세트(42)는 터브(34) 하부(1446)에 고정된 2개의 레일(1444)에 의하여 측방향으로 정렬되고 레일(1444)로부터 바깥쪽으로 연장되는 3쌍의 핀(1448)에 의하여 보유된다. 도 69에 도시된 바와 같이, 3세트의 핀(1448)은 레일(1440)을 따라 수직으로 배치되어 적정 각도 3°에서 카세트(42)를 지지한다. 이러한 경사각은 바람직하게 보이지만, 최고 10°및 가능하게 15°의 다른 각도는 웨이퍼(40)가 수직이 되고 한정된 위치 및 각도에서 보유될 때 유사한 효과를 제공한다. 카세트 레그(1442)의 에지(1450)는 레일(1440)로부터 연장되는 정렬 핀(1452) 세트에 의하여 레일(1440)을 따라 측방향으로 정렬되어 카세트 레그(1442)의 하향 배치된 에지와 맞물린다.
터브(34)의 수반은 그하부에 배출 홀(1454)을 포함하며, 공급 튜브(1456)는 터브(34)의 하부 코너에서 레일(1440)을 따라 종방향으로 연장된다. 공급 튜브(1456)를 따르는 하부 코너는 구부러져 있고 재료(1457)는 아치형 코너를 채워 코너에서의 부스러기의 축적을 방지한다. 공급 튜브(1456)는 수반 중심 및 터브 하부(1446) 아래를 관통하는 공급 통로(1460)를 향하는 몇 개의 노즐 홀(1458)을 포함한다. 캐치 수반(1434)은 위어(1438)를 넘쳐 흐르는 배쓰 물(302)을 배출하기 위하여 하부에 오버플로워 배출부(1460)를 포함한다. 유체 레벨 센서(1464)는 외벽(1430)에 고정되고 위어(1432)의 상부(1438)에 또는 몇 인치 아래에서 배쓰(302) 레벨을 감지하기 위하여 배치된다.
펌핑은 터브 하부(1446) 아래에 위치되며, 그 구성은 예를 들어 연속 오버플로워, 재순환 또는 연속 배출과 같은 적정 공정을 따른다. 도 67에 도시된 전형적인 구성은 3방향 밸브(1468)를 통해 공급 입구(1466)를 통하여 펌프(1470)로 공급되는 신선한 배쓰 물을 포함하며, 상기 펌프는 필터(1471)를 통하여 종방향 공급 튜브(1456)로 그리고 거기에서 수반으로 배쓰 물을 펌핑한다. 레벨 센서(1464)가 수반이 과도한 흐름으로 채워진 것으로, 즉 위어(1436) 상부까지 채워진 것으로 감지될 때, 3 방향 밸브(1468)는 오버플로워 배출부(1460)로부터 배출되는 캐치 수반(1434)에서 과도하게 흐르는 물을 대신에 재순환시키기 위해 스위칭된다. 주기적으로 수반은 하부 배출부(1454)로부터 터브 배출부(1474)로 배쓰 물을 선택적으로 펌핑하는 배출 펌프(1472)를 턴온시킴으로써 배출되고, 다음에 수반은 전술한 바와 같이 공급 입구(1466)로부터 다시 채워진다. 선택적으로, 보다 빈번하게, 수반은 부분적으로만 비워지고 신선한 배쓰 물로 채워진다. 배출 펌프(1472)는 조작자가 터브(34)로부터 카세트(42)를 수동으로 상승시키고자 할 때 유용한다. 배쓰(302)는 부식될 수 있어 조작자가 카세트(42)의 상부를 잡도록 그의 레벨이 임시적으로 하강되는 것이 바람직하다. 이후, 수반은 재충전된다.
다른 펌핑 구조가 가능하다. 재순환을 확실히 하기 위하여, 재순환 펌프(1470)는 수반 배출부(1454)에 연결된 입구를 가질 수 있다. 재순환이 요구되지 않으면, 캐치 수반(1434)은 외부적으로 배출될 수 있으며 새로운 배쓰 물만이 종방향 공급 튜브(1458)에 공급된다.
터브(34)는 적어도 2가지 방법으로 수행될 수 있다. 먼저, 캐치 수반(1434)은 좁고 깊어, 세척을 곤란하게 한다. 동일한 효과적인 캐치 수반은 위어(1432)의 상부 바깥쪽 및 그의 바로 밑에 위치한 비교적 좁은 매달린 채널일 수 있다. 다음, 재순환 흐름은 관통한 수평 플레이트가 카세트(42)의 하부 및 배출 홀(1454) 사이에 배치된다면 더욱 균일하고 예측가능하게 만들어 질 수 있어서, 펌프(1472)가 터브(34)의 넓은 영역으로부터 배쓰 액체를 당기도록 한다.
적재 장치의 동작
적재 장치(30)의 동작을 이하에 설명한다. 도 1 및 도 66에 도시된 바와 같이, 적재 장치는 동일한 장비로 2개의 기능을 수행한다.
먼저, 오버헤드 트랙(36)으로부터 매달린 암(35)과 결합한 웨이퍼 블레이드(38)는 보유 터브(34)에 충전된 배쓰(302)에 저장된 다수의 웨이퍼 카세트(42)로부터 각각의 웨이퍼(40)를 적재한다. 각각의 카세트(42)는 카세트(42)의 대향하는 수직벽에 형성된 얕은 수직 슬롯에 의하여 수직 방향으로 다수의 웨이퍼(40)를 보유하여 웨이퍼(42)의 2개의 대향하는 에지가 2개의 대향하는 슬롯(도 67 및 도 71A 참조)에 포착된다. 카세트(42)는 예를 들어 플오로웨어로부터 구입가능하다. 이들은 일반적으로 폴리프로필렌 또는 PVDF 플라스틱으로 형성되어 웨이퍼(40)를 침식하지 않고 사용된 액체를 화학적으로 불활성이 되도록 한다. 배쓰(302)는 탈이온수와 같은 액체로 구성되며, 이는 임의의 접착하는 슬러리가 웨이퍼 상에서 경화되는 것을 방지한다. 또한 재료층의 CMP가 수행될 때, 배쓰는 금속을 산화시키는 공기로부터 새로운 금속 표면을 보호한다. 하나의 보유터부(34)만이 도시되고 설명되었지만, 다수개의 보유 터브가 이용될 수 있으며, 특히 하나는 연마되지 않은 웨이퍼를 연마 장치(20)에 적재하기 위하여 그리고 하나는 연마된 웨이퍼를 연마 장치로부터 인출하기 위하여 이용될 수 있다.
두 번째, 암(35)과 함께 클로우(39)는 보유 허브(34)와 보유 스테이션(32) 사이에서 오버헤드 트랙(36)의 종방향을 따라 전체 카세트(42)를 이송시킨다. 조작자 또는 자동 이송 장치는 보유 스테이션(32)에서 정확하게 지정된 위치에 연마될 웨이퍼(40)로 채워진 카세트(42)를 배치하고 이로부터 연마된 웨이퍼(40)로 채워진 카세트(42)를 제거한다. 그러나, 그 외의 자동화가 특히 연마 다음 세척 단계에 대하여 가능하다.
웨이퍼 적재
도 70A, 70B, 70C, 70D 및 70E는 로벗 블레이드(38)가 몇 개의 카세트(42)중 하나로부터 웨이퍼(40)를 뽑는 적재 동작 시퀀스를 도시하는 투시도이며, 상기 카세트는 보유 터브(34)(명료하게 하기 위하여 이들 도면에는 도시안됨)내에 배치되고 연마 장치(20)의 기계 베이스(22) 위의 이송 스테이션(70) 위에 웨이퍼를 올려놓는다. 이송 스테이션(70)에서 다시 카세트(42)로 웨이퍼(40)를 이송하는 인출 동작은 상기 시퀀스와 반대로 동작한다.
이러한 동작 시퀀스 중에, 이송 스테이션(70)의 수반 보호판(76)은 기계 베이스(22)내에서 하향으로 인출되며, 적어도 실제 웨이퍼 이송 중에, 이송 축받이(72)는 기계 베이스(22)의 테이블 상부(23) 및 보호판(76)의 상부위로 돌출하도록 상향으로 상승된다. 또한 이러한 일련의 동작 중에, 카루우젤 지지 플레이트(906)의 암중 하나는 이송 스테이션(70) 위에 배치되고, 도시되지 않은 웨이퍼 헤드 시스템(100)은 이송 축받이(72) 위에 놓인 카루우젤 지지 플레이트(906)의 슬롯(910)내에 배치된다. 도 9의 웨이퍼 헤드(110)의 가장 하부의 부재, 즉 웨이퍼 헤드(110)의 보울 부재(1110)내로 상방향으로 수축된 플로터 부재(1112)에 의하여, 웨이퍼 블레이드(82) 및 그 사이에서 조정될 부착 웨이퍼(40)에 대하여 이송 축받이(72)의 상부 및 플로터 부재(1112) 사이에 충분한 클리어런스가 존재한다. 이러한 요구조건은 까다롭지만, 웨이퍼 헤드 시스템(100)의 짧은 수직 스트로크는 시스템 설계를 간단하게 하고 카루우젤(90)의 중량을 감소시킨다. 또한, 웨이퍼 헤드 시스템(100)중 하나가 이동 동작 중에 이송 스테이션(70) 위에 배치되기 때문에, 연마는 이송 및 세척 동작 중에 다른 3개의 웨이퍼 헤드 시스템(100)에 대하여 계속될 수 있어 시스템 산출량을 증가시킨다.
도 70A에 도시된 바와 같이, 적재 동작은 오버헤드 트랙(36)을 따라 암(35)을 선형으로 이동시킴으로써 개시되어 하향의 블레이드(38)는 선택된 카세트(42)에서 선택된 웨이퍼(40) 위에 배치된다. 전술한 바와 같이, 적재 및 인출 동작 중에, 카세트(42)는 보유 터브(34)에 침지된다. 보유 터브(34)내의 카세트(42)는 수직으로부터 약 3°에서 경사부(420) 위에 지지된다. 웨이퍼(40)의 장치 측면은 도 67 및 도 71A에 도시된 슬롯 리지(430)로부터 떨어져 약간 상향으로 접하는 방향이며, 상기 리지는 카세트(42)내에서 수직으로 웨이퍼를 보유한다. 오버헤드 트랙(36)을 따른 암(34)의 정확한 선형 위치는 선형 위치 및 인접 웨이퍼 또는 카세트 벽과의 사이에서 선택된 웨이퍼(40)의 기판측 상의 웨이퍼 블레이드(38)를 고정하기 위하여 제어되며 블레이드(38)의 진공 리세스(328)는 기판측과 평행하고 접한다. 저장된 웨이퍼(40)와 평행한 필수조건의 3°오프셋에서 블레이드(38)의 하향 배향은 간섭되지 않도록 수평 위치에 클로우(39)를 넣는다.
암(34)은 수직으로부터 오프셋된 방향을 따라 배쓰(302)로 하강되어 도 70B에 도시된 바와 같이, 웨이퍼(40)가 웨이퍼 블레이드(38) 위에 개략적으로 정렬되도록 한다. 경사진 경로는 2 방향으로 좌표 이동을 요구한다. 진공은 블레이드(38)의 진공 리세스(328)에 공급되고 한편 이는 웨이퍼로부터 분리된다. 암(35)은 선택된 저장 웨이퍼(40)쪽으로 블레이드를 천천히 이동시킨다. 도 58의 진공 센서가 진공을 감지할 때, 웨이퍼는 진공 척킹되고 암(35)의 선형이동은 정지시킨다. 배쓰 액체의 일부가 접촉되기 전에 침수되지만, 일단 웨이퍼(40)가 척킹되면, 약간의 누설이 존재하고 상기 누설은 진공 발생기(343)에 의하여 축적된다.
진공 척킹이 완료된 후에, 암(35)은 도 70C에 도시된 바와 같이, 3°오프셋에서 상향 수직으로 웨이퍼 브레이드를 당긴다. 일단 웨이퍼(40)가 카세트(42) 및 배쓰(302)를 세척하면, 리스트 어셈블리(37)는 블레이드(38)가 그의 하부측 상에서 웨이퍼(40)를 진공 보유하고 웨이퍼(40)의 가공측이 하향인 도 70D의 위치로 수평축을 중심으로 웨이퍼 블레이드(38)를 회전시킨다. 웨이퍼 블레이드(38)의 이러한 배향은 암(35) 근처에 수직 상향인 클로우(39)를 배치시켜 카루우젤(90) 또는 테이블 상부에 포함된 기계 베이스(22)를 간섭하지 않도록 한다. 또한, 웨이퍼(40)가 카세트(42) 및 배쓰(302)를 세척한 후에, 암(35)은 오버헤드 트랙(36)을 따라 수평으로 이동되어 크린룸 벽의 슬라이드 도어 개구부를 통하여 이송 스테이션(70) 위에 적재하기 위한 적정 위치에 블레이드(38) 및 부착 웨이퍼(40)를 이동시킨다. 암(35)의 상승, 회전, 및 선형 이동은 일단 웨이퍼(40)가 배쓰(302) 위에 있을 때 동시에 수행될 수 있다.
웨이퍼 블레이드(38) 및 부착된 웨이퍼(40)가 수평으로 배향되고 오버헤드 트랙(36)을 따라 적정하게 수직 및 선형으로 적절히 배치될 떼, 암(35)은 수직축을 중심으로 웨이퍼 블레이드(38)를 회전시켜 슬라이드 도어의 개구부를 통하여 웨이퍼(40)를 이동시키고 도 70E에 도시된 바와 같이, 이송 축받이(72) 상부 및 위에 달려 있는 웨이퍼 헤드 시스템(100) 하부에 웨이퍼를 직접 배치한다. 이송 축받이(72)는 탄성 표면(722)과 웨이퍼(40)의 가공면을 맞물리게 또는 거의 맞물리게 하도록 상승된다. 웨이퍼(40)는 진공 리세스(328)에 진공을 방출시킴으로써 웨이퍼 블레이드(38)로부터 디척킹되고 이송 축받이(72)의 상부상의 포트(724, 726)에 진공을 공급함으로써 이송 축받이(72) 위에 재척킹된다. 일단 웨이퍼(40)가 축받이(72) 위에 척킹될 때, 웨이퍼는 하강되고, 암(35)은 이송 스테이션(70) 및 기계 베이스(22)로부터 멀리 현재 비어 있는 웨이퍼 블레이드(38)를 회전시켜 웨이퍼 적재 동작을 완료한다. 다음에, 이송 스테이션(70)은 3개의 클로우 어셈블리(72)를 이용하여 이송 축받이(72)의 표면 위에 웨이퍼(40)를 정렬시킨다.
일반적으로, 적재 장치(30)는 상기 적재에 대한 동작에 반대인 일련의 연마, 카루우젤 회전 및 세척 종료 후에, 연마 장치(20)로부터 다른 웨이퍼를 인출한다. 그러나, 보유 터브(34)에서 카세트(42)로 웨이퍼(40)를 복귀시킬 때, 블레이드(38)의 하향 운동은 웨이퍼(40)의 하부가 카세트(42)의 바닥과 맞물릴 포인트 위에서 웨이퍼(40)가 카세트(42)의 측면 슬롯(430)과 맞물리기 전에 일센치미터 정도 정지된다. 상기 포인트에서, 웨이퍼(40)는 블레이드(38)의 진공 리세스(328)로부터 디척킹되고 나머지 간격으로는 하강하도록 된다. 블레이드(38)상의 웨이퍼(40) 및 터브 내의 카세트(42)의 정확한 정렬을 얻기가 곤란하다. 웨이퍼(40)가 카세트(42)에 충돌하고 매시부 이송 로벗 암(35)에 진공척킹된다면, 충돌은 웨이퍼를 파손 또는 적어도 손상시킨다.
카세트 적재
적재 장치(30)는 또한 보유 스테이션(32) 및 보유 터브(34) 사이의 카세트(42)를 이동시키기 위하여 이용된다. 암(35) 하부에서 리스트 어셈블리(37)에 부착된 클로우(39)가 이러한 이동을 달성하기 위하여 설계된다.
도 71A, 71B, 및 71C의 부분적 단면도 도시된 바와 같이, 클로우(39)는 암(35)으로부터 수직 하향으로 하강되도록 암(35)으로부터 그리고 그의 하단부로부터 회전된다. 이는 카세트(42)의 측면에 배치되고, 이는 200mm 웨이퍼에 대해 카세트(42)의 종방향 측면(424)으로부터 확장하는 폐쇄된 핸들(422)을 가진다. 도 71A에 도시된 것처럼, 클로우(39)는 그의 너클 리지(322)가 핸들(422)의 후방(426) 안쪽에 있도록 카세트(42)로부터 수평으로 떨어져 이동한다. 다음, 도 71B에 도시된 것처럼 클로우(39)는 그의 너클 리지(322)가 핸들(422) 후방(426) 아래에 있도록 카세트(42)로부터 수평으로 떨어져 이동한다. 도 71C에 도시된 바와 같이,암(35)은 클로우(39)를 수직으로 상승시켜 그의 너클 리지(39)가 웨이퍼 카세트(42)에 부착된 핸들(422)의 후방(426)의 하부와 맞물리도록 한다. 클로우(39)의 추가 상승은 카세트(42)의 후방(426) 및 측면을 상승시켜 카세트가 경사지고 하부 측면이 클로우(39)의 핑거팁(320)과 맞물리도록 한다. 카세트(42)의 회전은 너클 리지(39) 및 핑거팁(320)이 카세트(42)를 확실하게 래치시키기에 충분한 양으로 제한된다. 추가 회전은 클로우된 터브(34)에서 인접 카세트(42)와 충돌하는 위험을 가진다. 이러한 구성에서, 클로우(39)는 카세트(42) 및 웨이퍼(40)를 지지하고 오버헤드 트랙(36)의 종방향 위치로 웨이퍼를 이동시킬 수 있다. 설명된 것처럼, 웨이퍼 블레이드(38)는 클로우(39)의 동작을 방해하지 않는 수평 위치로 회전된다.
클로우(39)로부터 카세트(42)의 인출은 하부 베어링 표면에 대하여 카세트(42)를 하강시키는 암(35)에 의하여 이루어져 카세트(42)가 경사지지 않게 하고 암(35)이 카세트(39)로부터 바깥쪽으로 클로우(39)를 이동시킬 때 클로우(39)의 후방에서 리지 너클(32)로부터 핸들(422)의 후방(426)이 분리되도록 한다. 클로우(39)의 적은 내부 이동은 핸들(422)의 후방(426)을 세척하여 클로우가 카세트(42)로부터 수직 상향으로 인출될 수 있어, 카세트(42)는 보유 스테이션(32) 또는 보유 터브(34) 내에 있도록 한다.
도 71A, 71B 및 71C는 웨이퍼(40)를 정렬 및 결합시키는 하부 벽(432) 및 2개의 측면벽 상의 카세트 내부에 형성된 슬롯 리지(430)를 도시한다. 본 발명에 이용된 웨이퍼 카세트중 하나의 형태에서, 카세트의 하부는 카세트(42)의레그(1442) 위에 웨이퍼(40)를 매달기 위하여 개방된다. 이러한 카세트에서, 슬롯 리지(430)는 2개의 45°로 배향된 하부벽 및 2개의 반대 측벽 위에 형성된다.
도 72A, 72B 및 72C는 카세트가 연마 장치(20)에 인접한 보유 터브(34)내의 위치(이로부터 이들 카세트(42)의 웨이퍼(40)는 쉽게 상승되고 연마 장치(20)의 안쪽 및 바깥쪽으로 회전된다) 및 원거리 보유 스테이션(32)에서의 위치 사이에서 이동될 때 웨이퍼 카세트(42)의 이동을 도시하는 입면도이다. 원거리 카세트 보유 스테이션(32)에서 카세트(42)는 초기 처리 단계로부터 수용됨에 따라 연마되는 웨이퍼(40)를 보유하고/또는 카세트(42)에서 이미 연마된 웨이퍼를 다음 공정 단계로 제공한다.
카세트(42)의 이동의 예는 이하에 설명한다. 도 72A에 도시된 바와 같이, 리스트 어셈블리(37)는 카세트 이동을 위한 경로 상부에 수평으로 그리고 외부에 배치된 웨이퍼 블레이드(38)와 하향 접하는 방향으로 클로우(39)를 위치시키도록 회전한다.
암(35)은 오버헤드 트랙(36)을 따라 선형으로 배치되어 그의 클로우(39)가 이동하는 카세트(42)의 후방(426) 및 측벽(424) 사이의 카세트 핸들(422)을 통과시키게 위치된다.
도 72B에 도시된 것처럼, 암(35)은 도 71A, 71B 및 71C의 공정에 도시된 것처럼, 카세트(#1)의 핸들(422)을 맞물리기 위하여 필요 오프셋 각에서 하향 클로우(39)를 수직으로 배치한다. 도 72C에 도시된 바와 같이, 암(35) 및 부착 클로우(39)는 보유 터브(34)에서 제 1카세트 위치(1')로부터 카세트를 원거리 카세트보유 스테이션(32)에서 상승시킨다. 보유 스테이션(32)에서의 부착 단계는 전술한 바와 같이 보유 터브(34)에서 상승 단계와 반대이다.
카세트(42)가 보유 스테이션(32)에서 부착되자마자, 조작자는 이를 수동으로 이동시켜 슬러리 고착화 또는 금속 산화를 방지하고 곧바로 이를 연마되지 않은 웨이퍼의 카세트로 교환한다. 그 사이에, 이송 암(35)은 보유 터브(34) 및 연마 장치(20)의 이송 스테이션(70) 사이에서 웨이퍼(40)를 이동시킬 수 있다. 어느때고, 조작자가 보유 스테이션(32)에서 연마되지 않은 웨이퍼(40)의 카세트(42)를 부착한 후에, 이송 암(35)은 도 72A, 72B 및 72C의 동작과 반대인 일련의 동작에서 보유 스테이션(32)에서 보유 터브(34)로 상기 카세트를 이동시킨다.
보유 스테이션(32) 및 보유 터브(34) 사이에서 이동되는 카세트(42)는 전체 웨이퍼일 수 있거나 또는 빈 상태일 수 있으며, 연마되지 않은 웨이퍼는 전체 연마되지 않은 웨이퍼 카세트로부터 비어 있는 연마된 웨이퍼 수용 카세트로 이동되거나 또는 당업자가 생각할 수 있는 방식으로 진행된다.
단일 보유 스테이션(32)이 바람직한 실시예에서 설명되었지만, 다수의 보유 스테이션도 가능하다. 특히, 연마되지 않은 웨이퍼를 위한 별도의 보유 스테이션이 이용될 수 있고 상이한 보유 터브와 마차가지로 연마된 웨이퍼를 위한 다른 것이 연마된 웨이퍼와 연마되지 않은 웨이퍼를 위해 이용될 수 있다. 도시된 연마 스테이션은 하나의 카세트만을 수용했지만, 과도하게 긴 저장에 대한 웨이퍼 가공 문제가 해결될 때 다수의 카세트가 수용될 수 있다. 또한, 상이한 보유 스테이션은 연마 스테이션의 상이한 측면 위에 배치될 수 있다.
상술한 연마 스테이션은 복합적이며 많은 신규한 특징을 포함한다. 이러한 많은 특징은 그 자체가 발명이며 웨이퍼 연마 이외에 응용에 사용될 수 있다.
전술한 시스템이 4개의 웨이퍼 헤드, 3개의 연마 스테이션 및 하나의 이송 스테이션을 포함하지만, 많은 발명의 이점은 상기 부재보다 많거나 적은 수를 이용하여 다른 구성에 의하여 얻을 수 있다.
시스템이 반도체 웨이퍼 연마에 관하여 기술되었지만, 용어 웨이퍼는 연마를 요구하는 적어도 그의 한쪽 면이 평탄 표면을 가진 어떠한 작업 재료라는 넓은 의미로 이용될 수 있다. 특히, 유리 및 세라믹 기판 및 패널이 본 발명에 의하여 연마될 수 있다. 작업재료는 웨이퍼 헤드가 비원형 작업재료를 수용하도록 허용되는 한 원형일 필요는 없다.
본 발명은 연마되는 기판의 높은 산출량을 가진 연마 방법 및 장치를 제공한다. 본 발명의 장치의 비교적 간단한 설계는 기계적으로 견고하고 상대적으로 적은 공간을 차지한다. 본 발명의 연마 장치는 완전하게 자동화될 수 있으며, 이는 유지 및 수리가 용이하다. 본 발명의 설계의 이점은 연마 이외의 기술 분야에 이용할 수 있는 몇 개의 신규한 기계 부품에 의하여 달성된다.
본 발명이 특정 실시예에 대하여 설명되었지만, 당업자는 본 발명의 사상 및 권리범위에서 벗어나지 않고 변경할 수 있다.

Claims (71)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 제 1 축을 중심으로 회전할 수 있고 상기 제 1 축에 대해 방사 방향으로 연장한 적어도 하나의 슬롯을 포함하는 지지 부재;
    상기 제 1 축을 중심으로 각각의 각을 이룬 위치에 배열된 적어도 2개의 연마 표면;
    상기 지지 부재의 상기 슬롯 내에 장착되며 상기 연마 표면중 선택된 표면과 접촉하여 기판을 지지하며, 상기 기판이 상기 선택된 연마 표면과 맞물려 있는 동안 상기 슬롯 내에서 방사적으로 이동함으로써 상기 기판의 방사 이동을 제공하도록 구성된 적어도 하나의 기판 헤드 어셈블리를 포함하는 것을 특징으로 하는 연마 장치.
  62. 제 1 수직 축에 대해 방사 방향으로 연장하는 적어도 하나의 슬롯을 포함하는 상기 제 1 수직 축을 중심으로 회전 가능한 부재, 상기 회전가능한 부재의 상기 슬롯 내에 장착되며 상기 슬롯 내에서 방사적으로 이동하도록 구성된 적어도 하나의 기판 헤드 어셈블리, 및 상기 제 1 수직 축을 중심으로 각각의 각을 이룬 위치에서 상기 회전가능한 지지체로부터 수직적으로 분리되는 적어도 2개의 연마 표면을 포함하는 장치에서 사용가능한 연마 방법으로서,
    상기 적어도 1개의 기판 헤드 어셈블리중 제 1 어셈블리 상에 기판을 장착하는 단계;
    제 2 수직축이 상기 기판 및 상기 연마 표면중 선택된 연마 표면을 통과하도록 하는 위치로 상기 부재를 회전시키는 단계;
    상기 선택된 연마 표면과 상기 기판을 맞물리는 단계; 및
    상기 기판이 상기 선택된 연마 표면과 맞물려 있는 동안 상기 선택된 연마 표면과 상기 제 1 기판 헤드 어셈블리 사이에 상대적 선형 이동을 제공하는 단계를 포함하는 것을 특징으로 하는 연마 방법.
  63. 제 1 축을 중심으로 회전가능하고 상기 제 1 축에 대해 방사 방향으로 연장하는 적어도 두 개의 슬롯을 포함하는 카우루젤;
    상기 제 1 축을 중심으로 배열된 적어도 두 개의 연마 표면;
    상기 연마 표면중 선택된 표면와 접촉하여 기판을 각각 지지할 수 있고 상기 카루우젤의 상기 슬롯중 하나 내에 각각 장착되며, 상기 선택된 연마 표면과 상기 기판이 맞물려 있는 동안 상기 슬롯 내에서 서로 이동가능한 적어도 두 개의 기판 헤드 어셈블리를 포함하는 것을 특징으로 하는 연마 장치.
  64. 각각의 제 1 축을 중심으로 회전가능하며 각각의 기판을 지지할 수 있는 다수의 기판 헤드;
    각각의 제 2 축을 중심으로 회전가능하며 각각의 연마 표면을 갖는 다수의 가압판;
    제 3 축을 중심으로 회전 가능하며 상기 제 3 축에 대해 방사 방향으로 연장하는 다수의 슬롯을 가지며 상기 기판 헤드가 상기 제 3 축에 대해 방사 방향으로 이동하도록 하기 위하여 상기 슬롯 내에서 상기 기판 헤드를 지지하는 임의의 상기 가압판에 인접한 연마 위치에서 임의의 상기 기판 헤드를 위치킬 수 있는 지지체를 포함하며,
    상기 연마 위치중 하나에 위치된 상기 기판 헤드중 하나 및 상기 하나의 상기 연마 위치에 위치된 상기 가압판중 하나는 상기 하나의 기판 헤드 및 상기 하나의 가압판과 관련된 상기 제 1 및 제 2 축 사이의 간격의 주기적인 변화를 제공하여 서로를 향해 이동하고 서로 떨어지게 이동가능한 것을 특징으로 하는 연마 장치.
  65. 제 61 항에 있어서,
    상기 장치는 이송 스테이션을 포함하며,
    상기 연마 표면 및 이송 스테이션은 상기 제 1 축 부근에 동일한 각도 간격에 배치되며,
    상기 기판 헤드 어셈블리는 상기 연마 표면에 대해 동시적으로 회전 및 선형 이동을 제공하며,
    상기 기판 헤드 어셈블리는 상기 지지 부재 상의 선형 슬라이더로부터 매달려 있는 것을 특징으로 하는 연마 장치.
  66. 제 62 항에 있어서,
    상기 기판은 이송 스테이션에 적어도 하나의 기판 헤드중 하나인 제 1 기판 헤드 상에 장착되며,
    상기 연마 표면 및 이송 스테이션은 상기 제 1 축 부근에 동일한 각도 간격으로 배치되며,
    상기 제 1 기판 헤드 어셈블리는 동시적으로 회전 및 선형 이동을 제공하며,
    상기 선형 이동은 상기 연마 표면에 대해 선형 경로로 상기 기판 헤드 어셈블리를 스위핑하는 것을 특징으로 하는 연마 방법.
  67. 제 63 항에 있어서,
    상기 회전 이동은 상기 연마 표면에 대해 선형 경로를 따르며,
    상기 기판 헤드 어셈블리는 상기 카루우젤 상의 선형 슬라이더로부터 매달려 있고,
    상기 연마 표면은 회전할 수 있고,
    상기 기판 헤드 어셈블리는 상기 연마 표면에 대해 동시적으로 회전하고 상호적으로 이동할 수 있는 것을 특징으로 하는 연마 장치.
  68. 제 61 항에 있어서, 상기 슬롯은 상기 기판 헤드 어셈블리의 이동을 상기 제 1 축에 대한 방사 방향으로 제한하는 것을 특징으로 하는 연마 장치.
  69. 제 62 항에 있어서, 상기 슬롯은 상기 기판 헤드 어셈블리의 이동을 상기 제 1 축에 대한 방사 방향으로 제한하는 것을 특징으로 하는 연마 방법.
  70. 제 63 항에 있어서, 각각의 슬롯은 자신의 각 기판 헤드 어셈블리의 이동을 상기 제 1 축에 대한 방사 방향으로 제한하는 것을 특징으로 하는 연마 장치.
  71. 제 64 항에 있어서, 각각의 슬롯은 자신의 각 기판 헤드의 이동을 상기 제 3 축에 대한 방사 방향으로 제한하는 것을 특징으로 하는 연마 장치.
KR1020020005152A 1995-10-27 2002-01-29 화학 기계 연마를 위한 가공 시스템 KR100412478B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/549,336 1995-10-27
US08/549,336 US5738574A (en) 1995-10-27 1995-10-27 Continuous processing system for chemical mechanical polishing
KR1019960048970A KR100395153B1 (ko) 1995-10-27 1996-10-28 화학기계연마장치및방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1019960048970A Division KR100395153B1 (ko) 1995-10-27 1996-10-28 화학기계연마장치및방법

Publications (1)

Publication Number Publication Date
KR100412478B1 true KR100412478B1 (ko) 2003-12-31

Family

ID=24192580

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1019960048970A KR100395153B1 (ko) 1995-10-27 1996-10-28 화학기계연마장치및방법
KR1020020005152A KR100412478B1 (ko) 1995-10-27 2002-01-29 화학 기계 연마를 위한 가공 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019960048970A KR100395153B1 (ko) 1995-10-27 1996-10-28 화학기계연마장치및방법

Country Status (6)

Country Link
US (4) US5738574A (ko)
EP (1) EP0774323B1 (ko)
JP (3) JPH09174420A (ko)
KR (2) KR100395153B1 (ko)
AT (1) ATE231046T1 (ko)
DE (1) DE69625778T2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101198902B1 (ko) 2010-10-04 2012-11-07 김오수 스핀들 유닛 및 이를 이용한 연마장치와 연마방법
CN103042470A (zh) * 2013-01-23 2013-04-17 厦门大学 一种抛光液添加装置

Families Citing this family (485)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885138A (en) 1993-09-21 1999-03-23 Ebara Corporation Method and apparatus for dry-in, dry-out polishing and washing of a semiconductor device
US5895270A (en) * 1995-06-26 1999-04-20 Texas Instruments Incorporated Chemical mechanical polishing method and apparatus
US5830045A (en) * 1995-08-21 1998-11-03 Ebara Corporation Polishing apparatus
US7097544B1 (en) 1995-10-27 2006-08-29 Applied Materials Inc. Chemical mechanical polishing system having multiple polishing stations and providing relative linear polishing motion
JP3129172B2 (ja) * 1995-11-14 2001-01-29 日本電気株式会社 研磨装置及び研磨方法
GB2347790B (en) * 1995-11-14 2000-11-01 Nec Corp Method of regulating a retainer ring of a polishing apparatus to an appropriate configuration
JP3580936B2 (ja) * 1996-02-26 2004-10-27 株式会社荏原製作所 ポリッシング装置のプッシャー及びポリッシング装置
US6050884A (en) * 1996-02-28 2000-04-18 Ebara Corporation Polishing apparatus
US6413156B1 (en) * 1996-05-16 2002-07-02 Ebara Corporation Method and apparatus for polishing workpiece
JP3624054B2 (ja) * 1996-06-18 2005-02-23 東京エレクトロン株式会社 処理装置および処理方法
JP3183388B2 (ja) * 1996-07-12 2001-07-09 株式会社東京精密 半導体ウェーハ研磨装置
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6056632A (en) * 1997-02-13 2000-05-02 Speedfam-Ipec Corp. Semiconductor wafer polishing apparatus with a variable polishing force wafer carrier head
US6354926B1 (en) * 1997-03-12 2002-03-12 Lam Research Corporation Parallel alignment method and apparatus for chemical mechanical polishing
US6116994A (en) * 1997-04-11 2000-09-12 Ebara Corporation Polishing apparatus
US6110025A (en) * 1997-05-07 2000-08-29 Obsidian, Inc. Containment ring for substrate carrier apparatus
US6139406A (en) 1997-06-24 2000-10-31 Applied Materials, Inc. Combined slurry dispenser and rinse arm and method of operation
US6036583A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Conditioner head in a substrate polisher and method
US6116990A (en) * 1997-07-25 2000-09-12 Applied Materials, Inc. Adjustable low profile gimbal system for chemical mechanical polishing
US6113479A (en) * 1997-07-25 2000-09-05 Obsidian, Inc. Wafer carrier for chemical mechanical planarization polishing
TW434095B (en) * 1997-08-11 2001-05-16 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
JP3795198B2 (ja) 1997-09-10 2006-07-12 株式会社荏原製作所 基板保持装置及び該基板保持装置を備えたポリッシング装置
EP0911114B1 (en) 1997-10-20 2007-08-01 Ebara Corporation Polishing apparatus
DE69830121T2 (de) * 1997-10-31 2006-02-23 Ebara Corp. Polierschlamm Spendevorrichtung
US6107203A (en) * 1997-11-03 2000-08-22 Motorola, Inc. Chemical mechanical polishing system and method therefor
KR100524054B1 (ko) 1997-11-21 2005-10-26 가부시키가이샤 에바라 세이사꾸쇼 폴리싱 장치와 이에 사용되는 대상물 홀더 및 폴리싱 방법 및 웨이퍼제조방법
JP3902724B2 (ja) 1997-12-26 2007-04-11 株式会社荏原製作所 研磨装置
JPH11204468A (ja) * 1998-01-09 1999-07-30 Speedfam Co Ltd 半導体ウエハの表面平坦化装置
KR100286980B1 (ko) * 1998-02-11 2001-04-16 윤종용 웨이퍼 연마 설비 및 웨이퍼 연마 방법
KR100545680B1 (ko) * 1998-02-14 2006-01-24 램 리서치 코포레이션 웨이퍼를 처리하는 적재부
FR2776552B1 (fr) 1998-03-31 2000-06-16 Procedes & Equipement Pour Les Machine modulaire de polissage et de planarisation de substrats
US6200199B1 (en) * 1998-03-31 2001-03-13 Applied Materials, Inc. Chemical mechanical polishing conditioner
JP3583612B2 (ja) * 1998-03-31 2004-11-04 信越半導体株式会社 ウェーハの研磨方法
TW393378B (en) * 1998-04-08 2000-06-11 Applied Materials Inc Apparatus and methods for slurry removal in chemical mechanical polishing
US6218306B1 (en) * 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US5897426A (en) 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US6390904B1 (en) 1998-05-21 2002-05-21 Applied Materials, Inc. Retainers and non-abrasive liners used in chemical mechanical polishing
US6251215B1 (en) 1998-06-03 2001-06-26 Applied Materials, Inc. Carrier head with a multilayer retaining ring for chemical mechanical polishing
US6354918B1 (en) 1998-06-19 2002-03-12 Ebara Corporation Apparatus and method for polishing workpiece
JP4052736B2 (ja) * 1998-07-21 2008-02-27 株式会社荏原製作所 ポリッシング装置
JP3702668B2 (ja) * 1998-09-28 2005-10-05 株式会社村田製作所 電子部品チップ供給装置
US6033290A (en) * 1998-09-29 2000-03-07 Applied Materials, Inc. Chemical mechanical polishing conditioner
US6220941B1 (en) 1998-10-01 2001-04-24 Applied Materials, Inc. Method of post CMP defect stability improvement
JP3048142B2 (ja) * 1998-10-19 2000-06-05 株式会社東京精密 ウェーハ加工装置
US6863593B1 (en) 1998-11-02 2005-03-08 Applied Materials, Inc. Chemical mechanical polishing a substrate having a filler layer and a stop layer
US6217430B1 (en) 1998-11-02 2001-04-17 Applied Materials, Inc. Pad conditioner cleaning apparatus
US6358124B1 (en) 1998-11-02 2002-03-19 Applied Materials, Inc. Pad conditioner cleaning apparatus
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP3979750B2 (ja) * 1998-11-06 2007-09-19 株式会社荏原製作所 基板の研磨装置
US6319098B1 (en) 1998-11-13 2001-11-20 Applied Materials, Inc. Method of post CMP defect stability improvement
JP3375907B2 (ja) * 1998-12-02 2003-02-10 神鋼電機株式会社 天井走行搬送装置
WO2000039843A1 (fr) * 1998-12-25 2000-07-06 Hitachi Chemical Company, Ltd. Abrasif cmp, additif liquide pour abrasif cmp et procede de polissage de substrat
US6475070B1 (en) * 1999-02-04 2002-11-05 Applied Materials, Inc. Chemical mechanical polishing with a moving polishing sheet
US6309279B1 (en) * 1999-02-19 2001-10-30 Speedfam-Ipec Corporation Arrangements for wafer polishing
US6491570B1 (en) * 1999-02-25 2002-12-10 Applied Materials, Inc. Polishing media stabilizer
US6231428B1 (en) 1999-03-03 2001-05-15 Mitsubishi Materials Corporation Chemical mechanical polishing head assembly having floating wafer carrier and retaining ring
US6368189B1 (en) 1999-03-03 2002-04-09 Mitsubishi Materials Corporation Apparatus and method for chemical-mechanical polishing (CMP) head having direct pneumatic wafer polishing pressure
US6358128B1 (en) * 1999-03-05 2002-03-19 Ebara Corporation Polishing apparatus
US6354922B1 (en) * 1999-08-20 2002-03-12 Ebara Corporation Polishing apparatus
SG97860A1 (en) * 1999-03-05 2003-08-20 Ebara Corp Polishing apparatus
US6227950B1 (en) * 1999-03-08 2001-05-08 Speedfam-Ipec Corporation Dual purpose handoff station for workpiece polishing machine
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
JP3693847B2 (ja) * 1999-03-26 2005-09-14 Necエレクトロニクス株式会社 研磨後ウェハの保管方法および装置
US20040053566A1 (en) * 2001-01-12 2004-03-18 Applied Materials, Inc. CMP platen with patterned surface
US6217426B1 (en) 1999-04-06 2001-04-17 Applied Materials, Inc. CMP polishing pad
US20040072518A1 (en) * 1999-04-02 2004-04-15 Applied Materials, Inc. Platen with patterned surface for chemical mechanical polishing
US6296557B1 (en) 1999-04-02 2001-10-02 Micron Technology, Inc. Method and apparatus for releasably attaching polishing pads to planarizing machines in mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6220942B1 (en) 1999-04-02 2001-04-24 Applied Materials, Inc. CMP platen with patterned surface
US6244931B1 (en) * 1999-04-02 2001-06-12 Applied Materials, Inc. Buffer station on CMP system
US6113468A (en) * 1999-04-06 2000-09-05 Speedfam-Ipec Corporation Wafer planarization carrier having floating pad load ring
US6468135B1 (en) 1999-04-30 2002-10-22 International Business Machines Corporation Method and apparatus for multiphase chemical mechanical polishing
US6322427B1 (en) * 1999-04-30 2001-11-27 Applied Materials, Inc. Conditioning fixed abrasive articles
KR20010020807A (ko) * 1999-05-03 2001-03-15 조셉 제이. 스위니 고정 연마재 제품을 사전-조절하는 방법
US6716086B1 (en) * 1999-06-14 2004-04-06 Applied Materials Inc. Edge contact loadcup
US6361422B1 (en) * 1999-06-15 2002-03-26 Applied Materials, Inc. Method and apparatus for transferring semiconductor substrates using an input module
KR100304706B1 (ko) * 1999-06-16 2001-11-01 윤종용 화학기계적 연마장치 및 연마 헤드 내부의 오염 물질 세척방법
US6156124A (en) * 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
KR100316712B1 (ko) * 1999-06-22 2001-12-12 윤종용 화학기계적 연마장치에 웨이퍼를 로딩/언로딩하기 위한 로드컵의 페디스탈
JP3797822B2 (ja) 1999-06-30 2006-07-19 株式会社荏原製作所 ポリッシング装置
JP2001018161A (ja) 1999-07-07 2001-01-23 Ebara Corp 研磨装置
JP2001018169A (ja) 1999-07-07 2001-01-23 Ebara Corp 研磨装置
GB9915925D0 (en) * 1999-07-08 1999-09-08 Univ Loughborough Flow field plates
US6855043B1 (en) 1999-07-09 2005-02-15 Applied Materials, Inc. Carrier head with a modified flexible membrane
US6722963B1 (en) * 1999-08-03 2004-04-20 Micron Technology, Inc. Apparatus for chemical-mechanical planarization of microelectronic substrates with a carrier and membrane
DE60032423T2 (de) 1999-08-18 2007-10-11 Ebara Corp. Verfahren und Einrichtung zum Polieren
EP1080840A3 (en) * 1999-08-30 2004-01-02 Mitsubishi Materials Corporation Polishing apparatus, polishing method and method of conditioning polishing pad
US6306008B1 (en) * 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6481951B1 (en) 1999-09-16 2002-11-19 Applied Materials, Inc. Multiple sided robot blade for semiconductor processing equipment
US6186880B1 (en) * 1999-09-29 2001-02-13 Semiconductor Equipment Technology Recyclable retaining ring assembly for a chemical mechanical polishing apparatus
US6422921B1 (en) 1999-10-22 2002-07-23 Applied Materials, Inc. Heat activated detachable polishing pad
US6273797B1 (en) * 1999-11-19 2001-08-14 International Business Machines Corporation In-situ automated CMP wedge conditioner
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6379223B1 (en) 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6379226B1 (en) * 1999-12-08 2002-04-30 Memc Electronic Materials, Inc. Method for storing carrier for polishing wafer
US6679755B1 (en) 1999-12-09 2004-01-20 Applied Materials Inc. Chemical mechanical planarization system
US7041599B1 (en) 1999-12-21 2006-05-09 Applied Materials Inc. High through-put Cu CMP with significantly reduced erosion and dishing
TWI223734B (en) * 1999-12-21 2004-11-11 Asml Netherlands Bv Crash prevention in positioning apparatus for use in lithographic projection apparatus
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
TW430594B (en) * 1999-12-29 2001-04-21 United Microelectronics Corp Method for controlling polishing time in CMP process
US6383058B1 (en) * 2000-01-28 2002-05-07 Applied Materials, Inc. Adaptive endpoint detection for chemical mechanical polishing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US7303662B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Contacts for electrochemical processing
US7678245B2 (en) * 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US6991528B2 (en) * 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7125477B2 (en) * 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7066800B2 (en) * 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040182721A1 (en) * 2003-03-18 2004-09-23 Applied Materials, Inc. Process control in electro-chemical mechanical polishing
US7670468B2 (en) * 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US6629881B1 (en) 2000-02-17 2003-10-07 Applied Materials, Inc. Method and apparatus for controlling slurry delivery during polishing
US6962524B2 (en) * 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6669538B2 (en) 2000-02-24 2003-12-30 Applied Materials Inc Pad cleaning for a CMP system
US6562184B2 (en) 2000-02-29 2003-05-13 Applied Materials, Inc. Planarization system with multiple polishing pads
US6350188B1 (en) * 2000-03-10 2002-02-26 Applied Materials, Inc. Drive system for a carrier head support structure
US6517414B1 (en) 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6227948B1 (en) * 2000-03-21 2001-05-08 International Business Machines Corporation Polishing pad reconditioning via polishing pad material as conditioner
JP3556148B2 (ja) * 2000-03-23 2004-08-18 株式会社東京精密 ウェハ研磨装置
US7140956B1 (en) 2000-03-31 2006-11-28 Speedfam-Ipec Corporation Work piece carrier with adjustable pressure zones and barriers and a method of planarizing a work piece
US6447379B1 (en) 2000-03-31 2002-09-10 Speedfam-Ipec Corporation Carrier including a multi-volume diaphragm for polishing a semiconductor wafer and a method therefor
US6336853B1 (en) 2000-03-31 2002-01-08 Speedfam-Ipec Corporation Carrier having pistons for distributing a pressing force on the back surface of a workpiece
US6572730B1 (en) 2000-03-31 2003-06-03 Applied Materials, Inc. System and method for chemical mechanical planarization
US6390905B1 (en) 2000-03-31 2002-05-21 Speedfam-Ipec Corporation Workpiece carrier with adjustable pressure zones and barriers
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6616513B1 (en) 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6706139B1 (en) * 2000-04-19 2004-03-16 Micron Technology, Inc. Method and apparatus for cleaning a web-based chemical mechanical planarization system
US6264185B1 (en) * 2000-04-19 2001-07-24 Shoda Iron Works Co., Ltd. Suction pad
US6354928B1 (en) * 2000-04-21 2002-03-12 Agere Systems Guardian Corp. Polishing apparatus with carrier ring and carrier head employing like polarities
JP4642183B2 (ja) * 2000-05-09 2011-03-02 不二越機械工業株式会社 ウェーハの研磨装置
US6506105B1 (en) 2000-05-12 2003-01-14 Multi-Planar Technologies, Inc. System and method for pneumatic diaphragm CMP head having separate retaining ring and multi-region wafer pressure control
US6558232B1 (en) 2000-05-12 2003-05-06 Multi-Planar Technologies, Inc. System and method for CMP having multi-pressure zone loading for improved edge and annular zone material removal control
US6435941B1 (en) 2000-05-12 2002-08-20 Appllied Materials, Inc. Apparatus and method for chemical mechanical planarization
EP1284840A2 (en) * 2000-05-12 2003-02-26 Multi-Planar Technologies, Inc. Pneumatic diaphragm head having an independent retaining ring and multi-region pressure control, and method to use the same
TW579319B (en) 2000-05-12 2004-03-11 Multi Planar Technologies Inc System and method for CMP head having multi-pressure annular zone subcarrier material removal control
US6436832B1 (en) 2000-05-23 2002-08-20 Applied Materials, Inc Method to reduce polish initiation time in a polish process
US6358126B1 (en) 2000-05-23 2002-03-19 Ebara Corporation Polishing apparatus
US6645046B1 (en) 2000-06-30 2003-11-11 Lam Research Corporation Conditioning mechanism in a chemical mechanical polishing apparatus for semiconductor wafers
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
JP2002025961A (ja) * 2000-07-04 2002-01-25 Disco Abrasive Syst Ltd 半導体ウエーハの研削方法
US6722965B2 (en) * 2000-07-11 2004-04-20 Applied Materials Inc. Carrier head with flexible membranes to provide controllable pressure and loading area
US6394440B1 (en) * 2000-07-24 2002-05-28 Asm America, Inc. Dual orientation leveling platform for semiconductor apparatus
US7198561B2 (en) * 2000-07-25 2007-04-03 Applied Materials, Inc. Flexible membrane for multi-chamber carrier head
US6857945B1 (en) 2000-07-25 2005-02-22 Applied Materials, Inc. Multi-chamber carrier head with a flexible membrane
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6561884B1 (en) 2000-08-29 2003-05-13 Applied Materials, Inc. Web lift system for chemical mechanical planarization
US6488565B1 (en) 2000-08-29 2002-12-03 Applied Materials, Inc. Apparatus for chemical mechanical planarization having nested load cups
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6482072B1 (en) 2000-10-26 2002-11-19 Applied Materials, Inc. Method and apparatus for providing and controlling delivery of a web of polishing material
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6648730B1 (en) 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
US6793565B1 (en) * 2000-11-03 2004-09-21 Speedfam-Ipec Corporation Orbiting indexable belt polishing station for chemical mechanical polishing
US6592439B1 (en) 2000-11-10 2003-07-15 Applied Materials, Inc. Platen for retaining polishing material
US6447368B1 (en) 2000-11-20 2002-09-10 Speedfam-Ipec Corporation Carriers with concentric balloons supporting a diaphragm
US6468131B1 (en) 2000-11-28 2002-10-22 Speedfam-Ipec Corporation Method to mathematically characterize a multizone carrier
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6402602B1 (en) 2001-01-04 2002-06-11 Speedfam-Ipec Corporation Rotary union for semiconductor wafer applications
US6406362B1 (en) * 2001-01-04 2002-06-18 Speedfam-Ipec Corporation Seal for use with a chemical mechanical planarization apparatus
US6620027B2 (en) 2001-01-09 2003-09-16 Applied Materials Inc. Method and apparatus for hard pad polishing
US6613200B2 (en) 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US6949466B2 (en) * 2001-09-18 2005-09-27 Oriol Inc. CMP apparatus and method for polishing multiple semiconductor wafers on a single polishing pad using multiple slurry delivery lines
JP3433930B2 (ja) * 2001-02-16 2003-08-04 株式会社東京精密 ウェーハの平面加工装置及びその平面加工方法
US6592680B2 (en) * 2001-03-22 2003-07-15 Agilent Technologies, Inc. Integrated circuit assembly cleaning apparatus and method
US6675058B1 (en) * 2001-03-29 2004-01-06 Advanced Micro Devices, Inc. Method and apparatus for controlling the flow of wafers through a process flow
US6749494B2 (en) * 2001-04-11 2004-06-15 Michael C. Mandall Conditioning tool
US6942545B2 (en) * 2001-04-20 2005-09-13 Oriol, Inc. Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US7201639B2 (en) * 2001-04-24 2007-04-10 Hitachi Global Storage Technologies Netherlands B.V. Powder for disks
US7137879B2 (en) * 2001-04-24 2006-11-21 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6582277B2 (en) 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
KR100886870B1 (ko) * 2001-05-18 2009-03-05 램 리써치 코포레이션 표면장력 감소 프로세스를 구현한 기판 준비장치 및 방법
US6817923B2 (en) 2001-05-24 2004-11-16 Applied Materials, Inc. Chemical mechanical processing system with mobile load cup
US6857941B2 (en) * 2001-06-01 2005-02-22 Applied Materials, Inc. Multi-phase polishing pad
US6726527B2 (en) * 2001-06-08 2004-04-27 Edward A. Lalli Automatic disc repair system
US6641462B2 (en) 2001-06-27 2003-11-04 Speedfam-Ipec Corporation Method and apparatus for distributing fluid to a polishing surface during chemical mechanical polishing
US6790768B2 (en) 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US7086933B2 (en) * 2002-04-22 2006-08-08 Applied Materials, Inc. Flexible polishing fluid delivery system
US6708397B2 (en) * 2001-08-09 2004-03-23 Johnson & Johnson Vision Care, Inc. Inlay station with alignment assemblies and transfer tubes
US6561744B2 (en) * 2001-08-10 2003-05-13 Taiwan Semiconductor Manufacturing Co., Ltd Wafer blade for wafer pick-up from a water tank and method for using
US6503131B1 (en) 2001-08-16 2003-01-07 Applied Materials, Inc. Integrated platen assembly for a chemical mechanical planarization system
US6677239B2 (en) 2001-08-24 2004-01-13 Applied Materials Inc. Methods and compositions for chemical mechanical polishing
JP2003077993A (ja) * 2001-08-30 2003-03-14 Nec Yamagata Ltd ウェーハ用ホルダ、及び、ウェーハの吸着解放方法
US6586336B2 (en) 2001-08-31 2003-07-01 Oriol, Inc. Chemical-mechanical-polishing station
US6638145B2 (en) * 2001-08-31 2003-10-28 Koninklijke Philips Electronics N.V. Constant pH polish and scrub
US6659846B2 (en) 2001-09-17 2003-12-09 Agere Systems, Inc. Pad for chemical mechanical polishing
JP2003109926A (ja) * 2001-09-26 2003-04-11 Applied Materials Inc 基板の受け渡し方法および機械化学的研磨装置
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US20030098069A1 (en) * 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6606917B2 (en) * 2001-11-26 2003-08-19 Emerson Electric Co. High purity coriolis mass flow controller
US6863595B1 (en) * 2001-12-19 2005-03-08 Cypress Semiconductor Corp. Methods for polishing a semiconductor topography
US6758724B2 (en) * 2002-01-09 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Online tension monitor system for robot x-belt of mirra CMP
US20060255016A1 (en) * 2002-01-17 2006-11-16 Novellus Systems, Inc. Method for polishing copper on a workpiece surface
US20030134576A1 (en) * 2002-01-17 2003-07-17 Saket Chadda Method for polishing copper on a workpiece surface
US6837983B2 (en) * 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6841057B2 (en) * 2002-03-13 2005-01-11 Applied Materials Inc. Method and apparatus for substrate polishing
US6739958B2 (en) 2002-03-19 2004-05-25 Applied Materials Inc. Carrier head with a vibration reduction feature for a chemical mechanical polishing system
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US7024268B1 (en) 2002-03-22 2006-04-04 Applied Materials Inc. Feedback controlled polishing processes
US6769968B2 (en) 2002-03-29 2004-08-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Interchangeable conditioning disk apparatus
US7160173B2 (en) * 2002-04-03 2007-01-09 3M Innovative Properties Company Abrasive articles and methods for the manufacture and use of same
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US20030201185A1 (en) * 2002-04-29 2003-10-30 Applied Materials, Inc. In-situ pre-clean for electroplating process
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US6893331B2 (en) * 2002-05-09 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd Gimbal assembly for semiconductor fabrication and other tools
US7189313B2 (en) * 2002-05-09 2007-03-13 Applied Materials, Inc. Substrate support with fluid retention band
US6790123B2 (en) 2002-05-16 2004-09-14 Speedfam-Ipec Corporation Method for processing a work piece in a multi-zonal processing apparatus
SG107660A1 (en) * 2002-06-13 2004-12-29 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and device manufactured thereby
US6815376B2 (en) * 2002-06-14 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic substrate edge bead processing apparatus and method
US6875076B2 (en) 2002-06-17 2005-04-05 Accretech Usa, Inc. Polishing machine and method
JP4831521B2 (ja) 2002-06-19 2011-12-07 村田機械株式会社 縦型輪状コンベヤ及びオーバーヘッドホイストを基にした半導体製造のためのマテリアルの自動化処理システム
KR100495659B1 (ko) * 2002-06-21 2005-06-16 삼성전자주식회사 화학적 기계적 평탄화 기계의 폴리싱 스테이션
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US20050160616A1 (en) * 2002-07-13 2005-07-28 Krauss-Maffei Kunststofftechnik Gmbh Multi-format spindle transport device for transporting and cooling flat substrates
US7040957B2 (en) * 2002-08-14 2006-05-09 Novellus Systems Inc. Platen and manifold for polishing workpieces
US7101253B2 (en) * 2002-08-27 2006-09-05 Applied Materials Inc. Load cup for chemical mechanical polishing
US7112270B2 (en) * 2002-09-16 2006-09-26 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20050061674A1 (en) * 2002-09-16 2005-03-24 Yan Wang Endpoint compensation in electroprocessing
JP2004106084A (ja) * 2002-09-17 2004-04-08 Ebara Corp ポリッシング装置及び基板処理装置
DE10393369T5 (de) * 2002-09-27 2005-08-18 Komatsu Denshi Kinzoku K.K., Hiratsuka Poliervorrichtung, Polierkopf und Polierverfahren
DE10245548A1 (de) * 2002-09-30 2004-04-15 Infineon Technologies Ag Vorrichtung und Verfahren zum Steuern von Polierprozessen bei der Halbleiterherstellung
EP2615625B2 (en) * 2002-10-11 2017-05-31 Murata Machinery, Ltd. Overhead vehicle for an automated material handling system
US20070092359A1 (en) * 2002-10-11 2007-04-26 Brooks Automation, Inc. Access to one or more levels of material storage shelves by an overhead hoist transport vehicle from a single track position
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US6729947B1 (en) * 2002-11-04 2004-05-04 Texas Instruments Incorporated Semiconductor wafer handler
US7089081B2 (en) * 2003-01-31 2006-08-08 3M Innovative Properties Company Modeling an abrasive process to achieve controlled material removal
US6934595B1 (en) * 2003-02-26 2005-08-23 National Semiconductor Corp. Method and system for reducing semiconductor wafer breakage
US7842169B2 (en) * 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US6905399B2 (en) * 2003-04-10 2005-06-14 Applied Materials, Inc. Conditioning mechanism for chemical mechanical polishing
US20060180486A1 (en) * 2003-04-21 2006-08-17 Bennett David W Modular panel and storage system for flat items such as media discs and holders therefor
US6939210B2 (en) * 2003-05-02 2005-09-06 Applied Materials, Inc. Slurry delivery arm
US6783437B1 (en) 2003-05-08 2004-08-31 Texas Instruments Incorporated Edge-sealed pad for CMP process
US6890597B2 (en) * 2003-05-09 2005-05-10 Applied Materials, Inc. HDP-CVD uniformity control
US20040250859A1 (en) * 2003-06-12 2004-12-16 Poulin James M. Method for protecting a pneumatic control system from ingested contamination
US6769972B1 (en) * 2003-06-13 2004-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. CMP polishing unit with gear-driven conditioning disk drive transmission
US6830504B1 (en) 2003-07-25 2004-12-14 Taiwan Semiconductor Manufacturing Company Barrier-slurry-free copper CMP process
US7025658B2 (en) * 2003-08-18 2006-04-11 Applied Materials, Inc. Platen and head rotation rates for monitoring chemical mechanical polishing
US7074109B1 (en) 2003-08-18 2006-07-11 Applied Materials Chemical mechanical polishing control system and method
US8066552B2 (en) * 2003-10-03 2011-11-29 Applied Materials, Inc. Multi-layer polishing pad for low-pressure polishing
US20050173259A1 (en) * 2004-02-06 2005-08-11 Applied Materials, Inc. Endpoint system for electro-chemical mechanical polishing
JP2005123485A (ja) * 2003-10-17 2005-05-12 Ebara Corp 研磨装置
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
US11260500B2 (en) * 2003-11-13 2022-03-01 Applied Materials, Inc. Retaining ring with shaped surface
EP2191936B1 (en) 2003-11-13 2015-01-21 Applied Materials, Inc. Retaining ring with convex bottom surface
US7044832B2 (en) * 2003-11-17 2006-05-16 Applied Materials Load cup for chemical mechanical polishing
US7186164B2 (en) * 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US7101138B2 (en) * 2003-12-03 2006-09-05 Brooks Automation, Inc. Extractor/buffer
KR100871548B1 (ko) 2003-12-30 2008-12-01 동부일렉트로닉스 주식회사 화학기계적 연마장비의 헤드아암 밸런스 측정용 지그장치 및 측정 방법
KR100857998B1 (ko) * 2003-12-31 2008-09-10 동부일렉트로닉스 주식회사 씨엠피용 헤드
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US7418978B2 (en) * 2004-01-30 2008-09-02 Applied Materials, Inc. Methods and apparatus for providing fluid to a semiconductor device processing apparatus
US20050181711A1 (en) * 2004-02-12 2005-08-18 Alexander Starikov Substrate confinement apparatus and method
US7255771B2 (en) 2004-03-26 2007-08-14 Applied Materials, Inc. Multiple zone carrier head with flexible membrane
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7276743B2 (en) * 2004-05-13 2007-10-02 Applied Materials, Inc. Retaining ring with conductive portion
US7608173B2 (en) * 2004-12-02 2009-10-27 Applied Materials, Inc. Biased retaining ring
US7195535B1 (en) * 2004-07-22 2007-03-27 Applied Materials, Inc. Metrology for chemical mechanical polishing
US20060025049A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Spray slurry delivery system for polish performance improvement and cost reduction
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
ITMI20041788A1 (it) * 2004-09-20 2004-12-20 St Microelectronics Srl "macchina rotativa a piu' stazioni per la levigatura di wafer di componenti elettronici a semiconduttore"
EP1796873A1 (en) * 2004-09-27 2007-06-20 Koninklijke Philips Electronics N.V. Flexible rinsing step in a cmp process
WO2006039436A2 (en) * 2004-10-01 2006-04-13 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7520968B2 (en) * 2004-10-05 2009-04-21 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
KR101186239B1 (ko) 2004-11-01 2012-09-27 가부시키가이샤 에바라 세이사꾸쇼 폴리싱장치
US20060113281A1 (en) * 2004-11-26 2006-06-01 Kuo-Lung Sung Method of precise wafer etching
US20070224925A1 (en) * 2006-03-21 2007-09-27 Rajeev Bajaj Chemical Mechanical Polishing Pad
US7134948B2 (en) * 2005-01-15 2006-11-14 Applied Materials, Inc. Magnetically secured retaining ring
US7655565B2 (en) * 2005-01-26 2010-02-02 Applied Materials, Inc. Electroprocessing profile control
WO2006081589A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US7427340B2 (en) * 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7186171B2 (en) * 2005-04-22 2007-03-06 Applied Materials, Inc. Composite retaining ring
US7740247B2 (en) * 2005-05-06 2010-06-22 Advanced Ion Beam Technology, Inc. Compound sliding seal unit suitable for atmosphere to vacuum applications
US20070032176A1 (en) * 2005-08-04 2007-02-08 Chih-Ming Hsu Method for polishing diamond wafers
US7210980B2 (en) 2005-08-26 2007-05-01 Applied Materials, Inc. Sealed polishing pad, system and methods
US7520795B2 (en) * 2005-08-30 2009-04-21 Applied Materials, Inc. Grooved retaining ring
US7223157B2 (en) * 2005-08-30 2007-05-29 United Microelectronics Corp. Chemical-mechanical polishing apparatus and method of conditioning polishing pad
US7258599B2 (en) * 2005-09-15 2007-08-21 Fujitsu Limited Polishing machine, workpiece supporting table pad, polishing method and manufacturing method of semiconductor device
JP4777031B2 (ja) * 2005-09-29 2011-09-21 富士通セミコンダクター株式会社 化学的機械研磨方法及び試料台パッド
US20070062910A1 (en) * 2005-09-22 2007-03-22 Ming-Hsin Yeh Complex CMP process and fabricating methods of STI structure and interconnect structure
US7198548B1 (en) 2005-09-30 2007-04-03 Applied Materials, Inc. Polishing apparatus and method with direct load platen
WO2007094869A2 (en) * 2005-10-31 2007-08-23 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
WO2007117301A2 (en) * 2005-11-01 2007-10-18 Applied Materials, Inc. Ball contact cover for copper loss reduction and spike reduction
US7297047B2 (en) * 2005-12-01 2007-11-20 Applied Materials, Inc. Bubble suppressing flow controller with ultrasonic flow meter
JP5318324B2 (ja) * 2005-12-06 2013-10-16 東京応化工業株式会社 サポートプレートの貼り合わせ方法
US20070131562A1 (en) * 2005-12-08 2007-06-14 Applied Materials, Inc. Method and apparatus for planarizing a substrate with low fluid consumption
US8454413B2 (en) 2005-12-29 2013-06-04 Applied Materials, Inc. Multi-chamber carrier head with a textured membrane
US20070153453A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Fully conductive pad for electrochemical mechanical processing
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070181442A1 (en) * 2006-02-03 2007-08-09 Applied Materials, Inc. Method and apparatus for foam removal in an electrochemical mechanical substrate polishing process
US20070212983A1 (en) * 2006-03-13 2007-09-13 Applied Materials, Inc. Apparatus and methods for conditioning a polishing pad
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
JP4814677B2 (ja) * 2006-03-31 2011-11-16 株式会社荏原製作所 基板保持装置および研磨装置
US20070235344A1 (en) * 2006-04-06 2007-10-11 Applied Materials, Inc. Process for high copper removal rate with good planarization and surface finish
US20070251832A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Method and apparatus for electrochemical mechanical polishing of cu with higher liner velocity for better surface finish and higher removal rate during clearance
WO2007125511A2 (en) * 2006-05-02 2007-11-08 Nxp B.V. Wafer de-chucking
US7166016B1 (en) * 2006-05-18 2007-01-23 Applied Materials, Inc. Six headed carousel
US7422982B2 (en) * 2006-07-07 2008-09-09 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US20080038993A1 (en) * 2006-08-08 2008-02-14 Jeong In-Kwon Apparatus and method for polishing semiconductor wafers
US7789736B2 (en) * 2006-10-13 2010-09-07 Applied Materials, Inc. Stepped retaining ring
US7699688B2 (en) 2006-11-22 2010-04-20 Applied Materials, Inc. Carrier ring for carrier head
US7575504B2 (en) 2006-11-22 2009-08-18 Applied Materials, Inc. Retaining ring, flexible membrane for applying load to a retaining ring, and retaining ring assembly
US7727055B2 (en) 2006-11-22 2010-06-01 Applied Materials, Inc. Flexible membrane for carrier head
US7977241B2 (en) * 2006-12-20 2011-07-12 Freescale Semiconductor, Inc. Method for fabricating highly reliable interconnects
US7651384B2 (en) * 2007-01-09 2010-01-26 Applied Materials, Inc. Method and system for point of use recycling of ECMP fluids
US8012000B2 (en) * 2007-04-02 2011-09-06 Applied Materials, Inc. Extended pad life for ECMP and barrier removal
JP5023146B2 (ja) * 2007-04-20 2012-09-12 株式会社荏原製作所 研磨装置及びそのプログラム
JP2008277635A (ja) * 2007-05-01 2008-11-13 Tokyo Seimitsu Co Ltd ウェハ研磨装置、ウェハ研磨システム及びウェハ研磨方法
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
US8389099B1 (en) 2007-06-01 2013-03-05 Rubicon Technology, Inc. Asymmetrical wafer configurations and method for creating the same
US8348720B1 (en) 2007-06-19 2013-01-08 Rubicon Technology, Inc. Ultra-flat, high throughput wafer lapping process
US8033895B2 (en) * 2007-07-19 2011-10-11 Applied Materials, Inc. Retaining ring with shaped profile
US8137162B2 (en) * 2007-07-25 2012-03-20 Edmond Arzuman Abrahamians Semiconductor wafer polishing machine
KR101358645B1 (ko) 2007-09-04 2014-02-05 삼성전자주식회사 웨이퍼 연마 캐리어 장치 및 그가 채용되는 화학적 기계적연마 설비
CN101459044B (zh) * 2007-12-13 2010-06-09 中芯国际集成电路制造(上海)有限公司 化学机械抛光中凹陷现象检测单元、制作方法及检测方法
CN103252711B (zh) * 2008-03-25 2016-06-29 应用材料公司 改良的承载头薄膜
CN101990702B (zh) * 2008-04-09 2013-06-19 应用材料公司 具有轨道的抛光系统
KR20110018323A (ko) * 2008-04-25 2011-02-23 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량 화학 기계 연마 시스템
KR20090118751A (ko) * 2008-05-14 2009-11-18 삼성전자주식회사 화학적 기계적 연마 방법 및 장치
US8795032B2 (en) * 2008-06-04 2014-08-05 Ebara Corporation Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
US20100041316A1 (en) * 2008-08-14 2010-02-18 Yulin Wang Method for an improved chemical mechanical polishing system
JP4682236B2 (ja) 2008-08-29 2011-05-11 アプライド マテリアルズ インコーポレイテッド 軸動作検出機構およびコンディショナーヘッド
KR101004434B1 (ko) 2008-11-26 2010-12-28 세메스 주식회사 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법
US10160093B2 (en) 2008-12-12 2018-12-25 Applied Materials, Inc. Carrier head membrane roughness to control polishing rate
US8475231B2 (en) 2008-12-12 2013-07-02 Applied Materials, Inc. Carrier head membrane
KR101695434B1 (ko) * 2009-03-30 2017-01-23 에이티에스 오토메이션 툴링 시스템즈 인코포레이티드 웨이퍼를 다루기 위한 시스템 및 방법
CN101850647B (zh) * 2009-03-31 2014-12-10 海德堡印刷机械股份公司 用于传递不同介质的旋转传递装置
DE102009025243B4 (de) * 2009-06-17 2011-11-17 Siltronic Ag Verfahren zur Herstellung und Verfahren zur Bearbeitung einer Halbleiterscheibe aus Silicium
JP2011110637A (ja) * 2009-11-25 2011-06-09 Asahi Glass Co Ltd 磁気ディスク用ガラス基板の製造方法
US9017140B2 (en) 2010-01-13 2015-04-28 Nexplanar Corporation CMP pad with local area transparency
US20110189856A1 (en) * 2010-01-29 2011-08-04 Kun Xu High Sensitivity Real Time Profile Control Eddy Current Monitoring System
TW201201957A (en) * 2010-01-29 2012-01-16 Applied Materials Inc High sensitivity real time profile control eddy current monitoring system
JP5197644B2 (ja) * 2010-02-08 2013-05-15 株式会社荏原製作所 研磨装置及び研磨方法
US8393940B2 (en) 2010-04-16 2013-03-12 Applied Materials, Inc. Molding windows in thin pads
JP5460537B2 (ja) * 2010-06-17 2014-04-02 東京エレクトロン株式会社 基板裏面研磨装置、基板裏面研磨システム及び基板裏面研磨方法並びに基板裏面研磨プログラムを記録した記録媒体
CN101972988B (zh) * 2010-06-28 2012-05-16 清华大学 一种抛光垫修整头
CN102310358A (zh) * 2010-07-08 2012-01-11 中芯国际集成电路制造(上海)有限公司 化学机械研磨设备及使用该化学机械研磨设备的研磨方法
US20120021671A1 (en) * 2010-07-26 2012-01-26 Applied Materials, Inc. Real-time monitoring of retaining ring thickness and lifetime
TWI540021B (zh) 2010-08-06 2016-07-01 應用材料股份有限公司 以扣環調校基板邊緣
JP5511600B2 (ja) * 2010-09-09 2014-06-04 株式会社荏原製作所 研磨装置
JP5291746B2 (ja) * 2011-03-22 2013-09-18 株式会社荏原製作所 研磨装置
US9023667B2 (en) * 2011-04-27 2015-05-05 Applied Materials, Inc. High sensitivity eddy current monitoring system
CN102779584B (zh) * 2011-05-13 2014-05-07 扬州曙光电缆有限公司 一种电缆石墨涂敷机
JP5691843B2 (ja) * 2011-05-27 2015-04-01 富士通セミコンダクター株式会社 半導体装置の製造方法および化学機械研磨装置
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8613474B2 (en) 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US9190312B2 (en) * 2011-07-27 2015-11-17 Advanced Ion Beam Technology, Inc. Extremely low temperature rotary union
JP5837367B2 (ja) * 2011-09-01 2015-12-24 株式会社ディスコ 研削装置
CN103889656B (zh) * 2011-09-12 2017-03-15 应用材料公司 具有复合塑胶部分的载体头部
US10035237B2 (en) * 2011-11-02 2018-07-31 The Boeing Company Robotic end effector including multiple abrasion tools
US20130115862A1 (en) * 2011-11-09 2013-05-09 Applied Materials, Inc. Chemical mechanical polishing platform architecture
JP5908266B2 (ja) * 2011-11-30 2016-04-26 株式会社Screenホールディングス 陽極化成装置及びそれを備えた陽極化成システム並びに半導体ウエハ
WO2013112764A1 (en) * 2012-01-25 2013-08-01 Applied Materials, Inc. Retaining ring monitoring and control of pressure
WO2013134075A1 (en) 2012-03-08 2013-09-12 Applied Materials, Inc. Detecting membrane breakage in a carrier head
CN103302563B (zh) * 2012-03-14 2015-11-25 富泰华工业(深圳)有限公司 打磨装置及使用该打磨装置的机械手
CN102601718B (zh) * 2012-03-31 2016-07-06 上海华虹宏力半导体制造有限公司 化学机械研磨控制方法及装置、化学机械研磨方法及设备
US9067299B2 (en) 2012-04-25 2015-06-30 Applied Materials, Inc. Printed chemical mechanical polishing pad
US8968055B2 (en) * 2012-04-28 2015-03-03 Applied Materials, Inc. Methods and apparatus for pre-chemical mechanical planarization buffing module
CN102658519B (zh) * 2012-05-09 2016-08-31 上海华虹宏力半导体制造有限公司 化学机械研磨机台
KR102236929B1 (ko) 2012-06-05 2021-04-06 어플라이드 머티어리얼스, 인코포레이티드 인터로크 피쳐들을 갖는 2-파트 리테이닝 링
CN103586772B (zh) * 2012-08-16 2016-01-06 鸿富锦精密工业(深圳)有限公司 压力检测装置
US8998676B2 (en) 2012-10-26 2015-04-07 Applied Materials, Inc. Retaining ring with selected stiffness and thickness
JP6055648B2 (ja) * 2012-10-26 2016-12-27 株式会社荏原製作所 研磨装置及び研磨方法
US9039488B2 (en) 2012-10-29 2015-05-26 Wayne O. Duescher Pin driven flexible chamber abrading workholder
US8845394B2 (en) 2012-10-29 2014-09-30 Wayne O. Duescher Bellows driven air floatation abrading workholder
US9011207B2 (en) 2012-10-29 2015-04-21 Wayne O. Duescher Flexible diaphragm combination floating and rigid abrading workholder
US8998678B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Spider arm driven flexible chamber abrading workholder
US9233452B2 (en) 2012-10-29 2016-01-12 Wayne O. Duescher Vacuum-grooved membrane abrasive polishing wafer workholder
US8998677B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Bellows driven floatation-type abrading workholder
US9199354B2 (en) 2012-10-29 2015-12-01 Wayne O. Duescher Flexible diaphragm post-type floating and rigid abrading workholder
US9604339B2 (en) 2012-10-29 2017-03-28 Wayne O. Duescher Vacuum-grooved membrane wafer polishing workholder
WO2014078151A1 (en) 2012-11-16 2014-05-22 Applied Materials, Inc. Recording measurements by sensors for a carrier head
US20140141696A1 (en) 2012-11-21 2014-05-22 Applied Materials, Inc. Polishing System with In-Sequence Sensor
US10532441B2 (en) 2012-11-30 2020-01-14 Applied Materials, Inc. Three-zone carrier head and flexible membrane
US9592585B2 (en) * 2012-12-28 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for CMP station cleanliness
US10226853B2 (en) * 2013-01-18 2019-03-12 Applied Materials, Inc. Methods and apparatus for conditioning of chemical mechanical polishing pads
US9095952B2 (en) 2013-01-23 2015-08-04 Applied Materials, Inc. Reflectivity measurements during polishing using a camera
JP6209088B2 (ja) * 2013-01-25 2017-10-04 株式会社荏原製作所 研磨方法および装置
KR102203498B1 (ko) 2013-01-31 2021-01-15 어플라이드 머티어리얼스, 인코포레이티드 화학 기계적 평탄화후 기판 클리닝을 위한 방법 및 장치
US10513006B2 (en) * 2013-02-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. High throughput CMP platform
WO2014163735A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Reinforcement ring for carrier head
US9186774B2 (en) 2013-03-14 2015-11-17 Applied Materials, Inc. X-ray metrology for control of polishing
US8961266B2 (en) 2013-03-15 2015-02-24 Applied Materials, Inc. Polishing pad with secondary window seal
US20150017889A1 (en) * 2013-07-12 2015-01-15 Ebara Corporation Polishing apparatus
KR102229920B1 (ko) * 2013-10-25 2021-03-19 어플라이드 머티어리얼스, 인코포레이티드 화학 기계적 평탄화 후의 기판 버프 사전 세정을 위한 시스템, 방법 및 장치
US9421666B2 (en) 2013-11-04 2016-08-23 Applied Materials, Inc. Printed chemical mechanical polishing pad having abrasives therein
DE102013225029A1 (de) * 2013-12-05 2015-06-11 Siemens Aktiengesellschaft Verbinder zum Verbinden eines ersten Formelements mit einem zweiten Formelement
US9993907B2 (en) 2013-12-20 2018-06-12 Applied Materials, Inc. Printed chemical mechanical polishing pad having printed window
US9368371B2 (en) 2014-04-22 2016-06-14 Applied Materials, Inc. Retaining ring having inner surfaces with facets
US9434045B2 (en) * 2014-05-05 2016-09-06 Macronix International Co., Ltd. Planarization device and planarization method using the same
CN105081959A (zh) * 2014-05-19 2015-11-25 旺宏电子股份有限公司 平坦化装置及应用其的平坦化方法
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10821573B2 (en) 2014-10-17 2020-11-03 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10399201B2 (en) 2014-10-17 2019-09-03 Applied Materials, Inc. Advanced polishing pads having compositional gradients by use of an additive manufacturing process
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10875145B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
SG11201703114QA (en) 2014-10-17 2017-06-29 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
CN104532244B (zh) * 2014-12-06 2017-03-15 陈曦蒙 一种电动自行车辐条增亮处理装置
KR20160070946A (ko) 2014-12-11 2016-06-21 주식회사 케이씨텍 센서용 위치 조정유닛 및 이를 구비한 화학적 기계적 연마장치
KR102332801B1 (ko) * 2015-05-06 2021-11-30 주식회사 케이씨텍 기판 연마장치의 디척 방법
CN106272037A (zh) * 2015-05-18 2017-01-04 盛美半导体设备(上海)有限公司 化学机械研磨装置及方法
US10500695B2 (en) 2015-05-29 2019-12-10 Applied Materials, Inc. Retaining ring having inner surfaces with features
KR101624837B1 (ko) 2015-06-29 2016-05-26 삼성전자주식회사 이동식 스핀들을 구비한 화학 기계적 연마 시스템
CN105150060B (zh) * 2015-08-27 2017-10-10 哈尔滨商业大学 一种用于大型工件的机器人自动打磨装置
CN108290267B (zh) 2015-10-30 2021-04-20 应用材料公司 形成具有期望ζ电位的抛光制品的设备与方法
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10565701B2 (en) 2015-11-16 2020-02-18 Applied Materials, Inc. Color imaging for CMP monitoring
US11557048B2 (en) 2015-11-16 2023-01-17 Applied Materials, Inc. Thickness measurement of substrate using color metrology
JP6721967B2 (ja) 2015-11-17 2020-07-15 株式会社荏原製作所 バフ処理装置および基板処理装置
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
TWI765655B (zh) 2016-03-09 2022-05-21 美商應用材料股份有限公司 添加劑製造系統、用於添加劑製造系統之電腦程式產品、以及利用添加劑製造系統來製造物體的方法
CN109075054B (zh) * 2016-03-25 2023-06-09 应用材料公司 具有局部区域速率控制及振荡模式的研磨系统
JP6792363B2 (ja) * 2016-07-22 2020-11-25 株式会社ディスコ 研削装置
KR102420066B1 (ko) 2016-07-25 2022-07-11 어플라이드 머티어리얼스, 인코포레이티드 Cmp를 위한 리테이닝 링
TWI743176B (zh) 2016-08-26 2021-10-21 美商應用材料股份有限公司 獲得代表在基板上的層的厚度的測量的方法,及量測系統和電腦程式產品
US11002530B2 (en) 2016-09-20 2021-05-11 Applied Materials, Inc. Tiltable platform for additive manufacturing of a polishing pad
US11397139B2 (en) * 2017-02-27 2022-07-26 Leco Corporation Metallographic grinder and components thereof
US20180304539A1 (en) 2017-04-21 2018-10-25 Applied Materials, Inc. Energy delivery system with array of energy sources for an additive manufacturing apparatus
US11059149B2 (en) 2017-05-25 2021-07-13 Applied Materials, Inc. Correction of fabricated shapes in additive manufacturing using initial layer
US10967482B2 (en) 2017-05-25 2021-04-06 Applied Materials, Inc. Fabrication of polishing pad by additive manufacturing onto mold
US10926378B2 (en) 2017-07-08 2021-02-23 Wayne O. Duescher Abrasive coated disk islands using magnetic font sheet
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11072050B2 (en) 2017-08-04 2021-07-27 Applied Materials, Inc. Polishing pad with window and manufacturing methods thereof
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
US10109517B1 (en) * 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
WO2019231609A1 (en) * 2018-05-29 2019-12-05 Applied Materials, Inc. Wet cleaning of electrostatic chuck
CN111512425A (zh) 2018-06-27 2020-08-07 应用材料公司 化学机械抛光的温度控制
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
WO2020106904A1 (en) 2018-11-21 2020-05-28 Applied Materials, Inc. Offset head-spindle for chemical mechanical polishing
CN109333286A (zh) * 2018-11-30 2019-02-15 深圳市羽力科技有限公司 全方位多轴抛光机
WO2020150072A1 (en) 2019-01-18 2020-07-23 Applied Materials, Inc. Wafer processing tools and methods thereof
US11100628B2 (en) 2019-02-07 2021-08-24 Applied Materials, Inc. Thickness measurement of substrate using color metrology
CN110026889B (zh) * 2019-04-28 2021-04-20 上海新昇半导体科技有限公司 固定连接部件、研磨头组件及抛光设备
US11633833B2 (en) 2019-05-29 2023-04-25 Applied Materials, Inc. Use of steam for pre-heating of CMP components
US11628478B2 (en) 2019-05-29 2023-04-18 Applied Materials, Inc. Steam cleaning of CMP components
TW202110575A (zh) 2019-05-29 2021-03-16 美商應用材料股份有限公司 用於化學機械研磨系統的蒸氣處置站
JP7267847B2 (ja) * 2019-06-12 2023-05-02 株式会社荏原製作所 研磨ヘッド、当該研磨ヘッドを備える研磨装置、および当該研磨装置を用いた研磨方法
US11691241B1 (en) * 2019-08-05 2023-07-04 Keltech Engineering, Inc. Abrasive lapping head with floating and rigid workpiece carrier
US11897079B2 (en) 2019-08-13 2024-02-13 Applied Materials, Inc. Low-temperature metal CMP for minimizing dishing and corrosion, and improving pad asperity
US11813712B2 (en) 2019-12-20 2023-11-14 Applied Materials, Inc. Polishing pads having selectively arranged porosity
KR102123938B1 (ko) * 2019-12-31 2020-06-23 김병호 연마대상부재의 스크래치 방지가 가능한 양면연마장치
US11890718B2 (en) * 2020-01-17 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Removable tray assembly for CMP systems
WO2021150524A1 (en) 2020-01-22 2021-07-29 Applied Materials, Inc. In-line monitoring of oled layer thickness and dopant concentration
CN115516657A (zh) * 2020-01-22 2022-12-23 应用材料公司 Oled层厚度和掺杂剂浓度的产线内监测
US11638979B2 (en) 2020-06-09 2023-05-02 Applied Materials, Inc. Additive manufacturing of polishing pads
US11612978B2 (en) 2020-06-09 2023-03-28 Applied Materials, Inc. Additive manufacturing of polishing pads
US11806829B2 (en) 2020-06-19 2023-11-07 Applied Materials, Inc. Advanced polishing pads and related polishing pad manufacturing methods
US11545371B2 (en) 2020-06-23 2023-01-03 Applied Materials, Inc. Platen shield cleaning system
US11370083B2 (en) 2020-06-26 2022-06-28 Applied Materials, Inc. Pad conditioner cleaning system
JP2023518650A (ja) 2020-06-29 2023-05-08 アプライド マテリアルズ インコーポレイテッド 化学機械研磨のための蒸気発生の制御
EP4171873A1 (en) 2020-06-29 2023-05-03 Applied Materials, Inc. Temperature and slurry flow rate control in cmp
US11919123B2 (en) 2020-06-30 2024-03-05 Applied Materials, Inc. Apparatus and method for CMP temperature control
US11577358B2 (en) 2020-06-30 2023-02-14 Applied Materials, Inc. Gas entrainment during jetting of fluid for temperature control in chemical mechanical polishing
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems
JP2022018685A (ja) * 2020-07-16 2022-01-27 株式会社岡本工作機械製作所 ドレッシング装置及び研磨装置
KR20230088800A (ko) 2020-10-21 2023-06-20 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 연마 시스템들의 개선된 유지보수를 위한 세정 유체들의 순차적 적용
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
WO2022182881A1 (en) * 2021-02-26 2022-09-01 Axus Technology, Llc Containment and exhaust system for substrate polishing components
CN113579877B (zh) * 2021-06-25 2022-10-14 北京精密机电控制设备研究所 一种小直径法兰零件行星孔磨削工装及方法
CN114700871B (zh) * 2022-03-11 2023-11-24 上海致领半导体科技发展有限公司 一种第三代半导体化学机械抛光装置
WO2023215109A1 (en) * 2022-05-02 2023-11-09 Lam Research Corporation Processing chamber purge plate with shroud, and pedestal shield system
CN115008342B (zh) * 2022-06-15 2023-08-25 安徽禾臣新材料有限公司 一种晶片抛光用防崩角的无蜡垫及其生产工艺
CN117583975B (zh) * 2024-01-18 2024-03-19 山西日盛达太阳能科技股份有限公司 一种光伏玻璃抛光装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3913271A (en) * 1974-02-04 1975-10-21 Speedfam Corp Apparatus for machining work pieces
JPH01153266A (ja) * 1987-12-08 1989-06-15 Shinetsu Eng Kk 半導体ウエーハの研磨装置

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1582481A (en) * 1923-12-17 1926-04-27 Heald Machine Co Grinding machine
US2998680A (en) * 1958-07-21 1961-09-05 Morton S Lipkins Lapping machines
US3518798A (en) * 1967-08-10 1970-07-07 Speedfam Corp Polishing machine
US3659386A (en) * 1968-03-22 1972-05-02 Ibm A method for providing a finished surface on workpieces
US3665648A (en) * 1969-12-18 1972-05-30 Yugen Kaisha Yamanaka Seisakus Grinding apparatus
DE2020409C3 (de) * 1970-04-27 1974-01-17 Scan-Dia Hans P. Tempelmann, 5800 Hagen Vorrichtung zum Schleifen und Polieren, von metallographischen und mineralogischen Proben
US3680265A (en) * 1970-12-10 1972-08-01 Corning Glass Works Lapping machine
US3731435A (en) * 1971-02-09 1973-05-08 Speedfam Corp Polishing machine load plate
US3970471A (en) * 1975-04-23 1976-07-20 Western Electric Co., Inc. Methods and apparatus for treating wafer-like articles
US4020600A (en) * 1976-08-13 1977-05-03 Spitfire Tool & Machine Co., Inc. Polishing fixture
US4141180A (en) 1977-09-21 1979-02-27 Kayex Corporation Polishing apparatus
JPS56152562A (en) * 1980-04-24 1981-11-26 Fujitsu Ltd Grinder
FR2523892A1 (fr) * 1982-03-26 1983-09-30 Procedes Equip Sciences Ind Perfectionnements aux machines de polissage a plateau tournant
JPS58171255A (ja) * 1982-03-29 1983-10-07 Toshiba Corp 両面鏡面研摩装置
JPS60109859U (ja) * 1983-12-28 1985-07-25 株式会社 デイスコ 半導体ウエ−ハ表面研削装置
JPS60155358A (ja) * 1984-01-23 1985-08-15 Disco Abrasive Sys Ltd 半導体ウエ−ハの表面を研削する方法及び装置
JPS61152357A (ja) * 1984-12-24 1986-07-11 Hitachi Ltd 加工装置
US4665781A (en) * 1985-02-25 1987-05-19 Hofer Industries Inc. Multi-spindle chucking machine
JPS6299065A (ja) * 1985-10-22 1987-05-08 Matsushita Electric Ind Co Ltd 球面研削装置
US4653231A (en) * 1985-11-01 1987-03-31 Motorola, Inc. Polishing system with underwater Bernoulli pickup
JPS63256356A (ja) * 1987-04-15 1988-10-24 Hitachi Ltd 研摩方法および装置
DE3737904A1 (de) * 1987-11-07 1989-05-18 Prettl Laminar Flow & Prozesst Verfahren und vorrichtung zum nassbehandeln von gegenstaenden, insbesondere von wafern, unter reinraumbedingungen
US4944119A (en) * 1988-06-20 1990-07-31 Westech Systems, Inc. Apparatus for transporting wafer to and from polishing head
JPH079896B2 (ja) * 1988-10-06 1995-02-01 信越半導体株式会社 研磨装置
US5081051A (en) * 1990-09-12 1992-01-14 Intel Corporation Method for conditioning the surface of a polishing pad
US5317778A (en) * 1991-07-31 1994-06-07 Shin-Etsu Handotai Co., Ltd. Automatic cleaning apparatus for wafers
US5224304A (en) * 1991-11-07 1993-07-06 Speedfam Corporation Automated free abrasive machine for one side piece part machining
US5205082A (en) 1991-12-20 1993-04-27 Cybeq Systems, Inc. Wafer polisher head having floating retainer ring
US5329732A (en) * 1992-06-15 1994-07-19 Speedfam Corporation Wafer polishing method and apparatus
US5498199A (en) * 1992-06-15 1996-03-12 Speedfam Corporation Wafer polishing method and apparatus
JP2598661Y2 (ja) * 1992-07-16 1999-08-16 信越半導体株式会社 回転割出式ウエーハ面取部研磨装置
US5232875A (en) * 1992-10-15 1993-08-03 Micron Technology, Inc. Method and apparatus for improving planarity of chemical-mechanical planarization operations
JPH06270052A (ja) * 1993-03-23 1994-09-27 Sumitomo Sitix Corp 半導体ウエーハの鏡面研磨装置
JP2622069B2 (ja) * 1993-06-30 1997-06-18 三菱マテリアル株式会社 研磨布のドレッシング装置
US5443416A (en) * 1993-09-09 1995-08-22 Cybeq Systems Incorporated Rotary union for coupling fluids in a wafer polishing apparatus
US5827110A (en) * 1994-12-28 1998-10-27 Kabushiki Kaisha Toshiba Polishing facility
KR100390293B1 (ko) * 1993-09-21 2003-09-02 가부시끼가이샤 도시바 폴리싱장치
JP3326642B2 (ja) * 1993-11-09 2002-09-24 ソニー株式会社 基板の研磨後処理方法およびこれに用いる研磨装置
JPH07178663A (ja) * 1993-12-24 1995-07-18 Mitsubishi Materials Corp ウエハ研磨装置
JPH07226432A (ja) * 1994-02-09 1995-08-22 Rap Master S F T Kk 半導体ウエハの水没収納方法
US5609719A (en) * 1994-11-03 1997-03-11 Texas Instruments Incorporated Method for performing chemical mechanical polish (CMP) of a wafer
US5655954A (en) * 1994-11-29 1997-08-12 Toshiba Kikai Kabushiki Kaisha Polishing apparatus
DE19544328B4 (de) * 1994-11-29 2014-03-20 Ebara Corp. Poliervorrichtung
US5908530A (en) * 1995-05-18 1999-06-01 Obsidian, Inc. Apparatus for chemical mechanical polishing
US5816891A (en) * 1995-06-06 1998-10-06 Advanced Micro Devices, Inc. Performing chemical mechanical polishing of oxides and metals using sequential removal on multiple polish platens to increase equipment throughput

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3913271A (en) * 1974-02-04 1975-10-21 Speedfam Corp Apparatus for machining work pieces
JPH01153266A (ja) * 1987-12-08 1989-06-15 Shinetsu Eng Kk 半導体ウエーハの研磨装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101198902B1 (ko) 2010-10-04 2012-11-07 김오수 스핀들 유닛 및 이를 이용한 연마장치와 연마방법
CN103042470A (zh) * 2013-01-23 2013-04-17 厦门大学 一种抛光液添加装置

Also Published As

Publication number Publication date
DE69625778D1 (de) 2003-02-20
US6126517A (en) 2000-10-03
KR970023803A (ko) 1997-05-30
EP0774323A3 (en) 1997-10-01
US5738574A (en) 1998-04-14
ATE231046T1 (de) 2003-02-15
KR100395153B1 (ko) 2003-11-20
JP2002198329A (ja) 2002-07-12
US6086457A (en) 2000-07-11
EP0774323B1 (en) 2003-01-15
DE69625778T2 (de) 2003-10-16
JPH09174420A (ja) 1997-07-08
JP2008078673A (ja) 2008-04-03
EP0774323A2 (en) 1997-05-21
US6080046A (en) 2000-06-27
JP4641540B2 (ja) 2011-03-02

Similar Documents

Publication Publication Date Title
KR100412478B1 (ko) 화학 기계 연마를 위한 가공 시스템
US7255632B2 (en) Chemical mechanical polishing system having multiple polishing stations and providing relative linear polishing motion
US5804507A (en) Radially oscillating carousel processing system for chemical mechanical polishing
KR100513573B1 (ko) 화학 기계식 연마 시스템용의, 가요성 부재를 갖는 캐리어 헤드
US9687957B2 (en) Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
US6245680B1 (en) Circumferentially oscillating carousel apparatus for sequentially processing substrates for polishing and cleaning
EP1155778B1 (en) Polishing apparatus
US8430716B2 (en) Polishing method and polishing apparatus
KR102135653B1 (ko) Cmp 후 세정을 위한 양면 버프 모듈
US10256120B2 (en) Systems, methods and apparatus for post-chemical mechanical planarization substrate buff pre-cleaning
US6200201B1 (en) Cleaning/buffer apparatus for use in a wafer processing device
KR101037634B1 (ko) 기판 연마 장치 및 이를 이용한 기판 세정 방법
CN113053743A (zh) 晶边移除方法
KR20150034866A (ko) 연마 장치
KR101034506B1 (ko) 연마 유닛, 이를 갖는 기판 연마 장치 및 이를 이용한 기판연마 방법
KR101034236B1 (ko) 브러쉬 유닛, 이를 갖는 기판 연마 장치 및 이를 이용한 기판 세정 방법
KR101087228B1 (ko) 연마 유닛, 이를 갖는 기판 연마 장치
KR20100060666A (ko) 기판 지지부재, 이를 갖는 기판 연마 장치 및 이를 이용한 기판 연마 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 12

EXPY Expiration of term