JP2020530881A - 3次元垂直nandワード線用の金属充填プロセス - Google Patents

3次元垂直nandワード線用の金属充填プロセス Download PDF

Info

Publication number
JP2020530881A
JP2020530881A JP2020508312A JP2020508312A JP2020530881A JP 2020530881 A JP2020530881 A JP 2020530881A JP 2020508312 A JP2020508312 A JP 2020508312A JP 2020508312 A JP2020508312 A JP 2020508312A JP 2020530881 A JP2020530881 A JP 2020530881A
Authority
JP
Japan
Prior art keywords
tungsten
diborane
hydrogen
semiconductor substrate
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020508312A
Other languages
English (en)
Other versions
JP2020530881A5 (ja
Inventor
スクロス・ローレンス
ヒュマユン・ラシーナ
ゴピナス・サンジャイ
ガオ・ジュウェン
ダネク・ミハル
アシュチアニ・カイハン・アビディ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020530881A publication Critical patent/JP2020530881A/ja
Publication of JP2020530881A5 publication Critical patent/JP2020530881A5/ja
Priority to JP2023220152A priority Critical patent/JP2024038138A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Powder Metallurgy (AREA)

Abstract

【課題】【解決手段】半導体基板上にタングステンなどの遷移金属を堆積させる方法が開示される。この方法は、水素でバランスされたジボランのガス混合物を供給することを含み、水素はガス混合物中のジボランを安定化する役割を担う。本方法は、ガス混合物を半導体基板に送達してホウ素層を形成することを更に含み、ホウ素層は、金属含有前駆体を金属に、例えばタングステン含有前駆体をタングステンに変換する還元剤層として機能する。いくつかの実現形態では、半導体基板は、3次元垂直NAND構造などの垂直構造体を含み、垂直構造体は、垂直構造体の側壁に開口部を有する水平フィーチャまたはワード線を有し、ホウ素層は、垂直構造体の水平フィーチャ内にコンフォーマルに堆積され得る。【選択図】図7

Description

関連出願の相互参照
本出願は、2017年8月14日に出願され、「METAL FILL PROCESS FOR THREE−DIMENSIONAL VERTICAL NAND WORDLINE」と題する米国仮特許出願第62/545,405号の優先権の利益を主張し、その全体があらゆる目的で本明細書に参照として組み込まれる。
本開示は、全般的には、半導体基板上への遷移金属の堆積に関し、より具体的には、ホウ素含有前駆体によって形成されるホウ素層の変換による半導体基板上への遷移金属の堆積に関する。
タングステンおよびタングステン含有材料の堆積は、多くの半導体製造プロセスの不可欠な部分である。そのような堆積は、化学気相堆積(CVD)技術、プラズマ強化CVD(PECVD)技術、原子層堆積(ALD)技術、ならびに、例えば物理気相堆積(PVD)などのIC製造技術で知られている他の堆積技術、によって実現され得る。以下では、タングステンベース材料または単にタングステン材料と呼ぶタングステンおよびタングステン含有材料は、水平インターコネクト、隣接する金属層間のビア、第1の金属層とシリコン基板上のデバイスとの間のコンタクトのために使用されてよく、一般に、IC設計で使用される様々な高アスペクト比のフィーチャ内で使用されてよい。
タングステン材料を堆積する従来のプロセスでは、基板が堆積チャンバ内で所定のプロセス温度まで加熱され、シード層または核形成層として機能させるためにタングステン材料の薄い層が堆積される。その後、タングステン材料のバルク層が核形成層の上に堆積される。通常、タングステン材料は、六フッ化タングステン(WF6)を水素(H2)で還元することにより形成される。典型的には、タングステン材料は、1つ以上のフィールド領域、ならびに様々なICフィーチャを含むことが多い、基板の露出された表面領域全体に堆積される。これらのフィーチャは、広範囲に変化するアスペクト比を有する場合があり、いくつかの実施形態では、1つ以上または全てのフィーチャが比較的高いアスペクト比を有する場合がある。
本開示は方法に関する。方法は、ガス供給ラインでガス混合物を供給することを含み、ガス混合物は、水素(H2)でバランスされたジボラン(B26)を含む。方法は、ガス混合物を、ガス供給ラインから堆積チャンバの中に、そして半導体基板の表面に導入することを更に含み、半導体基板は、複数の水平に向いたフィーチャを有する垂直構造体を含み、ジボランは分解して、水平に向いたフィーチャ内にホウ素層が形成される。方法は、半導体基板においてホウ素層を遷移金属層に変換することを更に含む。
いくつかの実現形態では、ガス混合物は、水素でバランスされた少なくとも20体積%のジボランを含む。いくつかの実現形態では、ガス混合物は、水素でバランスされた約20体積%〜約50体積%のジボランを含む。いくつかの実現形態では、遷移金属は、モリブデン、ルテニウム、コバルト、またはタングステンを含む。いくつかの実現形態では、ホウ素層を遷移金属層に変換することは、ホウ素層をタングステン含有前駆体と反応させてタングステン層を形成することを含む。いくつかの実現形態では、半導体基板は、垂直構造体の側壁に開口部を有し、垂直構造体から開口部を通して流体的にアクセス可能である。いくつかの実現形態では、垂直構造体は3次元(3D)垂直NAND構造である。いくつかの実現形態では、ホウ素層は、垂直構造体の水平に向いたフィーチャ内にコンフォーマルに堆積され、ホウ素層は少なくとも90%のステップカバレッジを有する。
本開示はまた、装置に関する。装置は、ガス供給ラインと、ガス供給ラインに結合された堆積チャンバとを含み、堆積チャンバは、堆積チャンバ内の半導体基板を処理するように構成され、ガス供給ラインはジボランと水素バランスとのガス混合物を含有するように構成されている。半導体基板は、複数の水平に向いたフィーチャを有する垂直構造体を含む。装置は、命令を提供するように構成されたコントローラを更に含み、命令は、以下の作業:
ガス混合物を、ガス供給ラインから堆積チャンバの中に、そして半導体基板の表面に導入することであって、ジボランは分解して、水平に向いたフィーチャ内にホウ素層が形成される、ことと、半導体基板においてホウ素層を遷移金属層に変換することと、を実施する。
いくつかの実現形態では、ガス混合物は、水素でバランスされた少なくとも20体積%のジボランを含む。いくつかの実現形態では、ガス混合物は、水素でバランスされた約20体積%〜約50体積%のジボランを含む。いくつかの実現形態では、遷移金属層は、モリブデン、ルテニウム、コバルト、またはタングステンを含む。いくつかの実現形態では、ホウ素層を変換する命令を伴って構成されているシステムコントローラは、ホウ素層を遷移金属前駆体と反応させて遷移金属層を形成する命令を伴って構成されている。いくつかの実現形態では、半導体基板は、垂直構造体の側壁に開口部を有し、垂直構造体から開口部を通して流体的にアクセス可能である。いくつかの実現形態では、コントローラは、半導体基板を、シラン、ジシラン、または水素を含む還元ガスに曝露させる作業を実施する命令を伴って更に構成されている。いくつかの実現形態では、コントローラは、堆積チャンバ内に半導体基板を提供する作業を実施する命令を伴って更に構成されている。
これらおよび他の実施形態を、図面を参照して以下に更に説明する。
図1Aは、タングステン材料で充填されるように設計され得る、基板内の垂直フィーチャの断面を示す。
図1Bは、図1Aと同様のフィーチャの例を示すが、フィーチャの底部、側壁、および開口部を覆う下層の存在により内側に凹んだプロファイルを有する。
図1Cは、側壁のほぼ中央に狭窄部を示す水平フィーチャを上から見た平面図を示す。いくつかの実施形態では、そのような水平フィーチャは、垂直集積メモリ構造において「ワード線」と呼ばれるものであり得る。
図1Dは、図1Cに示す水平フィーチャと同じものの側面図を示すが、ここでは垂直構造体への開口部を有し、従って垂直構造体の一部を形成している。
図2Aは、VNANDスタック(左右)、中央垂直構造体、および中央垂直構造体の対向する側壁上に開口部を有する複数のスタックされた水平フィーチャを有する、(半導体基板上に形成された)3D垂直メモリNAND(VNAND)構造の側断面図を示す。
図2Bは、図2Aの側面図に示すVNAND構造の上から見た断面図を示し、断面は、図2Aの破線の水平線で示す水平断面で取ったものである。
図3Aは、図2Aに示すものと同様のVNAND構造の垂直断面(切り出し)を示すが、図3Aではワード線の単一のペアに焦点を合わせており、加えて、ワード線内にボイドの形成をもたらしたタングステン充填プロセスを概略的に示す。
図3Bは、図2Aに示すものと同様のVNAND構造の水平断面(切り出し)を示し、また、図3Aにおけるようなボイドの存在を概略的に示す。
図3Cは、単一のワード線の断面を上から見たものであり、図に示すピラーの存在に起因して、タングステン材料のほぼコンフォーマルな堆積が、示されるワード線の内部をピンチオフし始める様子を示す。
図4は、タングステンへのホウ素変換を使用するフィーチャ充填の様々な段階でのフィーチャの概略図である。
図5は、ジボラン濃度を日数の関数として表すグラフであり、ジボランは窒素、水素、または水素と窒素との混合物でバランスされている。
図6Aは、様々なシーケンスに従って堆積された様々なタングステンスタックを概略的に示す。 図6Bは、様々なシーケンスに従って堆積された様々なタングステンスタックを概略的に示す。 図6Cは、様々なシーケンスに従って堆積された様々なタングステンスタックを概略的に示す。 図6Dは、様々なシーケンスに従って堆積された様々なタングステンスタックを概略的に示す。
図7は、水素でバランスされたジボランを使用して遷移金属層を堆積する例示的方法を示すフロー図である。
図8は、特定の実現形態に従って金属堆積プロセスを実施するための堆積チャンバを含む装置の概略図である。
図9は、特定の実現形態に従って金属堆積および/またはエッチング作業を実施するためのマルチステーション装置の概略図である。
図10は、特定の実現形態に従って金属堆積および/またはエッチング作業を実施するためのマルチステーション装置の概略図である。
以下の記載では、提示された概念の完全な理解を提供するために数多くの具体的な詳細が記述されている。提示された概念は、これらの特定の詳細の一部または全てを有することなく実施されてよい。その他の場合、提示された概念を不必要に不明瞭にしないように、よく知られたプロセス作業は詳細には説明していない。特定の実施形態と併せて、いくつかの概念を説明するが、これら実施形態は限定することを意図するものではないことが理解されよう。
本開示では、「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、および「部分的に作製された集積回路」という用語は交換可能に用いられる。当業者は、「部分的に作製された集積回路」という用語は、シリコンウェハ上に作製される集積回路製造の多くの段階のいずれかの間のシリコンウェハを指し得ることを理解するであろう。半導体デバイス業界で使用されるウェハまたは基板は典型的には、200mm、または300mm、または450mmの直径を有する。以下の詳細な説明は、本開示がウェハ上に実現されることを想定している。しかし、本開示はそのようには限定されない。ワークピースは、様々な形状、サイズ、および材料を有してよい。半導体ウェハに加えて、本開示を利用できる他のワークピースには、プリント回路基板などの様々な物品が含まれる。
3次元垂直NAND構造
集積回路(IC)設計および作製においてタングステン材料が頻繁に使用されているにもかかわらず、その使用に関連した難しさがある。例えば、タングステン材料を、小さなフィーチャまたは高アスペクト比を有するフィーチャの中に堆積させると、堆積されたタングステン内にボイドが形成される場合がある。ボイドとは、単に、構造またはフィーチャ内において、周囲の領域が充填された後に充填されずに残った領域である。多くの場合、ボイド形成は、フィーチャの入口付近に堆積された材料が不均衡に蓄積されることによって生じ、その結果、入口が閉塞され、フィーチャの内部容積がピンチオフされて、内部容積内での更なる堆積が防止される。いったん閉塞またはピンチオフされると、反応性堆積前駆体種は内部容積の中への進入経路を持たず、これら領域内でのいかなる更なる堆積も妨げられる。他の場合、たとえピンチオフがなくても、タングステン材料は(ボトムアップでの充填を示すのではなく)側壁から外向きに堆積する傾向があるので、堆積したタングステン材料に継ぎ目が現れる場合がある。従って、そのような継ぎ目は典型的には、フィーチャの中心軸のかなりの部分に沿って細長く、フィーチャの底部に向かって延びる。充填プロセスの完了後に継ぎ目がフィールド領域の近くまで広がっていて、その後の化学的機械的平坦化によって継ぎ目が開く場合など、継ぎ目の存在がボイドの形成につながる場合が時々ある。いずれにせよ、継ぎ目およびボイドは、高抵抗、基板汚染、材料の喪失などを引き起こし、別途、集積回路の電気特性に悪影響を与える場合がある。
本開示の態様は、ボイドおよびシームの形成を最小化または排除しながら、半導体基板構造およびフィーチャの均一なタングステン充填を実現し得る。このような均一なタングステン充填の用途には、ロジックおよびメモリのコンタクト充填、DRAMの埋め込みワード線充填、垂直集積メモリゲート/ワード線充填、および3D集積化(TSV)が含まれる。本明細書に記載される方法、装置、およびシステムは、垂直フィーチャ、例えばビア、ならびに垂直NAND(VNAND)構造内の水平ワード線などの水平フィーチャを充填するために使用されてよく、以下により詳細に記載される。充填される構造またはフィーチャを有する基板は、200、300、もしくは450mmの半導体ウェハ、または約200〜300mm、もしくは約300〜450mm、もしくは約450mmを超える直径を有するウェハ、または任意の適正なサイズのウェハであってよい。その上、この方法、装置、およびシステムを使用して、パネル、ディスプレイ基板などを含む他のタイプの基板上のフィーチャをタングステンで充填してよい。
上述のように、半導体基板構造およびフィーチャを、タングステン材料で充填することに関連する重大な問題は、タングステン充填プロセス中の継ぎ目およびボイドの形成である。特に、狭い開口部および/または内側に凹んだ開口部、もしくは狭窄部のうちの1つ以上を有する、または単に比較的高いアスペクト比を有することを特徴とするフィーチャを有する構造が問題となり得る。図1Aは、タングステン材料で充填されるように設計され得る、基板103内の垂直フィーチャ101の断面を示す。そのような垂直フィーチャは、フィーチャ開口部105および側壁107を有する。垂直フィーチャ101は、少なくとも約2:1、または少なくとも約4:1、または少なくとも約6:1、または少なくとも約10:1、または少なくとも約20:1、または更に高いアスペクト比を有してよい。フィーチャ開口部105は、約10ナノメートル〜500ナノメートル、例えば、約25〜300ナノメートルの直径を有してよい。
同様に、図1Bは、類似のフィーチャ101の例を示すが、フィーチャ101の底部、側壁、および開口部を覆う下層113の存在により内側に凹んだプロファイルを有する。内側に凹んだプロファイルとは、フィーチャ開口部とフィーチャの底部または閉じた端部との間のいずれかの場所で断面が狭くなり、それによりプロファイルに「ボトルネック」が現れているプロファイルである。場合によっては、内側に凹んだプロファイルが、フィーチャ開口部から内側に向かって徐々に狭くなるか、またはフィーチャがその開口部自体にオーバーハングを含む場合がある。図1Bは、後者の例を示しており、ここでも、狭くなっている部分は下層113の存在によるものであり、下層は、フィーチャの底部またはフィーチャ側壁107の更に下の部分におけるよりも、フィーチャ開口部の近くで厚く、それによりオーバーハング115が形成されている。下層113は、例えば、拡散バリア層、接着層、核形成層、もしくはこれらの任意の組み合わせ、または材料の任意の他の有用なもしくは有利な層であってよい。
いくつかの実施形態では、フィーチャの側壁の1つ以上の狭窄部により、均一なタングステン充填を実現することが難しくなる場合がある。図1Cは、側壁107のほぼ中央に狭窄部109を示す例示的な水平フィーチャ121を上から見た平面図を示す。いくつかの実施形態では、そのような水平フィーチャは、以下でより詳細に記載される3D垂直NAND(VNAND)構造のうちの1つなどの垂直集積メモリ構造において「ワード線」と呼ばれるものであり得る。図1Dは、垂直構造体122への開口部105を有し、従って垂直構造体122の一部を形成する、同じ水平フィーチャ121の側面図を示す。いくつかの実施形態、および、いくつかの堆積化学物質ならびにプロセスについては、堆積前駆体の各化学種の十分な量が、構造体122のベースまで垂直に下に向かい、次いで水平フィーチャ121を水平に通り、特に、狭窄部109の領域を通って移動する必要があるので、そのような構造体においてタングステンの均一な充填を実現することは困難な場合がある。繰り返すが、図1Cは、水平フィーチャ121および狭窄部109を上から見た平面図を提示し、一方、図1Dは、同じものの側面図を提示することに留意されたい。従って、これらの図から、この実施形態では、狭窄部109は、フィーチャ121の側壁107から水平方向内向き、および垂直方向に延びていることが明らかである。ただし、他の実施形態では、フィーチャ内の狭窄部が、2つの断面次元のうちの一方にのみ存在してよく、1次元であるか2次元であるかに関わらず、任意の種類の狭窄部が、均一なタングステン充填をより困難にする場合があることを理解すべきである。
いくつかの実施形態では、半導体基板上の充填される構造は、複数の水平フィーチャを有する垂直構造体であって、水平フィーチャは垂直構造体の側壁に開口部を有し、開口部は、(水平フィーチャの)複数の内部容積につながっており、内部容積は、フィーチャ開口部を介してメインの垂直構造体から流体的にアクセス可能である。
例えば、図2Aは、VNANDスタック(左205および右206)、中央垂直構造体210、および中央垂直構造体210の対向する側壁240上に開口部230を有する複数のスタックされた水平フィーチャ220を有する、(半導体基板201上に形成された)3D垂直メモリNAND(VNAND)構造200の側断面図を示す。図2Aは、示されたVNAND構造の2つの「スタック」を示し、これらが共に「トレンチ状」中央垂直構造体210を形成することに留意されたい。しかし、特定の実施形態では、順番に配置され、空間的に互いに平行に走る2つ以上の「スタック」があって、隣接する「スタック」の各対の間のギャップが、図2Aに明示的に示すような中央垂直構造体210を形成してよい。この実施形態では、水平フィーチャ220は、実際には3Dメモリ「ワード線」であり、開口部230を介して垂直構造体210から流体的にアクセス可能である。図では明示的に示していないが、図2Aに示すVNANDスタック205、206(すなわち、左のVNANDスタック205、および右のVNANDスタック206)の両方に存在する水平フィーチャ220はまた、追加のVNANDスタックによって形成される同様の垂直構造体(図示していないが、左端および右端にある)を介して、VNANDスタックの反対側(それぞれ、左端および右端)からもアクセス可能である。換言すれば、各VNANDスタック205、206は、中央垂直構造体210を介してVNANDスタックの両側から流体的にアクセス可能なワード線のスタックを含有する。図2Aに概略的に示す特定の例では、各VNANDスタックは6対のスタックされたワード線を含有するが、他の実施形態では、3D VNANDメモリレイアウトは、開口部230を有する16個、または32個、または64個、または128個のスタックされた水平ワード線フィーチャ220に対応する、8個、または16個、または32個、または64個の垂直にスタックされたワード線の対を含有してよい。更に、原則として、垂直フィーチャの(実質的に)ボイドのない充填を良好に実現する技術が利用可能である限り、そのようなVNAND構造内に任意の数のワード線を垂直にスタックしてよい。従って、例えば、VNANDスタックは、2個〜256個の水平ワード線フィーチャ、または8個〜128個の水平ワード線フィーチャ、または16個〜64個の水平ワード線フィーチャなどを含んでよい(記載された範囲は、列挙された端の数を含むと理解される)。
図2Bは、図2Aの側面図に示すのと同じVNAND構造200の上から見た断面図を示し、断面は、図2Aに示す水平断面260(すなわち、破線の水平線で示す)で取ったものである。図2Bは、図2Aに示す、半導体基板201のベースからVNANDスタック200の上部まで垂直に走るピラー250のいくつかの列を示す。いくつかの実施形態では、これらピラー250はポリシリコン材料から形成され、VNAND構造200にとって構造的および機能的に重要である。いくつかの実施形態では、そのようなポリシリコンピラーは、ワード線によって形成されたスタックメモリセルのゲート電極として機能してよい。図2Bの上面図は、ピラー250がワード線220への開口部230に狭窄部を形成することを示す。すなわち、開口部230(図2Bの矢印で示す)を介した垂直構造体210からのワード線220への流体的アクセス可能性がピラー250によって阻害されている。いくつかの実施形態では、隣接するポリシリコンピラー間の水平方向のギャップのサイズは約1〜20nmである。このような流体的アクセス可能性の低下により、ワード線220をタングステン材料で均一に充填することの難しさが増大する。
ワード線220の構造と、ピラー250の存在に起因するワード線220をタングステン材料で均一な充填することの困難さとを、図3A、図3B、および図3Cに更に示す。図3Aは、図2Aに示すものと同様の、VNAND構造200を通る垂直カットを示すが、ここでは、ワード線220の単一の対に焦点を合わせており、加えて、ワード線220にボイド270が形成される結果となったタングステン充填プロセスを概略的に示す。図3Bはまた、ボイド270を概略的に示すが、この図では、図2Bに示す水平カットと同様に、ピラー250を通る水平カットによって示す。図3Bは、狭窄部を形成するピラー250の周囲のタングステン材料の蓄積を示し、蓄積により開口部230がピンチオフされ、その結果、ボイド270の領域に追加のタングステン材料を堆積することができない。図3Aおよび3Bから明らかなことは、ピラー250の周囲に蓄積されたタングステンの堆積が開口部230のピンチオフを生じさせ、前駆体がワード線220の中に更に移動することが防止される前に、十分な量の堆積前駆体が、垂直構造体210を下に通り、開口部230を通り、狭窄をもたらすピラー250を過ぎ、ワード線220の最も遠いところまで移動することに、ボイドのないタングステン充填が依存することである。同様に、図3Cは、上から断面で見た単一のワード線220を示し、ピラー250の大きな幅が、そうでなければワード線220を通る開放経路であり得る部分を、部分的にブロックする、および/または狭める、および/または狭窄化するように作用するという事実に起因して、タングステン材料の概ねコンフォーマルな堆積がワード線220の内部をピンチオフし始める様子を示す。(図3Cの例は、図3Bに示すピラー狭窄部の構造の3Dフィーチャの2Dレンダリングとして理解でき、従って断面図よりもむしろ平面図において見える狭窄部を示していることに留意すべきである。)
それに応じて、半導体フィーチャの狭窄領域の中へのタングステン含有材料の均一な堆積は、重大な困難をもたらす可能性がある。上述した例は、3D VNANDメモリ構造のメモリワード線を均一にファイリングする問題に特に関係するが、本明細書に記載される方法は、タングステン含有材料の供給源から、均一なタングステン堆積が望まれるフィーチャの領域までに、比較的くびれた経路が存在する任意の種類のフィーチャに適用できる。
ボイド形成を回避し、水平フィーチャの均一なタングステン充填を実現するための技術の一分類は、タングステン堆積作業とタングステンエッチング作業との組み合わせを伴う。全般的な考え方は、ピンチオフが発生するまでタングステンを堆積し、ピンチオフ点をエッチバックして閉鎖された領域を再び開放させ、次いでタングステンを以前に閉鎖された領域の中に再び堆積することである。そのような技術を使用できる状況では、1対の堆積作業の間に介在する1つのエッチング作業が、特定の水平フィーチャの内部であっても、十分に均一なタングステン充填を完了するには十分であり得る。しかし、より困難な状況では、適切に充填するためには、構造体のアスペクト比の大きさ、充填すべき水平フィーチャ内部の狭窄の程度などに応じて、追加の堆積−エッチングサイクルが必要になる場合がある。堆積およびエッチング作業の組み合わせを使用したタングステンの堆積に関する追加の詳細は、2014年5月22日に出願された、「METHODS AND APPARATUSES FOR VOID−FREE TUNGSTEN FILL IN THREE−DIMENSIONAL SEMICONDUCTOR FEATURES」と題する米国特許出願第14/285,505号に記載されており、その全体があらゆる目的で参照として組み込まれる。
ホウ素変換
いくつかの実現形態では、タングステン堆積は、コンフォーマルなホウ素堆積と、それに続くホウ素によるタングステン含有前駆体(WF6など)の還元によるタングステンの層を形成することを含むことができる。反応の例は次の通りである:WF6(g)+2B(s)→W(s)+BF3(g)。ホウ素変換によるタングステンの堆積は、タングステン核形成層の形成、またはフィーチャのタングステンでの充填において生じ得る。ホウ素変換によるフィーチャ充填の例を図4に示す。しかし、ホウ素変換は、フィーチャ充填のためにタングステンを堆積するだけでなく、核形成層および他の目的としてタングステンを堆積することにも役立ち得ると理解されている。
図4は、ホウ素変換によりフィーチャを充填する、そのような方法における特定の作業を示すフロー図を示す。最初に、作業410において、フィーチャ401内で窒化チタン層413上にホウ素の薄いコンフォーマル層425が堆積される。作業420において、ホウ素の薄いコンフォーマル層425は、例えば上記の反応によりタングステン層427に変換される。430および440において、ホウ素堆積および変換作業が繰り返されて、ホウ素の別のコンフォーマル層425が形成され、それが次いでタングステンに変換され、その結果、タングステン層427が成長される。堆積および変換反応を、フィーチャがタングステン427で完全に充填されるまで繰り返すことができる。薄いコンフォーマルなホウ素(または別の還元材料)とタングステンへの変換との複数サイクルを使用して、非常にコンフォーマルで、グレインが小さく、かつより平滑なタングステンを堆積させることにより、大きなまたは不均一なグレイン成長をもたらすCVD法を使用すると形成され得る継ぎ目を減らすことができる。いくつかの実現形態では、各サイクルは、最大で約10nmの厚さを有するタングステン層を形成し得る。ホウ素からタングステンへの変換に伴い体積の増加があり得る。
分解または反応して、タングステン含有前駆体を還元して、元素タングステンを形成することが可能な層を形成できるホウ素含有化合物を使用できる。例には、Bnn+4、Bnn+6、Bnn+8、Bnmを含むボランが含まれ、ここで、nは1〜10の整数であり、mはmとは異なる整数である。
いくつかの実現形態では、層425は、シリコンまたはシリコン含有材料、リンまたはリン含有材料、ゲルマニウムまたはゲルマニウム含有材料、および水素を含むタングステン前駆体を還元できる任意の材料であってよい。そのような層を形成するために使用できる前駆体の例には、SiH4、Si26、PH3、SiH2Cl2、およびGeH4が含まれる。タングステンフィーチャ充填においてホウ素変換を使用する追加の例を以下で説明する。
ホウ素含有化合物を使用したタングステンの堆積に関する追加の詳細は、2013年3月27日に出願された、「TUNGSTEN FEATURE FILL」と題する米国特許出願第13/851,885号に記載されており、その全体があらゆる目的で参照として組み込まれる。
核形成層堆積
いくつかの実現形態では、本明細書に記載される方法は、バルク層の堆積の前にタングステン核形成層を堆積することを伴う。核形成層は、典型的には、薄いコンフォーマル層であり、その層が、その層上へのバルクタングステン含有材料のその後の堆積を促進する。様々な実現形態によると、核形成層は、フィーチャのあらゆる充填の前に、および/またはフィーチャの充填中の以降の時点で堆積されてよい。例えば、いくつかの実現形態では、フィーチャ内のタングステンのエッチングに続いて核形成層が堆積されてよい。
特定の実現形態では、核形成層は、パルス核形成層(PNL)技術を使用して堆積される。PNL技術では、還元剤のパルス、任意選択のパージガス、およびタングステン含有前駆体が逐次的に反応チャンバの中に注入され、反応チャンバからパージされる。このプロセスは、所望の厚さが実現されるまで周期的に繰り返される。PNLは、半導体基板上で反応させるために反応物を逐次的に追加するあらゆる周期的プロセスを広く具現化し、原子層堆積(ALD)技術を含む。タングステン核形成層を堆積するためのPNL技術は、米国特許第6,635,965号;第7,005,372号;第7,141,494号;第7,589,017号,第7,772,114号,第7,955,972号、および第8,058,170号、ならびに米国特許出願公開第2010−0267235号に記載されており、それら全体が本明細書に参照として組み込まれる。核形成層の厚さは、核形成層の堆積方法、およびバルク堆積の所望の品質に依存し得る。一般に、核形成層の厚さは、高品質で均一なバルク堆積をサポートするのに十分な厚さである。例は10オングストローム〜100オングストロームの範囲であってよい。
PNL堆積の例を上述したが、本明細書に記載する方法は、タングステン核形成層堆積の特定の方法に限定されず、PNL、ALD、CVD、および物理気相堆積(PVD)を含む任意の方法によって形成されたタングステン核形成層上へのバルクタングステン膜の堆積を含む。更に、特定の実現形態では、核形成層を使用せずにフィーチャ内にバルクタングステンが直接堆積されてよい。例えば、いくつかの実現形態では、フィーチャ表面および/または既に堆積された下層がバルクタングステン堆積をサポートする。いくつかの実現形態では、核形成層を使用しないバルクタングステン堆積プロセスが実施されてよい。参照により本明細書に組み込まれる、2012年7月27日に出願された米国特許出願第13/560,688号は、例えば、核形成層のないタングステンバルク層の堆積について記載している。
様々な実現形態では、タングステン核形成層の堆積は、六フッ化タングステン(WF6)、六塩化タングステン(WCl6)、およびタングステンヘキサカルボニル(W(CO)6)などのタングステン含有前駆体への曝露を伴い得る。特定の実現形態では、タングステン含有前駆体は、WF6などのハロゲン含有化合物である。有機金属前駆体、およびMDNOW(メチルシクロペンタジエニル−ジカルボニルニトロシル−タングステン)ならびにEDNOW(エチルシクロペンタジエニル−ジカルボニルニトロシル−タングステン)などのフッ素を含まない前駆体も使用されてよい。
還元剤の例には、ジボラン(B26)および他のボランを含むホウ素含有還元剤、シラン(SiH4)および他のシランを含むシリコン含有還元剤、ヒドラジン、ならびにゲルマン、が含まれ得る。いくつかの実現形態では、タングステン含有前駆体のパルスは、1つ以上の還元剤のパルスを、例えばS/W/S/W/B/Wなどのように交互にすることができ、Wはタングステン含有前駆体を表し、Sはケイ素含有前駆体を表し、Bはホウ素含有前駆体を表す。いくつかの実現形態では、別個の還元剤が使用されなくてよく、例えば、タングステン含有前駆体が熱またはプラズマ援用分解を受けてよい。
PNLタングステンフィルムの特性は、プロセスガスの選択および導入シーケンスによって実質的に変えられ得る。特に、タングステン含有ガス(例えば、WF6)の最初のドーズの前に、ホウ素含有還元剤(例えば、B26)の単一ドーズでPNLタングステン堆積を開始することが有利である。B26−WF6の初期ドーズ後、PNLタングステン堆積の残りのサイクルは、上述のように続行できる(例えば、SiH4およびWF6パルスを交互に)。
この手法では、ジボラン(または他のホウ素含有前駆体)が基板表面で反応して、元素ホウ素の「犠牲層」を形成する。この犠牲層は、引き続きタングステン前駆体と反応して元素タングステンを形成する。ホウ素堆積プロセスは、従来の自己制限ALDタイプの堆積プロセスではない(例えば、シランベースの反応とは対照的に)。むしろ、ジボラン(または他の好適なホウ素含有材料)は、典型的なPNLまたはCVD作業条件下(例えば、200°C〜400°C、1〜300Torr)で熱分解して、誘電体表面上にホウ素膜を生成する。基板がホウ素還元剤に曝露されている限り、反応は進行し得る。しかし、限定された量のタングステンが以降のステップで実際に形成されることを確実にするために、ジボランの堆積は好ましくは、約3〜10オングストロームの厚さに限定される。これは、ジボランの単層の約1〜3層に相当し得る。プロセスの2番目の作業では、元素ホウ素層はタングステン前駆体に曝露され、タングステン前駆体はホウ素によって元素タングステンに還元される。
いくつかの実施形態では、十分な量のホウ素が妥当な時間内に表面上に形成されることを確実にするために、中程度の温度(例えば、300℃)でホウ素作業が実施される。他のパラメータに関しては、一般に、プロセスステップは約0.1〜300Torrの圧力で実施され、ドーズは流量および接触時間によって規定される。一般に、ホウ素含有還元剤は、タングステン前駆体を効果的に還元して金属タングステンの層を生成することができる、プロセス適合性を有する任意のホウ素化合物であり得る。好適なホウ素含有還元剤の例には、ヘキサボラン(B610)、トリボラン、ジボラン(B26)などのボランが含まれる。
測定結果は、約200kJ/gmolの活性化エネルギーを伴う、B26からのホウ素の1次成長速度論を示している。従って、最初のWF6または他のW含有試薬パルスの前に、非常に薄いホウ素膜を犠牲層として堆積できる。表面上のBの量は、ウェハの全表面上で、WF6が核形成およびタングステン成長を開始するのに適切な核形成サイトを提供するように調整される。これにより、入ってくる半導体ウェハ基板の変動に対するPNLプロセスの感度は非常に低下する。特に、最初のB26パルスによる適切に最適化されたB層を使用して、不連続なTi−TiNラインバリア膜を用いて、ウェハ表面上にタングステンを核形成することさえできる。ロジックおよびDRAMデバイス用の非常に高いアスペクト比を有する半導体コンタクト構造では、これは非常に重要である。その理由は、PVDまたはCVDによるTiおよびTiNバリアの不十分なステップカバレッジは、コンタクトのアスペクト比が10:1(深さ/直径)を超える場合に、コンタクト底部の近くに不連続膜を形成することが多いからである。
26は、PNLタングステンの還元剤として欠点がないわけではない。B26は熱CVDプロセスで堆積され、自己制限的ではないので、B26からのホウ素堆積ではステップカバレッジの問題が発生する可能性がある。これは典型的には、アスペクト比が20:1未満のフィーチャでは見られないが、アスペクト比が60:1以上のアグレッシブな(DRAM)構造では問題になる場合がある。SiH4は自己制限的であり、十分なドーズ時間が与えられると、いかなるトポグラフィーでも完全に飽和させることができる。従って、典型的なPNLタングステンの成長は、初期的なB26のパルスで始まり、その後にWF6とSiH4との交互のドーズが続いてよい。B26のドーズ時間は、その後のタングステン成長のために適切な核形成サイトを提供するために最適化されてよい。ホウ素はまた、WF6の分解によって生成される過剰なフッ素を消費するゲッターとして機能してよい。その後のWF6とSiH4のドーズは自己制限的であり、その結果、均一で完全にコンフォーマルなタングステン膜成長になる。
バルク堆積
多くの実現形態では、タングステンバルク堆積は、還元剤およびタングステン含有前駆体を堆積チャンバの中に流してフィーチャ内にバルク充填層を堆積するCVDプロセスによって生じ得る。不活性キャリアガスを使用して、予混合されている場合も、予混合されていない場合もある反応物ストリームのうちの1つ以上を送達してよい。PNLまたはALDプロセスとは異なり、この作業は一般に、所望の量が堆積されるまで反応物を連続的に流すことを伴う。特定の実現形態では、CVD作業は複数段階で行われてよく、複数段階は、連続的かつ同時の反応物の流れからなる複数の期間が、1つ以上の反応物の流れを迂回させた期間によって分離される。
WF6、WCl6、およびW(CO)6を含むがこれらに限定されない様々なタングステン含有ガスを、タングステン含有前駆体として使用できる。特定の実現形態では、タングステン含有前駆体は、WF6などのハロゲン含有化合物である。特定の実現形態では、還元剤は水素ガスであるが、シラン(SiH4)、ジシラン(Si26)、ヒドラジン(N24)、ジボラン(B26)およびゲルマン(GeH4)を含む他の還元剤を使用してよい。多くの実現形態では、水素ガスがCVDプロセスにおける還元剤として使用される。いくつかの他の実現形態では、分解してバルクタングステン層を形成できるタングステン前駆体を使用できる。バルク堆積はまた、ALDプロセスを含む他のタイプのプロセスを使用して行われる場合もある。
温度の例は、200℃〜500℃の範囲であってよい。様々な実現形態によると、本明細書に記載されるCVD W作業はいずれも、例えば、約250℃〜350℃、または約300℃での低温CVD W充填を使用することができる。
様々な実現形態によると、堆積は、特定のフィーチャプロファイルが実現されるまで、および/または特定の量のタングステンが堆積されるまで進行し得る。いくつかの実現形態では、堆積時間および他の関連パラメータは、モデリングおよび/または試行錯誤によって決定されてよい。例えば、ピンチオフするまでタングステンをフィーチャ内にコンフォーマルに堆積できるインサイドアウト充填プロセスの初期の堆積では、ピンチオフが実現されるタングステンの厚さ、および対応する堆積時間を、フィーチャの寸法に基づいて決定することは簡単であり得る。いくつかの実現形態では、プロセスチャンバに様々なセンサを装着して、堆積作業の終点検出のためにインサイチュ計測測定を実施してよい。インサイチュ測定法の例には、光学顕微鏡法、および堆積された膜の厚さを決定するための蛍光X線法(XRF)が含まれる。
本明細書に記載されるタングステン膜は、使用される特定の前駆体およびプロセスに応じて、他の化合物、ドーパント、および/または窒素、炭素、酸素、ホウ素、リン、硫黄、シリコン、ゲルマニウムなどの不純物のある程度の量を含んでよいことを理解されたい。膜中のタングステン含有量は、(原子)タングステンが20%〜100%の範囲にあってよい。多くの実現形態では、膜はタングステンを豊富に含み、少なくとも50%の(原子)タングステン、または更に少なくとも約60%、75%、90%、もしくは99%の(原子)タングステンを有する。いくつかの実現形態では、膜は、金属または元素タングステン(W)と、炭化タングステン(WC)、窒化タングステン(WN)などの他のタングステン含有化合物との混合物であってよい。
これら材料のCVDおよびALD堆積は、任意の適正な前駆体の使用を含み得る。例えば、窒化タングステンのCVDおよびALD堆積は、以下に更に記載するように、ハロゲンを含有する、およびハロゲンを含有しない、タングステン含有化合物および窒素含有化合物の使用を含み得る。チタン含有層のCVDおよびALD堆積は、例えば、テトラキス(ジメチルアミノ)チタン(TDMAT)、および塩化チタン(TiCl4)を含む、チタン含有前駆体と、適正な場合は1つ以上の共反応物との使用を含み得る。タンタル含有層のCVDおよびALD堆積は、ペンタキス−ジメチルアミノタンタル(PDMAT)、およびTaF5などの前駆体と、適正な場合は1つ以上の共反応物との使用を含み得る。コバルト含有層のCVDおよびALD堆積は、トリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナト)コバルト、ビス(シクロペンタジエニル)コバルト、およびジコバルトヘキサカルボニルブチルアセチレンなどの前駆体と、1つ以上の共反応物との使用を含み得る。ニッケル含有層のCVDおよびALD堆積は、シクロペンタジエニルアリルニッケル(CpAllylNi)およびMeCp2Niなどの前駆体の使用を含み得る。共反応物の例には、N2、NH3、N24、N26、SiH4、Si36、B26、H2、およびAlCl3が含まれ得る。
フィーチャ内の材料の分布は、そのステップカバレッジによっても特徴付けられ得る。本明細書の目的のために、「ステップカバレッジ」は、2つの厚さの比、すなわち、フィーチャ内部の材料の厚さを開口部近くの材料の厚さで割ったものとして定義される。本明細書の目的のために、「フィーチャ内部」という用語は、フィーチャの軸に沿ってフィーチャの中間点付近に位置するフィーチャの中間部分、例えば、フィーチャの深さに沿ってフィーチャの開口部から測定した距離の約25%〜75%、または特定の実施形態では、約40%〜60%の領域、または、フィーチャの深さに沿ってフィーチャの開口部から測定した距離の約75%〜95%に位置するフィーチャの端部、を表す。「フィーチャの開口部の近く」または「フィーチャ開口部の近く」という用語は、開口部の縁部、または開口部の縁部を表す他の要素の25%以内、またはより具体的には10%以内に位置するフィーチャの上部を表す。100%を超えるステップカバレッジは、例えば、フィーチャ開口部におけるよりも、フィーチャの中央または底部近くがより広くなっているフィーチャを充填することによって実現できる。
特定の実施形態では、エッチングされた層の目標ステップカバレッジは、少なくとも約60%、75%、100%、または125%などのスーパーコンフォーマル(100%超)である。特定の実施形態では、約50%未満、25%未満、または更に低いステップカバレッジが目標とされてよい。
水素でバランスされたジボラン
前述のように、3D VNAND構造の水平ワード線フィーチャ内にタングステンを均一に堆積させることが、大きな困難を生じさせる場合がある。3D VNAND構造のアスペクト比が大きくなり、水平ワード線のスタックが多くなるにつれて、前駆体ガスは、均一なタングステン堆積が望まれる領域へと、より長い距離を、かつより狭い経路を移動する必要があり得る。3D VNAND構造におけるタングステンの均一な堆積に関連する困難さを、図1A〜図1D、図2A〜図2B、および図3A〜図3Cに関連して議論する。
本開示は、半導体基板の垂直構造体の水平に向いたフィーチャへのタングステンモリブデン、ルテニウム、またはコバルトなどの遷移金属の堆積に関する。半導体基板は、3D垂直NAND構造などの垂直構造体を含み得る。半導体基板は、3D垂直NAND構造のワード線などの複数の水平に向いたフィーチャを更に含んでよい。水平に向いたフィーチャは、狭窄部を含む場合がある。半導体基板は垂直構造体を含んでよく、垂直構造体は、垂直構造体の側壁に開口部を有する複数の水平に向いたフィーチャを有し、フィーチャは、開口部を通して垂直構造体から流体的にアクセス可能である。複数の水平に向いたフィーチャを有する垂直構造体の例を、図2A〜図2Bに示す。
ボイドのないタングステン充填、または他の遷移金属充填のための堆積は、十分な量の前駆体ガスが、垂直構造体を下に通り、垂直構造体の側壁の開口部を通り、狭窄をもたらすピラーを過ぎ、複数の水平に向いたフィーチャの中に移動することに部分的に依存している。垂直構造体および複数の水平に向いたフィーチャは、高いアスペクト比を有してよい。いくつかの実現形態では、水平に向いたフィーチャは、数マイクロメートル程度の深さを有してよい。複数の水平に向いたフィーチャは、均一な遷移金属の堆積が望まれる大きな表面積を提供し得る。それに応じて、十分な量の前駆体ガスを供給して、狭い障壁部を越えて長距離を移動させて、半導体基板の大きな表面積を飽和させることは大きな困難となり得る。
ジボランは、基板表面で反応して元素ホウ素の「犠牲層」を形成し、それが続いて金属前駆体と反応して金属層を形成する場合があり、元素ホウ素は還元剤として作用する。基板表面へのジボランの堆積は、自己制限プロセスではなく、典型的には、基板表面上で金属層の不十分なステップカバレッジをもたらす。ステップカバレッジが悪いと、金属層の堆積が不均一になり、金属充填物にボイドが形成される場合がある。加えて、ジボランは典型的には、ジボラン濃度を限定する窒素(N2)バランスを伴って送達される。例えば、ジボランは、ジボラン濃度の限度がジボランの約5体積%以下であるような窒素バランスで提供される。ジボラン濃度にそのような制限を設けることは、少なくとも部分的には、ジボラン分解を制限するようにジボランの安定性を制御することに起因する場合がある。ジボラン濃度にこのような制限があるので、基板に十分なドーズを送達して大きな表面積をカバーし、その後の金属(例えば、タングステン)の成長用に適切な核形成サイトを提供するにためは、非常に大きな流量および/または非常に長いドーズ時間が必要である。より高いガスフローおよび、より長いドーズ時間は、ガスの使用量およびスループットに悪影響を及ぼす。
本開示は、ジボランが窒素バランスで供給されるジボランガスを供給する代わりに、ジボランが水素(H)バランスで供給されるジボランガスを供給することに関する。堆積チャンバに入る前に、ホウ素含有ガス混合物が供給されてもよく、ホウ素含有ガス混合物はジボランおよび水素を含む。水素は、ジボランとは別個にパルスされるわけではなく、例えば、ジボランに続くパージガスとして水素がパルスされる。水素はまた、別個のガスラインまたは別個のガス供給源から堆積チャンバの中に送達されるわけではなく、例えば、水素はキャリアガスとしてジボランと共に送達される。ジボランは、ジボランとの共反応物としての水素と共に堆積チャンバの中に一緒に送達され、ジボランはガス混合物中において水素でバランスされている。いくつかの実現形態では、ジボランは、堆積チャンバへの送達前に、ガス供給部に水素と共に貯蔵される。
ジボランと水素とのガス混合物は、ジボランと窒素とのガス混合物よりも高いジボラン濃度を提供し得る。いくつかの実現形態では、ガス混合物は、水素でバランスされた少なくとも20体積%のジボランを含む。いくつかの実現形態では、ガス混合物は、水素でバランスされた20〜50体積%のジボランを含む。より高いジボラン濃度は、半導体基板に送達されるジボランのより高い分圧ドーズをもたらす。ジボランの分圧ドーズが高いほど、より多くのジボランを垂直構造体の複数の水平に向いたフィーチャの中に到達させ、大きな表面積を飽和させることができる。例えば、ジボランのより高い分圧ドーズ量により、より多くの量のジボランが3D垂直NAND構造の複数の水平ワード線フィーチャに到達することが促進され得る。これを、ガスフローおよびドーズ時間を必ずしも増加させることなく行うことができる。
水素でバランスされたジボランを供給することにより、ジボランが安定化されジボランの分解が限定される。ジボランが分解されると、元素ホウ素および水素ガスが形成される:B26←→2B(S)+3H2(g)。ジボランの分解は可逆反応であるため、水素を加えることによりジボランの分解が遅くなる。図5は、数百日間にわたるジボラン濃度のグラフを示し、ジボランは、窒素バランス、水素バランス、または水素および窒素バランスによって混合されている。図5に示すように、窒素でバランスされたジボランでは、約1か月で、5体積%のジボランから4.5体積%のジボランへのジボラン濃度の低下につながり得る一方で、水素でバランスされたジボランでは、ジボラン濃度は数年にわたって約5体積%のジボランを維持し得る。
ジボランを熱分解させて、より高いボランを生成することが可能である。ジボランは以下に示すように、解離してボランになる場合があり、ボランは、一連の反応を経て、より高次のボランおよび分子状水素をもたらす反応中間体として機能し得る。更なるステップが、連続して、より高次のボランを生じさせ得る。熱分解により生成される、より高次のボランは、ジボランを貯蔵するシステムおよび装置のハードウェア寿命を短縮させる場合がある。より高次のボランは最終的に分解して、元素ホウ素および水素を形成する場合がある。
(1)B26←→2BH3
(2)B26+BH3←→B39
(3)B39←→B37+H2(律速段階)
(4)BH3+B37←→B410
(5)B26+B37←→B511+H2
ガス混合物中のジボランに水素を添加すると、ジボランの熱分解が遅くなる場合がある。従って、水素でバランスされたジボランは、ジボランの分解を安定化させる。ジボランは、貯蔵、送達、および基板表面上に吸着された場合、分解が遅くなる場合がある。
基板表面へのジボランの堆積は、自己制限プロセスではない。それに応じて、他の還元剤とは異なり、ジボランの元素ホウ素への分解は自己制限反応ではない。基板表面上の反応部位の数に限定される代わりに、ホウ素はジボランの分解により基板表面上に連続的に形成され得る。典型的には、ジボランの分解によるホウ素堆積は、自己制限プロセスではないことに部分的に起因して、不十分なステップカバレッジをもたらす。しかし、ジボランをバランスさせるために水素を添加することにより、分解が遅くなり、それにより、ホウ素堆積によるステップカバレッジは改善し得る。
水素でバランスされたジボランを使用することにより、金属前駆体を金属に変換する際の還元剤として機能するホウ素層を基板表面上に形成することができる。これは、フィーチャを金属(例えば、タングステン)で充填するシーケンスの任意の部分でも生じ得る。このように、ジボランを、フィーチャへのバルク金属充填の前、最中、または後に堆積させてよい。いくつかの実現形態では、ジボランを、バルク金属充填の前に、「浸漬(soak)」プロセスまたはPNLプロセスにおいて堆積させてよい。いくつかの実現形態では、ジボランを、バルク金属充填中でバルク金属充填が部分的に完了したときに、浸漬プロセスまたはPNLプロセスにおいて堆積させてよい。いくつかの実現形態では、フィーチャのバルク金属充填の前または最中に、複数の浸漬サイクルおよび/または核形成サイクル組み合わせてよい。いくつかの実現形態では、ジボランを、フィーチャのバルク金属充填のための金属前駆体を用いてCVDプロセスで堆積させてよい。
「浸漬」または「開始浸漬(initiation soak)」プロセスは、基板をガスに曝露させることにより基板表面を前処理することができ、それにより核形成の遅延なしに金属の成長が促進される。いくつかの実現形態では、浸漬プロセスが、基板表面をガス状態のジボランなどのガスに約1〜約60秒間曝露させてよい。一例として、浸漬プロセスは、パルス核形成プロセス前に、ジボランの単一パルス(およびパージ)を含んでよい。これにより、ホウ素層が基板表面上の還元剤として確立され、それにより、基板の前処理中に適用された接着層(Ti−TiN層など)に関係なく、金属核形成に最適な表面を提供することにより、核形成遅延を減少または排除できる。浸漬ステップは、核形成密度を改善し核形成遅延を減少させるために用いられるジボランの長い初期ドーズなどの初期サイクルとして見ることができることに留意されたい。
パルス核形成プロセスでは、PNL技術を使用して、その後のバルク金属堆積を促進する薄いコンフォーマルな金属層を堆積してよい。パルス核形成プロセスでは、ジボランまたはシランのパルス、任意選択のパージガス、および金属前駆体が、逐次的に堆積チャンバの中に注入され、堆積チャンバからパージされる。このプロセスは、所望の厚さが実現されるまで繰り返される。いくつかの実現形態では、フィーチャのバルク金属充填の前または最中に、浸漬ステップおよびパルス核形成ステップを組み合わせてよい。浸漬ステップおよびパルス核形成ステップは、フィーチャのバルク金属充填の前または最中に、任意のシーケンスにおいて、および任意の回数で生じてよい。シーケンスに関しては、浸漬プロセスの後にパルス核形成プロセスが続く場合があり、またはパルス核形成プロセスの後に浸漬プロセスが続く場合がある。タングステンを堆積する際の様々なシーケンスの例を、図6A〜図6Dに示す。
図6Aは、浸漬、パルス核形成、およびバルク充填作業のシーケンスに従って形成されたタングステンスタックの概略図を示す。図6Aのタングステンスタックは、B/BW/B/Wの作業シーケンスに従って形成されてよく、Bは浸漬ステップを表し、BWはジボランパルス核形成ステップを表し、Wはバルクタングステン充填ステップを表す。図6Aでは、初期浸漬ステップでは、ジボランが堆積されて、タングステン含有前駆体の還元剤として機能する第1のホウ素層602を確立することができ、タングステン含有前駆体は、後続のステップで反応物として供給され得る。後続のパルス核形成ステップでは、ジボラン、任意選択のパージガス、およびタングステン含有前駆体を逐次的にパルスして、タングステン核形成層604を形成することができる。後続の浸漬ステップにより、タングステン核形成層604の上にジボランを更に堆積させることができる。堆積されたジボランは、タングステン含有前駆体の還元剤として機能する第2のホウ素層606を確立することができ、タングステン含有前駆体は、後続のステップで反応物として供給され得る。後続のバルクタングステン充填ステップでは、CVD技術を使用して、所望の量のタングステン608が堆積されるまで、還元剤とタングステン含有前駆体との反応物を同時に連続的に流すことができる。
図6Bは、浸漬、パルス核形成、およびバルク充填作業の別のシーケンスに従って形成されたタングステンスタックの概略図を示す。図6Bでのタングステンスタックは、B/BW/B/SW/Wの一連の作業シーケンスに従って形成されてよく、ここでSWはシランパルス核形成ステップを表す。図6Aのように、最初の浸漬ステップの後に、ジボランを使用するパルス核形成ステップが続き、その後に浸漬ステップが続く。しかし図6Aとは異なり、図6Bでは、シラン、任意選択のパージガス、およびタングステン含有前駆体を逐次的にパルスして、中間タングステン核形成層610を形成する、後続のパルス核形成ステップが追加される。後続のバルクタングステン充填ステップでは、CVD技術を使用して、所望の量のタングステン608が堆積されるまで、還元剤とタングステン含有前駆体との反応物を同時に連続的に流すことができる。
図6Cは、浸漬、パルス核形成、およびバルク充填作業の別のシーケンスに従って形成されたタングステンスタックの概略図を示す。図6Cのタングステンスタックは、SW/B/SW/B/Wの作業シーケンスに従って形成されてよい。図6Aのように、最初の浸漬ステップの後に、ジボランを使用するパルス核形成ステップが続き、その後に浸漬ステップが続き、その後にバルクタングステン充填ステップが続く。しかし図6Aとは異なり、図6Cでは、初期浸漬ステップの前に、シラン、任意選択のパージガス、およびタングステン含有前駆体を逐次的にパルスして、初期タングステン核形成層612を形成する初期パルス核形成ステップが追加される。
図6Dは、浸漬、パルス核形成、およびバルク充填作業の別のシーケンスに従って形成されたタングステンスタックの概略図を示す。図6Dのタングステンスタックは、BW/W/B/BW/B/Wの作業シーケンスに従って形成されてよい。図6Dでは、初期パルス核形成ステップでは、ジボラン、任意選択のパージガス、およびタングステン含有前駆体を逐次的にパルスして、第1のタングステン核形成層614を形成することができる。後続のバルクタングステン充填ステップでは、CVD技術を使用して、所望の量のタングステン616が形成されるまで、還元剤とタングステン含有前駆体との反応物を同時に連続的に流すことができる。このステップ中に形成されるタングステン616の所望の量は、バルクタングステン充填プロセスを部分的にのみ完了させる場合がある。後続の浸漬ステップでは、ジボランが堆積されて、タングステン含有前駆体の還元剤として機能する第1のホウ素層618を確立することができ、タングステン含有前駆体は、後続のステップで反応物として供給され得る。そのようなステップは、バルクタングステン充填プロセスを「中断する」場合がある。換言すれば、バルクタングステン充填プロセスが完全に完了していない場合は、ジボランを浸漬ステップおよび/またはパルス核形成ステップにおいて堆積させてよい。後続のパルス核形成ステップでは、ジボラン、任意選択のパージガス、およびタングステン含有前駆体を逐次的にパルスして、第2のタングステン核形成層620を形成することができる。後続の浸漬ステップにより、第2のタングステン核形成層620の上にジボランを更に堆積させることができる。堆積されたジボランは、タングステン含有前駆体の還元剤として機能する第2のホウ素層622を確立することができ、タングステン含有前駆体は、後続のステップで反応物として供給され得る。後続のバルクタングステン充填ステップでは、CVD技術を使用して、所望の量のタングステン624が堆積されるまで、還元剤とタングステン含有前駆体との反応物を同時に連続的に流すことができる。
図7は、水素でバランスされたジボランを使用して遷移金属層を堆積する例示的方法を示すフロー図である。プロセス700は、異なる順序で、または異なる作業、より少ない作業、または追加作業を用いて実施されてよい。いくつかの実現形態では、プロセス700のブロックは、以下に記載されるシステムコントローラを使用して実施されてよい。いくつかの実現形態では、プロセス700のブロックは、少なくとも部分的に、1つ以上の非一時的コンピュータ可読媒体に格納されたソフトウェアに従って実現されてよい。
プロセス700は、垂直構造体内の複数の水平に向いたフィーチャ内に金属を堆積させるための、基板表面へのジボランの送達に関する。ジボランは、水素でバランスされたジボランを有するガス混合物の形態で送達される。ジボランの送達、および金属への変換は、フィーチャ内に金属を堆積させるステップシーケンス中のステップであってよい。
プロセス700のブロック702において、ガス混合物が任意選択的にガス供給ラインに供給され、ガス混合物は、水素でバランスされたジボランを含む。ジボランを窒素でバランスさせて供給するのではなく、ジボランを水素でバランスさせて供給してよい。窒素バランスにより、ジボランの濃度は限定され(例えば、5体積%以下)、ハードウェアの寿命が短縮され、基板表面でのステップカバレッジが劣化する。しかし、水素バランスにより、ジボランの濃度が増加し(例えば、20体積%以上)、ハードウェアの寿命が延長され、基板表面でのステップカバレッジが改善する。
ガス供給ラインは、遷移金属を基板表面上に堆積させるための堆積チャンバに結合されてよい。水素でバランスされたジボランは、堆積チャンバの中に送達される前に共通の供給源から供給される。いくつかの実現形態では、ガス混合物は実質的に窒素を含まない。
プロセス700のブロック704において、ガス混合物を、ガス供給ラインから堆積チャンバの中に、そして半導体基板の表面に導入する。半導体基板は、複数の水平に向いたフィーチャを有する垂直構造体を含む。ジボランは分解して、水平に向いたフィーチャ内にホウ素層が形成される。いくつかの実現形態では、水素でバランスされたジボランを、水素、窒素、またはアルゴンなどの好適な不活性キャリアガスと共に流してよい。
いくつかの実現形態では、垂直構造体には3D垂直NAND構造が含まれる。いくつかの実現形態では、複数の水平に向いたフィーチャは、水平ワード線フィーチャを含んでよい。水平ワード線フィーチャは、高いアスペクト比を有してよく、水平ワード線フィーチャのアスペクト比は、ワード線フィーチャの深さまたは長さ(L)と、ワード線フィーチャのギャップの高さ(h)との比を表し得る。単一階段接触方式の場合、アスペクト比はL:2hとして計算されてよく、一方で、二重階段接触方式の場合、アスペクト比はL:hとして計算されてよい。いくつかの実現形態では、水平ワード線フィーチャは、少なくとも約4:1、または少なくとも約6:1、または少なくとも約10:1、または少なくとも約20:1、または少なくとも約60:1、または更に高いアスペクト比を有してよい。いくつかの実現形態では、水平ワード線フィーチャは、数マイクロメートル程度の深さを有してよい。水平ワード線フィーチャは、狭窄部を含む場合がある。いくつかの実現形態では、半導体基板は垂直構造体を含んでよく、垂直構造体は、垂直構造体の側壁に開口部を有する複数の水平に向いたフィーチャを有し、フィーチャは、開口部を通して垂直構造体から流体的にアクセス可能である。複数の水平に向いたフィーチャを有する垂直構造体の例を、図2A〜図2Bに示す。
表面積の大きい3D垂直NAND構造に効果的に堆積させるために、ジボランドーズの濃度は高くてよい。水素でバランスされたジボランは、ガスフローとドーズ時間を必ずしも増やす必要なく、ジボランドーズをより多く送達する。従って、十分な量のジボランが、3D垂直NAND構造全体に、および水平ワード線フィーチャの最も遠い範囲まで堆積され得る。堆積されたジボランは、熱分解を受け、水平に向いたフィーチャ内にホウ素層を形成する場合があり、ホウ素層は還元剤として機能する。ジボランの元素ホウ素への分解を水素が減速させることに部分的に起因して、ホウ素層は、垂直構造体の水平に向いたフィーチャ内にコンフォーマルに堆積される場合があり、ホウ素層のステップカバレッジは少なくとも70%、少なくとも80%、少なくとも85%、少なくとも90%、または少なくとも95%である。
いくつかの実現形態では、半導体基板は、PNLサイクルにおいて、一定時間、例えば、約0.1秒〜約10秒にわたって、水素でバランスされたジボランのパルスに曝露される。パルスの後に、任意選択的に、水素、窒素、またはアルゴンなどの好適なパージガスによるパージが続いてよい。いくつかの実現形態では、パージガスは、PNLサイクル全体を通してバックグラウンドで供給されてよい。半導体基板上のジボランは分解して、ホウ素層を形成する。半導体基板は、その後、PNLサイクルにおいて一定時間、タングステン含有前駆体などの遷移金属前駆体に曝露されてよい。いくつかの実現形態では、そのような一定時間は約0.1秒〜約10秒であってよい。
いくつかの実現形態では、半導体基板は、一定時間、例えば約1秒〜約60秒にわたって、水素でバランスされたジボランの「浸漬」に曝露される。ジボランは気体状態であってよい。パルスの後に、任意選択的に、水素、窒素、またはアルゴンなどの好適なパージガスによるパージが続いてよい。
プロセス700のブロック706において、ホウ素層は半導体基板において遷移金属層に変換される。例えば、遷移金属層は、モリブデン、ルテニウム、コバルト、またはタングステンを含んでよい。いくつかの実現形態では、半導体基板は、タングステン含有前駆体などの遷移金属前駆体に曝露される。いくつかの実現形態では、タングステン含有前駆体は、六フッ化タングステン(WF6)、六塩化タングステン(WCl6)、またはタングステンヘキサカルボニル(W(CO)6)を含む。タングステン含有前駆体は、ホウ素層により還元されて元素タングステンを形成し得る。いくつかの実現形態では、遷移金属層は、垂直構造体の水平に向いたフィーチャ内にコンフォーマルに堆積される場合があり、遷移金属層のステップカバレッジは少なくとも85%、少なくとも90%、または少なくとも95%である。
いくつかの実現形態では、プロセス700は、半導体基板を還元ガスに曝露させることを更に含み、還元ガスは、シラン、ジシラン、または水素を含む。いくつかの実現形態では、シラン還元ガスを、従来のPNLプロセスで堆積させてよい。シラン還元ガスは、約0.1秒〜約10秒の期間にわたって基板と接触し、次いで、パージガスを使用して堆積チャンバからパージされる。シラン還元ガスは、遷移金属前駆体を金属に変換してよい。いくつかの実現形態では、シラン還元ガス、ジシラン還元ガス、または水素還元ガスが、金属のバルク堆積のためのCVDプロセスで使用される。
装置
本明細書で提示される方法は、様々な供給メーカから入手可能な様々な装置で実施され得る。好適であり得る装置の例には、Novellus Concept−1(商標)ALTUS(商標)、Concept−2 ALTUS(商標)、Concept−2 ALTUS−S(商標)、Concept−3 ALTUS(商標)、およびALTUS Max(商標)堆積システム、または任意の様々な他の市販の化学気相堆積(CVD)ツールが含まれる。図8は、特定の実施形態に従って部分的に作製された半導体基板を処理するための装置800の概略図を示す。装置800は、台座820を有するチャンバ818、シャワーヘッド814、インサイチュプラズマ発生器816、および遠隔プラズマ発生器806を含む。台座820は、半導体基板828を支持するように構成されている。装置800はまた、入力を受信し、および/または制御信号を様々なデバイスに伝達するためのシステムコントローラ822を含む。
水素でバランスされたジボランを含む堆積試薬が、貯蔵タンクであり得るソース802から供給されてよい。いくつかの実現形態では、堆積試薬は遠隔プラズマ発生器806に供給される。堆積試薬を堆積チャンバ818の中に導入する前に、任意の好適な遠隔プラズマ発生器を使用して堆積試薬を活性化させてよい。例えば、ASTRON(登録商標)i Type AX7670、ASTRON(登録商標)e Type AX7680、ASTRON(登録商標)ex Type AX7685、ASTRON(登録商標)hf−s Type AX7645など、全てAndover,MassachusettsにあるMKS Instrumentsから入手可能な、遠隔プラズマ発生ユニットを使用してよい。一般に、遠隔プラズマ発生器ユニットは、典型的には、供給された堆積試薬を使用して、フリーラジカルベースのプラズマ(ある程度、弱くイオン化されている場合もある)を生成する自己完結型デバイスである。遠隔プラズマ発生器は、プラズマ中の電子にエネルギーを供給する高出力RF発生器である。次いで、このエネルギーは中性試薬に伝達され、20,00K程度の温度になり、これら分子の熱解離を生じさせる。遠隔プラズマ発生器ユニットは、その高いRFエネルギーおよび特殊なチャネルの形状により、このエネルギーの大部分をエッチャントが吸着するようになるので、入ってくる試薬の60%以上を解離させ得る。
図8に示すような特定の実施形態では、1つ以上の試薬が遠隔プラズマ発生器806から接続ライン808を通して堆積チャンバ818の中に流れ込み、そこで混合物はシャワーヘッド814を通して分配される。他の実施形態では、1つ以上の試薬が、遠隔プラズマ発生器806を完全にバイパスして、堆積チャンバ818の中に直接流入する(例えば、装置800はそのような発生器806を含まない)。代替として、1つ以上の試薬を活性化する必要がないので、例えば、1つ以上の試薬を堆積チャンバ818の中に流している間、遠隔プラズマ発生器806をオフにしてよい。加えて、特定の実施形態では、アルゴン、ヘリウムなどの不活性ガスも、貯蔵タンクでもあり得るソース803から供給される。
いったん前駆体試薬が堆積チャンバ818の中に導入されると、シャワーヘッド814および台座820に取り付けられた内部プラズマ発生器816を介してインサイチュでのプラズマ活性化が実現され得る。特定の実施形態では、内部プラズマ発生器816は、約0W〜10,000Wの電力を約1〜100MHzの周波数にて供給することが可能な高周波(HF)RF発生器である。より具体的な実施形態では、HF RF発生器は、約0〜5,000Wの電力を約13.56MHzで伝達し得る。いくつかの実現形態では、内部プラズマ発生器816は、堆積された金属の除去を強化するためにインサイチュプラズマを生成してよい。
チャンバ818は、堆積およびエッチングの程度、濃度、圧力、温度などの様々なプロセスパラメータを検知するためのセンサ824を含んでよい。センサ824は、プロセス中のチャンバ状態に関する情報をシステムコントローラ822に提供してよい。センサ824の例には、マスフローコントローラ、圧力センサ、熱電対などが含まれる。センサ824はまた、チャンバ内のガスの存在を監視し、測定値を制御するための赤外線検出器または光学検出器を含んでよい。センサ824は、処理チャンバへの還元剤およびタングステン含有前駆体の流量、ならびに、遠隔プラズマ発生器806からのプラズマ種、および遠隔プラズマ発生器806へのその前駆体の流量を決定するために使用され得る情報を提供する。
堆積および選択的除去作業により、様々な揮発性種が生成され、それらは堆積チャンバ818から排出される。その上、処理は、チャンバ818の特定の所定の圧力レベルで実施される。これらの機能は両方とも、真空ポンプであり得る真空出口826を使用して実現される。
特定の実施形態では、システムコントローラ822を使用して、金属堆積およびエッチング作業中にプロセス条件およびパラメータを制御する。システムコントローラ822は、典型的には1つ以上のメモリデバイスおよび1つ以上のプロセッサを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータ制御ボードなどを含んでよい。典型的には、システムコントローラ822に関連付けられたユーザインタフェースが存在することになる。ユーザインタフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにユーザ入力デバイス、例えば、ポインティングデバイス、キーボード、タッチスクリーン、マイク、を含んでよい。
特定の実施形態では、システムコントローラ822は、基板温度、チャンバ圧力、試薬流量、遠隔プラズマ発生器806の電力出力および動作周波数(または周波数範囲)、インサイチュプラズマ発生器816の電力出力および動作周波数(または周波数範囲)、ならびに他のプロセスパラメータを制御する。システムコントローラ822は、システム制御ソフトウェアおよび命令を実行して、前述の制御を提供する。いくつかの実施形態では、コントローラに関連付けられたメモリデバイスに格納された他のコンピュータプログラムを使用してよい。
プロセスシーケンス中のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ読み取り可能プログラミング言語、例えば、アセンブリ言語、C、C++、Pascal、Fortranなどで記述できる。コンパイルされたオブジェクトコードまたはスクリプトが、プロセッサによって実行されて、プログラムで識別されたタスクを実施する。システムソフトウェアは、多くの様々な方法で設計または構成されてよい。例えば、記載したプロセスを実行するために必要なチャンバ構成要素の作業を制御するために、様々なチャンバ構成要素のサブルーチンまたは制御オブジェクトが記述されてよい。この目的のためのプログラムまたはプログラムの一部の例には、基板温度制御コード、チャンバ圧力制御コード、試薬流量制御コード、プロセスガス制御コード、遠隔プラズマ発生器制御コード(場合によっては電力出力および動作周波数制御コードを含む)、インサイチュプラズマ発生器制御コード(場合によっては電力出力および動作周波数制御コードを含む)、および他のプロセスパラメータのための制御コードが含まれる。
基板温度、チャンバ圧力、試薬流量、遠隔プラズマ発生器の電力出力および動作周波数(または周波数範囲)、インサイチュプラズマ発生器の電力出力と動作周波数(または周波数範囲)、および他のプロセスパラメータを制御するためのパラメータが、ユーザによってレシピの形で提供されてよく、ユーザインタフェースを利用して入力されてよい。
プロセスを監視するための信号が、システムコントローラ822のアナログおよび/またはデジタル入力接続部によって提供されてよい。プロセスを制御するための信号は、装置800のアナログおよびデジタル出力接続部に出力される。
いくつかの実現形態では、システムコントローラ822は、以下の作業を実施する命令を伴って構成されている:
ガス混合物を、ガス供給ラインから堆積チャンバの中に、そして半導体基板の表面へと導入することであって、ガス混合物は水素でバランスされたジボランを含み、半導体基板は、複数の水平に向いたフィーチャを有する垂直構造体を含み、ジボランは分解して、水平に向いたフィーチャ内にホウ素層が形成される、こと;
および、半導体基板においてホウ素層を遷移金属層に変換すること。いくつかの実現形態では、ホウ素層を変換することは、ホウ素層を遷移金属前駆体に曝露させることを含む。
図9は、マルチステーション装置900の例を示す。装置900は、プロセスチャンバ901、および、処理される基板ならびに処理を完了した基板を保持するための1つ以上のカセット903(例えば、前開き一体型ポッド(FOUP);Front Opening Unified Pod)を含む。チャンバ901は、複数のステーションを、例えば、2個のステーション、3個のステーション、4個のステーション、5個のステーション、6個のステーション、7個のステーション、8個のステーション、10個のステーション、または他の任意の数のステーションを有してよい。ステーションの数は通常、処理作業の複雑さ、および共有環境で実施できるこれら作業の数によって決定される。図9は、911〜916とラベル付けされた6個のステーションを含むプロセスチャンバ901を示す。単一のプロセスチャンバ901を有するマルチステーション装置900内の全てのステーションは、同じ圧力環境に曝露されている。しかし、各ステーションは、指定された反応物分配システム、および図8に示すような専用のプラズマ発生器ならびに台座によって実現されたローカルプラズマならびに加熱条件を有する場合がある。
処理される基板は、カセット903のうちの1つからロードロック905を通ってステーション911の中にロードされる。外部ロボット907を使用して、基板をカセット903からロードロック905の中に移送してよい。図示した実施形態では、2つの別個のロードロック905が存在する。これらは典型的には、基板移送デバイスを装備して、(圧力が、いったんプロセスチャンバ901の内部環境に対応するレベルまで平衡になった後に)基板をロードロック905からステーション911の中に移動させ、そしてステーション916からロードロック905の中に戻して処理チャンバ901から取り出す。メカニズム909を使用して、以下に記載するように、処理ステーション911〜916間で基板を移送し、プロセス中にいくつかの基板を支持する。
特定の実施形態では、1つ以上のステーションが、基板を加熱するために確保されてよい。そのようなステーションは、基板の上に位置する加熱ランプ(図示せず)、および/または図8に示すものと同様の基板を支持する加熱台座を有してよい。例えば、ステーション911が、ロードロックから基板を受け取り、更なる処理の前に基板を予熱するために使用されてよい。堆積およびエッチング作業を含む、高アスペクト比のフィーチャの充填のために、他のステーションを使用してよい。
基板がステーション911において加熱されるか、または他の方法で処理された後、基板は、順番に配置されていても、または順番に配置されていなくてもよい処理ステーション912、913、914、915、および916に逐次的に移動される。マルチステーション装置900は、全てのステーションが同じ圧力環境に曝露されるように構成されている。そうすることにより、基板は、ロードロックなどの移送ポートを必要とすることなく、チャンバ901内でステーション911から他のステーションに移送される。
特定の実施形態では、1つ以上のステーションを使用して、フィーチャをタングステン含有材料で充填してよい。例えば、ステーション912を初期堆積作業に使用してよく、ステーション913を対応する選択的除去作業に使用してよい。堆積−除去サイクルが繰り返される実施形態では、ステーション914を別の堆積作業に使用してよく、ステーション915を別の部分的除去作業に使用してよい。セクション916を最終充填作業に使用してよい。特定のプロセス(加熱、充填、および除去)に対するステーション指定の任意の構成を使用してよいことを理解されたい。
上述のマルチステーション装置の代替として、この方法を、単一の基板チャンバにおいて、または単一の処理ステーション内でバッチモード(すなわち、非逐次的)で基板を処理するマルチステーションチャンバにおいて実現されてよい。本発明のこの態様では、基板はチャンバの中にロードされ、単一の処理ステーションの台座上に位置する(1個のみの処理ステーションを有する装置であろうと、バッチモードで動作するマルチステーションを有する装置であろうと)。次いで、基板を加熱してよく、堆積作業を実施してよい。次いで、チャンバ内のプロセス条件を調整し、堆積層の選択的除去を実施してよい。このプロセスは継続して、1つ以上の堆積−除去サイクル、および最終充填作業を、全て同じステーションで実施してよい。代替として、最初に単一ステーション装置を使用して、新しい方法における作業(例えば、堆積、選択的除去、最終充填)のうちの1つのみを複数のウェハに実施してよく、その後、基板を同じステーションに戻すか、または(例えば、異なる装置の)異なるステーションに移動させて、残りの作業のうちの1つ以上を実施してよい。
図10は、特定の実施形態に従って使用され得るマルチチャンバ装置1020の概略図である。図示するように、装置1020は、3つの別個のチャンバ1021、1023、および1025を有する。これらチャンバの各々には、2つの台座が示される。装置は、任意の数のチャンバ(例えば、1個、2個、3個、4個、5個、6個など)を有してよく、各チャンバは、任意の数のチャンバ(例えば、1個、2個、3個、4個、5個、6個など)を有してよいことを理解すべきである。各チャンバ1021〜1025には、チャンバ間で共有されない各自の圧力環境がある。各チャンバは、1つ以上の対応する移送ポート(例えば、ロードロック)を備えてよい。装置はまた、移送ポートと1つ以上のカセット1029との間で基板を移送するための共有基板ハンドリングロボット1027を有してよい。
上述のように、タングステン含有材料を堆積することと、これらの堆積された材料を後の作業で選択的に除去することのために、別個のチャンバを使用してよい。これら2つの作業を異なるチャンバに分離すると、各チャンバ内で同じ環境条件が維持されることにより、処理速度を実質的に改善することに役立ち得る。換言すれば、チャンバは、その環境を、堆積に使用される条件から選択的除去に使用される条件に変更して、また元に戻す必要がなく、そのように変更することは、異なる前駆体、異なる温度、圧力、および他のプロセスパラメータを伴い得る。特定の実施形態では、部分的に製造された半導体基板を2つ以上の異なるチャンバ間で移送することは、これらチャンバの環境条件を変更することよりも速い。
いくつかの実施形態では、システムコントローラ(1つ以上の物理的または論理的コントローラを含み得る)は、エッチングチャンバ、堆積チャンバ、ならびに/または、1つ以上のエッチングモジュールおよび/もしくは1つ以上の堆積モジュールを含むマルチモジュールツール、の作業の一部または全てを制御する。システムコントローラは、1つ以上のメモリデバイスおよび1つ以上のプロセッサを含んでよい。プロセッサは、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッパモータコントローラボード、および他の同様の構成要素を含んでよい。適正な制御作業を実現する命令は、プロセッサで実行される。これら命令は、コントローラに関連付けられたメモリデバイスに格納されてよく、またはこれら命令はネットワーク経由で提供されてよい。特定の実施形態では、システムコントローラは、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、以下のチャンバ作業条件のうちの任意の1つ以上についての、適用タイミング、および/または大きさを制御する命令を含んでよい:ガスの混合物および/または組成、チャンバ圧力、チャンバ温度、ウェハ温度、ウェハに印加されるバイアス、コイルまたは他のプラズマ生成構成要素に適用される周波数および電力、ウェハ位置、ウェハ移動速度、ならびにツールによって実施される特定のプロセスの他のパラメータ。システム制御ソフトウェアは、任意の好適な方法で構成されてよい。例えば、様々なプロセスツールプロセスを実行するために必要なプロセスツール構成要素の作業を制御するために、様々なプロセスツール構成要素のサブルーチンまたは制御オブジェクトが記述されてよい。システム制御ソフトウェアは、任意の好適なコンピュータ可読プログラミング言語でコーディングされてよい。
いくつかの実施形態では、システム制御ソフトウェアは、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含む。例えば、半導体製造プロセスの各段階は、システムコントローラにより実行される1つ以上の命令を含んでよい。エッチング段階のプロセス条件を設定する命令は、例えば、対応するエッチングレシピ段階に含まれてよい。いくつかの実施形態では、プロセス段階の全ての命令がそのプロセス段階と同時に実行されるように、レシピ段階を順番に配置してよい。堆積段階のプロセス条件を設定する命令は、対応する堆積レシピ段階に含まれてよい。
いくつかの実施形態では、他のコンピュータソフトウェアおよび/またはプログラムが使用されてよい。この目的のためのプログラムまたはプログラムの一部の例には、基板位置決めプログラム、プロセスガス成分制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびRF電力制御プログラムが含まれる。
場合によっては、コントローラは、ガス濃度、ウェハの移動、ならびに/または、コイルおよび/もしくは静電チャックに供給される電力を制御する。場合によっては、コントローラは、ガス濃度、ウェハの移動、および/または遠隔プラズマ発生器に供給される電力を制御する。
コントローラは、例えば、関連するバルブを開閉して、適切な濃度で必要な反応物を供給する1つ以上の入口ガス流を生成させることによりガス濃度を制御してよい。ウェハの移動は、例えば、ウェハ位置合わせシステムを所望に応じて移動させるように指示することにより制御されてよい。コイルおよび/またはチャックおよび/または遠隔プラズマ発生器に供給される電力を制御して、特定のRF電力レベルを供給してよい。コントローラは、センサ出力(例えば、電力、電位、圧力などが特定の閾値に達した場合)、作業タイミング(例えば、プロセス中の特定の時間にバルブを開く)に基づいて、またはユーザから受け取った命令に基づいて、これらおよび他の態様を制御してよい。
フォトリソグラフィ
本明細書で上述した装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの作製または製造のために、リソグラフィパターニング用のツールまたはプロセスと共に使用されてよい。典型的には、しかし必ずしもそうではないが、そのようなツール/プロセスは、共通の製造施設で共に使用されるか、または実行されることになる。膜のリソグラフィパターニングは典型的には、以下の作業の一部または全てを含み、各作業は複数の実行可能なツールによって可能になる:
(1)スピンオンツールまたはスプレーオンツールを用いて、ワークピース、すなわち基板上にフォトレジストを塗布すること;
(2)ホットプレート、加熱炉、または、UV硬化ツールを用いてフォトレジストを硬化させること;
(3)ウェハステッパなどのツールを用いてフォトレジストを可視光、UV光、またはX線に曝露させること;
(4)ウェットベンチなどのツールを使用して、レジストを現像し、それによりレジストを選択的に除去してパターニングすること;
(5)ドライエッチングツールまたはプラズマ援用エッチングツールを用いることによってレジストパターンを下地の膜またはワークピースに転写すること;
および(6)RFまたはマイクロ波によるプラズマレジスト剥離装置などのツールを用いてレジストを除去すること。
その他の実施形態
前述の開示されたプロセス、方法、システム、装置、および構成物は、明確さおよび理解を促進する目的で、特定の実施形態との関連において詳細に記載してきたが、本開示の趣旨内にあるこれらプロセス、方法、システム、装置、および構成物を実現する多くの代替方法があることが当業者には明らかであろう。従って、本明細書に記載された実施形態は、開示された発明概念の例示と見なすべきであって、限定的と見なすべきではなく、本開示の主題に最終的に向けられる任意の請求項の範囲を不必要に限定する、容認できない根拠として使用されるべきではない。

Claims (20)

  1. 方法であって、
    ガス供給ラインでガス混合物を供給することであって、前記ガス混合物は水素(H2)でバランスされたジボラン(B26)を含む、ことと、
    前記ガス混合物を、前記ガス供給ラインから堆積チャンバの中に、そして半導体基板の表面に導入することであって、前記半導体基板は、複数の水平に向いたフィーチャを有する垂直構造体を含み、前記ジボランは分解して、前記水平に向いたフィーチャ内にホウ素層を形成する、ことと、
    前記半導体基板において前記ホウ素層を遷移金属層に変換することと、含む方法。
  2. 請求項1に記載の方法であって、前記ガス混合物は、水素でバランスされた少なくとも20体積%のジボランを含む、方法。
  3. 請求項2に記載の方法であって、前記ガス混合物は、水素でバランスされた約20体積%〜約50体積%のジボランを含む、方法。
  4. 請求項1に記載の方法であって、前記遷移金属層は、モリブデン、ルテニウム、コバルト、またはタングステンを含む、方法。
  5. 請求項1に記載の方法であって、前記ホウ素層を前記遷移金属層に変換することは、前記ホウ素層をタングステン含有前駆体と反応させてタングステン層を形成することを含む、方法。
  6. 請求項5に記載の方法であって、前記タングステン含有前駆体は、六フッ化タングステン(WF6)、六塩化タングステン(WCl6)、またはタングステンヘキサカルボニル(W(CO)6)を含む、方法。
  7. 請求項1〜6のいずれか一項に記載の方法であって、前記ガス混合物は実質的に窒素(N2)を含まない、方法。
  8. 請求項1〜6のいずれか一項に記載の方法であって、前記半導体基板は、前記垂直構造体の側壁に開口部を有し、前記開口部を通して前記垂直構造体から流体的にアクセス可能である、方法。
  9. 請求項1〜6のいずれか一項に記載の方法であって、前記垂直構造体は3次元(3D)垂直NAND構造である、方法。
  10. 請求項1〜6のいずれか一項に記載の方法であって、前記ホウ素層は、前記垂直構造体の前記水平に向いたフィーチャ内にコンフォーマルに堆積され、前記ホウ素層は少なくとも90%のステップカバレッジを有する、方法。
  11. 請求項1〜6のいずれか一項に記載の方法であって、前記ガス混合物を導入することは、パルス核形成層(PNL)堆積サイクルで約0.1秒〜約10秒の一定時間にわたって、水素でバランスされた前記ジボランをパルスすることを含む、方法。
  12. 請求項1〜6のいずれか一項に記載の方法であって、前記ガス混合物を導入することは、約1秒〜約60秒の一定時間にわたって、水素でバランスされた前記ジボランをパルスすることを含む、方法。
  13. 請求項1〜6のいずれか一項に記載の方法であって、
    前記半導体基板を還元ガスに曝露させることを更に含み、前記還元ガスは、シラン、ジシラン、または水素を含む、方法。
  14. 装置であって、
    水素でバランスされたジボランのガス混合物を含有するガス供給ラインと、
    前記ガス供給ラインに結合された堆積チャンバであって、前記堆積チャンバは、前記堆積チャンバ内の半導体基板を処理するように構成され、前記半導体基板は、複数の水平に向いたフィーチャを有する垂直構造体を含む、堆積チャンバと、
    命令を伴って構成されているコントローラであって、前記命令は以下の作業:
    前記ガス混合物を、前記ガス供給ラインから前記堆積チャンバの中に、そして前記半導体基板の表面まで導入することであって、前記ジボランは分解して、前記水平に向いたフィーチャ内でホウ素層を形成する、ことと、
    前記半導体基板において前記ホウ素層を遷移金属層に変換することと、
    を実施するためのものである、コントローラと、
    を含む装置。
  15. 請求項14に記載の装置であって、前記ガス混合物が、水素でバランスされた少なくとも20体積%のジボランを含む、装置。
  16. 請求項15に記載の装置であって、前記ガス混合物は、水素でバランスされた約20体積%〜約50体積%のジボランを含む、装置。
  17. 請求項14に記載の装置であって、前記遷移金属層は、モリブデン、ルテニウム、コバルト、またはタングステンを含む、装置。
  18. 請求項14〜17のいずれか一項に記載の装置であって、前記ホウ素層を変換する命令を伴って構成されている前記コントローラは、前記ホウ素層を遷移金属前駆体と反応させて前記遷移金属層を形成する命令を伴って構成されている、装置。
  19. 請求項14〜17のいずれか一項に記載の装置であって、前記半導体基板は、前記垂直構造体の側壁に開口部を有し、前記開口部を通して前記垂直構造体から流体的にアクセス可能である、装置。
  20. 請求項14〜17のいずれか一項に記載の装置であって、前記コントローラは、前記半導体基板を、シラン、ジシラン、または水素を含む還元ガスに曝露させる作業を実施する命令を伴って更に構成されている、装置。
JP2020508312A 2017-08-14 2018-08-10 3次元垂直nandワード線用の金属充填プロセス Pending JP2020530881A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023220152A JP2024038138A (ja) 2017-08-14 2023-12-27 3次元垂直nandワード線用の金属充填プロセス

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762545405P 2017-08-14 2017-08-14
US62/545,405 2017-08-14
PCT/US2018/046232 WO2019036292A1 (en) 2017-08-14 2018-08-10 METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023220152A Division JP2024038138A (ja) 2017-08-14 2023-12-27 3次元垂直nandワード線用の金属充填プロセス

Publications (2)

Publication Number Publication Date
JP2020530881A true JP2020530881A (ja) 2020-10-29
JP2020530881A5 JP2020530881A5 (ja) 2021-09-16

Family

ID=65362028

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020508312A Pending JP2020530881A (ja) 2017-08-14 2018-08-10 3次元垂直nandワード線用の金属充填プロセス
JP2023220152A Pending JP2024038138A (ja) 2017-08-14 2023-12-27 3次元垂直nandワード線用の金属充填プロセス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023220152A Pending JP2024038138A (ja) 2017-08-14 2023-12-27 3次元垂直nandワード線用の金属充填プロセス

Country Status (7)

Country Link
US (1) US11348795B2 (ja)
JP (2) JP2020530881A (ja)
KR (1) KR20200032756A (ja)
CN (1) CN111095488A (ja)
SG (1) SG11202001268TA (ja)
TW (2) TWI835366B (ja)
WO (1) WO2019036292A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023037452A1 (ja) * 2021-09-08 2023-03-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
TWI799494B (zh) * 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
CN112930597A (zh) 2018-10-26 2021-06-08 朗姆研究公司 三端子存储器设备的自对准竖直集成
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
JP2022522226A (ja) * 2019-04-11 2022-04-14 ラム リサーチ コーポレーション 高ステップカバレッジのタングステン堆積
US11476267B2 (en) * 2019-05-24 2022-10-18 Applied Materials, Inc. Liner for V-NAND word line stack
JP2022544931A (ja) 2019-08-12 2022-10-24 ラム リサーチ コーポレーション タングステン堆積
US11812610B2 (en) 2019-08-13 2023-11-07 Micron Technology, Inc. Three-dimensional memory with conductive rails in conductive tiers, and related apparatus, systems, and methods
JP7295749B2 (ja) * 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法
CN110797300A (zh) * 2019-10-21 2020-02-14 长江存储科技有限责任公司 金属钨的填充方法
US20210126103A1 (en) * 2019-10-29 2021-04-29 Micron Technology, Inc. Apparatus comprising wordlines comprising multiple metal materials, and related methods and electronic systems
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
US11574870B2 (en) 2020-08-11 2023-02-07 Micron Technology, Inc. Microelectronic devices including conductive structures, and related methods
US11715692B2 (en) 2020-08-11 2023-08-01 Micron Technology, Inc. Microelectronic devices including conductive rails, and related methods
US11456208B2 (en) 2020-08-11 2022-09-27 Micron Technology, Inc. Methods of forming apparatuses including air gaps between conductive lines and related apparatuses, memory devices, and electronic systems
US11594495B2 (en) 2021-03-23 2023-02-28 Micron Technology, Inc. Microelectronic devices including conductive levels having varying compositions, and related memory devices, electronic systems, and methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63274772A (ja) * 1987-05-07 1988-11-11 Res Dev Corp Of Japan ホウ化チタンの製造方法
JPH0266399A (ja) * 1988-08-30 1990-03-06 Semiconductor Energy Lab Co Ltd 気体充填用容器及びその作製方法
US20090156004A1 (en) * 2000-06-28 2009-06-18 Moris Kori Method for forming tungsten materials during vapor deposition processes
JP2015221940A (ja) * 2014-05-09 2015-12-10 ラム リサーチ コーポレーションLam Research Corporation 塩化タングステン前駆体を使用してタングステンおよび窒化タングステン薄膜を準備する方法
JP2017014615A (ja) * 2015-05-27 2017-01-19 ラム リサーチ コーポレーションLam Research Corporation フッ素含有量が少ないタングステン膜

Family Cites Families (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
DE69033760T2 (de) 1990-01-08 2001-10-25 Lsi Logic Corp Struktur zum Filtern von Prozessgasen zum Einsatz in einer Kammer für chemische Dampfabscheidung
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US5545581A (en) 1994-12-06 1996-08-13 International Business Machines Corporation Plug strap process utilizing selective nitride and oxide etches
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
TW310461B (ja) 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100272523B1 (ko) 1998-01-26 2000-12-01 김영환 반도체소자의배선형성방법
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
TW452607B (en) 1999-03-26 2001-09-01 Nat Science Council Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6309964B1 (en) 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
WO2001089017A1 (en) 2000-05-18 2001-11-22 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
AU2002214283A1 (en) 2000-11-17 2002-05-27 Tokyo Electron Limited Method of forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US20030091739A1 (en) 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
JP2006515535A (ja) 2002-12-23 2006-06-01 アプライド シン フィルムズ,インコーポレイティッド リン酸アルミニウムコーティング
AU2003289005A1 (en) 2002-12-27 2004-07-29 Ulvac Inc. Method for forming tungsten nitride film
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
KR20050054122A (ko) 2003-12-04 2005-06-10 성명모 자외선 원자층 증착법을 이용한 박막 제조 방법
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
WO2005101473A1 (ja) 2004-04-12 2005-10-27 Ulvac, Inc. バリア膜の形成方法、及び電極膜の形成方法
CN1942999B (zh) 2004-04-21 2012-04-25 皇家飞利浦电子股份有限公司 一种用于对高压放电灯的不含氧化钍的钨电极的热处理方法
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
KR100874829B1 (ko) 2006-12-26 2008-12-19 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR20080061978A (ko) 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US8435898B2 (en) 2007-04-05 2013-05-07 Freescale Semiconductor, Inc. First inter-layer dielectric stack for non-volatile memory
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
WO2008129508A2 (en) 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
CN101308794B (zh) 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR20100029952A (ko) 2008-09-09 2010-03-18 주식회사 하이닉스반도체 금속성 캡핑층을 구비한 상변화 메모리 소자 및 그 제조 방법
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
CN102265383B (zh) 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US8236691B2 (en) 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US20120294874A1 (en) 2009-11-19 2012-11-22 Paul Anthony Macary Method for Producing T Cell Receptor-Like Monoclonal Antibodies and Uses Thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
JP5729911B2 (ja) 2010-03-11 2015-06-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステン膜の製造方法およびタングステン膜を堆積させる装置
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
KR101356332B1 (ko) 2010-03-19 2014-02-04 노벨러스 시스템즈, 인코포레이티드 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
JP5710529B2 (ja) 2011-09-22 2015-04-30 株式会社東芝 半導体装置及びその製造方法
US8617985B2 (en) 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
JP6494940B2 (ja) 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP5864503B2 (ja) 2013-09-30 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6594304B2 (ja) 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TW201700761A (zh) 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP6541438B2 (ja) 2015-05-28 2019-07-10 東京エレクトロン株式会社 金属膜のストレス低減方法および金属膜の成膜方法
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63274772A (ja) * 1987-05-07 1988-11-11 Res Dev Corp Of Japan ホウ化チタンの製造方法
JPH0266399A (ja) * 1988-08-30 1990-03-06 Semiconductor Energy Lab Co Ltd 気体充填用容器及びその作製方法
US20090156004A1 (en) * 2000-06-28 2009-06-18 Moris Kori Method for forming tungsten materials during vapor deposition processes
JP2015221940A (ja) * 2014-05-09 2015-12-10 ラム リサーチ コーポレーションLam Research Corporation 塩化タングステン前駆体を使用してタングステンおよび窒化タングステン薄膜を準備する方法
JP2017014615A (ja) * 2015-05-27 2017-01-19 ラム リサーチ コーポレーションLam Research Corporation フッ素含有量が少ないタングステン膜

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023037452A1 (ja) * 2021-09-08 2023-03-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体

Also Published As

Publication number Publication date
SG11202001268TA (en) 2020-03-30
TW201921516A (zh) 2019-06-01
TWI784037B (zh) 2022-11-21
US20200211853A1 (en) 2020-07-02
TWI835366B (zh) 2024-03-11
KR20200032756A (ko) 2020-03-26
TW202322222A (zh) 2023-06-01
CN111095488A (zh) 2020-05-01
WO2019036292A1 (en) 2019-02-21
JP2024038138A (ja) 2024-03-19
US11348795B2 (en) 2022-05-31

Similar Documents

Publication Publication Date Title
US11348795B2 (en) Metal fill process for three-dimensional vertical NAND wordline
US11978666B2 (en) Void free low stress fill
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
JP6971539B2 (ja) フッ素含有量が少ないタングステン膜
US10573522B2 (en) Method for preventing line bending during metal fill process
TWI672737B (zh) 允許低電阻率鎢特徵物填充之鎢成核程序
KR102131581B1 (ko) 텅스텐 피처 충진
JP7092456B2 (ja) 連続cvdプロセスによる低フッ素タングステンの堆積
US12002679B2 (en) High step coverage tungsten deposition
KR20220047333A (ko) 텅스텐 증착
US20220349048A1 (en) Reducing line bending during metal fill process
US20240158913A1 (en) Reducing line bending during metal fill process
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充
JP2024534326A (ja) 半導体処理の間のプロセスガスランプ

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210806

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220719

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220721

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221102

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230509

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230905