TW201921516A - 用於三維垂直nand字元線的金屬填充製程 - Google Patents

用於三維垂直nand字元線的金屬填充製程

Info

Publication number
TW201921516A
TW201921516A TW107128141A TW107128141A TW201921516A TW 201921516 A TW201921516 A TW 201921516A TW 107128141 A TW107128141 A TW 107128141A TW 107128141 A TW107128141 A TW 107128141A TW 201921516 A TW201921516 A TW 201921516A
Authority
TW
Taiwan
Prior art keywords
tungsten
diborane
layer
hydrogen
semiconductor substrate
Prior art date
Application number
TW107128141A
Other languages
English (en)
Other versions
TWI784037B (zh
Inventor
勞倫斯 施洛斯
拉許納 胡瑪雲
珊傑 戈皮納思
高舉文
米歇爾 丹納克
凱翰 阿畢迪 艾許地安尼
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201921516A publication Critical patent/TW201921516A/zh
Application granted granted Critical
Publication of TWI784037B publication Critical patent/TWI784037B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Powder Metallurgy (AREA)

Abstract

所揭示內容為在一半導體基板上沉積諸如鎢之過渡金屬的方法。該方法包含提供伴隨氫平衡的二硼烷之氣體混合物,其中氫用以穩定氣體混合物中的二硼烷。該方法更包含將該氣體混合物輸送至該半導體基板以形成一硼層,其中該硼層係作為還原劑層以將含金屬前驅物轉化為金屬,例如含鎢前驅物轉化為鎢。在一些實施例中,該半導體基板包含具有水平特徵部或字元線的一垂直結構(例如三維垂直NAND結構),該等水平特徵部或字元線在該垂直結構之側壁中具有開口,其中該硼層可被保形地沉積於該垂直結構之該等水平特徵部中。

Description

用於三維垂直NAND字元線的金屬填充製程
本發明大體上係關於半導體基板上之過渡金屬沉積,具體而言,係關於透過由含硼前驅物所形成之硼層的轉化而在半導體基板上沉積過渡金屬。
鎢及含鎢材料的沉積係許多半導體加工程序不可或缺的部分。此類沉積可透過下列技術而完成:化學氣相沉積(CVD)技術、電漿輔助CVD (PECVD)技術、原子層沉積(ALD)技術、以及IC加工技術中所熟知的其他沉積技術,例如,物理氣相沉積(PVD)。鎢及含鎢材料(下文中稱為鎢基材料或僅稱為鎢材料)可用於水平互連、鄰接金屬層之間的介層孔、第一金屬層與矽基板上之裝置之間的接觸窗、以及一般可用於IC設計中所用之各種高深寬比特徵部中。
在用於沉積鎢材料的習知製程中,於沉積腔室中將基板加熱至一預定製程溫度,並且沉積鎢材料薄層以作為晶種或成核層。之後,將鎢材料的本體層沉積於成核層上。慣例上,鎢材料係藉由利用氫(H2 )將六氟化鎢(WF6 )還原而形成。通常,將鎢材料沉積在整個基板曝露表面區域上方,整個基板曝露表面區域通常包含一或更多場區(field region)、以及各種IC特徵部。該等特徵部可具有廣泛變化的深寬比,且在一些實施例中,一或更多或所有的特徵部可具有相對高的深寬比。
本揭示內容係關於一種方法。該方法包含在氣體供應管線中提供氣體混合物,其中該氣體混合物包含伴隨氫(H2 )之平衡的二硼烷(B2 H6 )。該方法更包含從該氣體供應管線將該氣體混合物引入一沉積腔室中至一半導體基板的表面,其中該半導體基板包含具有複數水平向特徵部的一垂直結構,其中該二硼烷分解以在該等水平向特徵部中形成一硼層。該方法更包含將該硼層轉化為該半導體基板中的一過渡金屬層。
在一些實施例中,該氣體混合物包含伴隨氫之平衡的至少20%體積百分比之二硼烷。在一些實施例中,該氣體混合物包含伴隨氫之平衡的介於約20%至約50%體積百分比之間之二硼烷。在一些實施例中,該過渡金屬包含鉬、釕、鈷、或鎢。在一些實施例中,將該硼層轉化為該過渡金屬層包含使該硼層與一含鎢前驅物反應,以形成一鎢層。在一些實施例中,該半導體基板具有在該垂直結構之側壁中的開口,其係可以流體方式從該垂直結構通過該等開口進入。在一些實施例中,該垂直結構為三維(3-D)垂直NAND結構。在一些實施例中,該硼層係保形地沉積於該垂直結構之該等水平向特徵部中,該硼層具有至少90%的階梯覆蓋。
本揭示內容亦係關於一種設備。該設備包含一氣體供應管線及耦接至該氣體供應管線的一沉積腔室,其中該沉積腔室係配置以處理在該沉積腔室中的一半導體基板,且其中該氣體供應管線係配置為含有伴隨氫之平衡的二硼烷之氣體混合物。該半導體基板包含具有複數水平向特徵部的一垂直結構。該設備更包含一控制器,其係配置以提供用於執行下列操作的指令:從該氣體供應管線將該氣體混合物引入該沉積腔室中至該半導體基板的表面,其中該二硼烷分解以在該等水平向特徵部中形成一硼層,以及將該硼層轉化為該半導體基板中的一過渡金屬層。
在一些實施例中,該氣體混合物包含伴隨氫之平衡的至少20%體積百分比之二硼烷。在一些實施例中,該氣體混合物包含伴隨氫之平衡的介於約20%至約50%體積百分比之間之二硼烷。在一些實施例中,該過渡金屬層包含鉬、釕、鈷、或鎢。在一些實施例中,配置有用於轉化該硼層之指令的系統控制器係配置有以下指令:用於使該硼層與一過渡金屬前驅物反應以形成該過渡金屬層之指令。在一些實施例中,該半導體基板具有在該垂直結構之側壁中的開口,其係可以流體方式從該垂直結構通過該等開口進入。在一些實施例中,該控制器係進一步配置有用於執行下列操作的指令:使該半導體基板暴露於一還原氣體,其中該還原氣體包含矽烷、二矽烷、或氫。在一些實施例中,該控制器係進一步配置有用於執行下列操作的指令:提供該半導體基板於該沉積腔室中。
以下參照相關圖式而進一步說明該等及其他實施例。
在以下的敘述中,說明了大量的特定細節,以提供對所提出之概念的徹底理解。在毋須若干或全部此等特定細節之情況下即可實行所提出之概念。在其他情況下,為了不使所提出之概念晦澀難懂,習知的處理操作不會有詳細描述。雖然有些概念與特定實施例一同敘述,但應理解,並非試圖限制該等實施例。
在本揭示內容中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分加工之積體電路」係可互換地使用。該領域中具通常知識者將會理解:用語「部分加工之積體電路」可指涉在其上的積體電路加工之許多階段之任一者期間的矽晶圓。用於半導體裝置產業中的晶圓或基板通常具有200 mm、或300 mm、或450 mm的直徑。以下的詳細說明假設在晶圓上施行本揭示內容。然而,本揭示內容並非如此受限。工件可為各種外形、尺寸、及材料。除了半導體晶圓之外,可利用本揭示內容的其他工件包含各種物件,例如印刷電路板等。 <三維垂直NAND結構>
儘管在積體電路(IC)設計與加工中經常使用鎢材料,然而仍存在與其使用相關之困難。例如,將鎢材料沉積於小特徵部或具高深寬比之特徵部中可能導致所沉積的鎢內形成孔隙。孔隙僅為結構或特徵部內的一區域,其在周圍區域被填充之後仍保持未填充。通常,孔隙形成係由特徵部入口附近之沉積材料的不成比例堆積所造成,其導致入口變得堵塞並將特徵部的內部容積夾止,從而阻止內部容積內的進一步沉積。一旦被堵塞或夾止,反應性沉積前驅物物種即沒有進入內部容積的進入路徑,從而阻止該等區域內任何進一步的沉積發生。在其他情況下,即使未夾止,但由於鎢材料傾向於自側壁向外沉積(而非顯現由下而上之填充)的事實,故縫隙可能顯現於所沉積之鎢材料中。因此,此類縫隙通常沿著特徵部之中心軸相當大的部分而伸長,並朝向特徵部底部延伸。有時,縫隙的存在可能導致孔隙形成,例如在填充製程完成之後縫隙延伸至場區附近且隨後的化學-機械平面化開啟縫隙時。在任何情況下,縫隙與孔隙可能導致高電阻、基板汙染、材料損失、並且對積體電路之電性造成不利影響。
本揭示內容之態樣可達成半導體基板結構及特徵部之均勻的鎢填充,同時使孔隙與縫隙最小化或消除。此類均勻鎢填充之應用包含邏輯與記憶體之接觸窗填充、DRAM埋入式字元線填充、垂直積體化記憶體閘極/字元線填充、以及3D積體化(TSVs)。本文所述之該等方法、設備、及系統可用於填充垂直特徵部(例如介層孔)及水平特徵部(例如垂直NAND(VNAND)結構內之水平字元線),以下將更加詳細說明。具有待填充之結構或特徵部的基板可為200、300、或450 mm之半導體晶圓、或具有直徑介於約200至300 mm、或介於約300至450 mm、或大於450 mm的晶圓、或任何適當尺寸之晶圓。此外,該等方法、設備、及系統可用於在其他類型之基板(包括平板、顯示器基板等)上利用鎢填充特徵部。
如上所述,與利用鎢材料填充半導體基板結構及特徵部相關的重大問題為鎢填充製程期間之縫隙與孔隙的形成。尤其,具有可藉由一或多個窄及/或內凹開口、或縊縮部而加以特徵化之特徵部、或僅僅具有相對高之深寬比的結構可能係存在問題的。圖1A顯示基板103中之垂直特徵部101的橫剖面,該垂直特徵部101可係設計為待以鎢材料填充。此垂直特徵部具有特徵部開口105及側壁107。垂直特徵部101可具有至少約2:1、或至少約4:1、或至少約6:1、或至少約10:1、或至少約20:1、或甚至更高的深寬比。特徵部開口105可具有介於約10奈米至500奈米之間(例如,介於約25至300奈米之間)的直徑。
同樣地,圖1B顯示相似的特徵部101之範例,但其具有內凹輪廓,因為存在填襯特徵部101之底部、側壁、及開口的底層113。內凹輪廓為在特徵部開口與特徵部之底部或封閉端之間的某處顯出橫剖面變窄的輪廓,從而在輪廓中顯出「瓶頸」。在一些情況下,內凹輪廓可由特徵部開口往內逐漸變窄、或在特徵部開口處本身包含突出部。圖1B顯示後者的範例,同樣地,其中變窄係由於存在底層113,該底層113在特徵部開口附近比特徵部之底部上或特徵部側壁107之更底下處更厚,從而形成突出部115。底層113可為例如擴散阻障層、黏合層、成核層、或上述之任何組合、或任何其他的可能有用或有利的材料層。
在一些實施例中,特徵部之側壁中的一或更多縊縮部可能對達成均勻的鎢填充造成困難。圖1C顯示範例水平特徵部121之俯視圖,該範例水平特徵部121顯現大約位在其側壁107的中間的縊縮部109。在一些實施例中,此類水平特徵部可為垂直積體化記憶體結構(例如以下更詳細說明的3-D垂直NAND (VNAND)結構之其中一者)中所稱之「字元線」。圖1D顯示具有通往垂直特徵部122之開口105、並因此形成垂直特徵部122之一部分的相同水平特徵部121之側視圖。在一些實施例中,對於一些沉積化學品及製程,在此等結構中達成均勻的鎢填充可能係具挑戰性的,因為足夠量的沉積前驅物之各物種必須垂直向下行進至結構122的基底,並接著水平地通過水平特徵部121,特別係通過縊縮部109之區域。再次說明,應注意,圖1C呈現水平特徵部121及縊縮部109的俯視示意圖,而圖1D呈現相同部分的側視示意圖。因此,由該等圖式,顯然地,在此實施例中縊縮部109從特徵部121之側壁107水平且垂直地往內延伸。然而,應理解,在其他實施例中,特徵部內之縊縮部可能僅存在於兩個橫剖面維度之其中一者中,且任何類型的縊縮部(無論其為一維或二維)皆可能使均勻之鎢填充更具挑戰性。
在一些實施例中,半導體基板上之待填充的結構可為具有複數水平特徵部的垂直結構,且垂直結構之側壁中具有開口,該等開口通往(水平特徵部的)複數內部容積,流體可從主垂直結構通過特徵部開口而進入該等內部容積。
例如,圖2A呈現(形成於半導體基板201上的)3D垂直記憶體NAND (VNAND)結構200之橫剖面側視圖,其具有VNAND堆疊體(左方堆疊體205及右方堆疊體206)、中央垂直結構210、以及在中央垂直結構210之相對側壁240上具有開口230的複數堆疊式水平特徵部220。應注意,圖2A顯示了所示VNAND結構之兩個「堆疊體」,其共同形成「渠溝狀」的中央垂直結構210,然而,在某些實施例中,可能有多於兩個的「堆疊體」依序排列並在空間上彼此平行地延伸,相鄰各對「堆疊體」之間的間隙形成中央垂直結構210,如圖2A中所示例性地描繪。在此實施例中,水平特徵部220實際上為3D記憶體「字元線」,其係可以流體方式從垂直結構210通過開口230進入。雖然未被示例性地表示於圖式中,但圖2A所示之VNAND堆疊體205與206兩者(亦即,左方之VNAND堆疊體205及右方之VNAND堆疊體206)中所存在的水平特徵部220亦可以從VNAND堆疊體之其他側(各自的更左方及更右方)通過由另外的VNAND堆疊體(在更左方及更右方,但未顯示)所形成之相似垂直結構而進入。換言之,各個VNAND堆疊體205與206包含一疊字元線,其係可以流體方式通過中央垂直結構210從VNAND堆疊體之兩側進入。在圖2A中所概要描繪的特定範例中,各個VNAND堆疊體包含6對堆疊的字元線,然而,在其他範例中,3-D VNAND記憶體佈局可能包含8、或16、或32、或64對垂直堆疊的字元線,其對應於16、或32、或64、或128個具有開口230的堆疊的水平字元線特徵部220。此外,原則上,只要技術能夠成功地完成(實質上)無孔隙的垂直特徵部填充,可將任何數目的字元線垂直堆疊於此等VNAND結構中。因此,例如,VNAND堆疊體可包含2至256個之間的水平字元線特徵部、或8至128個之間的水平字元線特徵部、或16至64個之間的水平字元線特徵部等等(所列範圍應理解為包含所述端點)。
圖2B呈現圖2A之側視圖中所示之相同VNAND結構200的橫剖面俯視圖,其中該橫剖面係通過圖2A中所示之水平區域260(亦即,由虛線水平線表示)而截取。圖2B之橫剖面顯示若干列的柱體250,其在圖2A中係顯示為自半導體基板201之基底垂直延伸至VNAND堆疊體200的頂部。在一些實施例中,該等柱體250係由多晶矽所形成、且在結構上及功能上對於VNAND結構200係重要的。在一些實施例中,此類多晶矽柱體可作為由字元線所形成之堆疊式記憶體單元的閘電極。圖2B之俯視圖顯示柱體250形成通往字元線220之開口230中的縊縮部,亦即,從垂直結構210經由開口230(如圖2B中之箭頭所示)的字元線220流體可達性係受柱體250所抑制。在一些實施例中,相鄰多晶矽柱體間的水平間隙之尺寸係介於約1至20 nm之間。此流體可達性降低使得以鎢材料均勻填充字元線220的難度增加。
字元線220之結構、及因存在柱體250而造成的以鎢材料均勻填充字元線220之挑戰係在圖3A、3B、及3C中進一步說明。圖3A顯示通過VNAND結構200的垂直切面,相似於圖2A所示之切面,但此處聚焦於單對的字元線220,且另外概要地顯示造成字元線220中孔隙270形成的鎢填充製程。圖3B亦概要地顯示孔隙270,但在此圖式中藉由通過柱體250的水平切面顯示,相似於圖2B所示之水平切面。圖3B顯示形成縊縮部之柱體250周圍的鎢材料之堆積,此堆積造成開口230之夾止(pinch-off),因此沒有額外的鎢材料可被沉積於孔隙270之區域中。從圖3A及圖3B可看出,無孔隙之鎢填充依賴於:在柱體250周圍所堆積的鎢沉積造成開口230之夾止、並妨礙更多前驅物移動進入字元線220之前,足夠數量的沉積前驅物向下移動通過垂直結構210、通過開口230、經過狹縮的柱體250、進入字元線220的最遠端。相似地,圖3C顯示從上方由截面上觀看的單一字元線220,且繪示因以下事實而使大致保形的鎢材料沉積開始將字元線220內部夾止的情況:柱體250的顯著寬度對原本為通過字元線220的開放路徑進行部分地阻擋、及/或窄化、及/或狹縮(應注意,圖3C中之範例可被理解為圖3B所示柱體縊縮部結構之3-D特徵的2-D繪圖,因此顯示出平面圖中可見而在橫剖面圖中未見的縊縮部)。
因此,將含鎢材料均勻沉積於半導體特徵部之縊縮區域中可能構成重大挑戰。雖然上述範例特別關注均勻填充3-D VNAND記憶體結構之記憶體字元線的問題,然而可將本文所述方法應用於:從含鎢材料來源至需要均勻鎢沉積之特徵部區域存在相對狹隘的路徑之任何類型的特徵部。
用於防止孔隙形成及達成水平特徵部之均勻鎢填充的一類技術涉及鎢沉積操作與鎢蝕刻操作的結合。一般主意為沉積鎢直到夾止發生為止、蝕刻夾止點以重新開啟封閉區域、並接著再次將鎢再沉積於先前的封閉區域中。在可使用此類技術的一些情況下,在一對沉積操作之間的中介蝕刻操作可足以完成足夠均勻之鎢填充(即使在某些水平特徵部內)。然而,在更具挑戰性的情況下,額外的沉積-蝕刻循環對於充足的填充可能係必須的,其係取決於結構之深寬比的大小、待填充之水平特徵部內的縊縮程度等。關於利用結合沉積與蝕刻操作來沉積鎢的額外細節係在2014年05月22日提交的案名為「METHODS AND APPARATUSES FOR VOID-FREE TUNGSTEN FILL IN THREE-DIMENSIONAL SEMICONDUCTOR FEATURES」的美國專利申請案第14/285,505號中描述,為所有目的而藉由參照方式將其全文引入。 <硼轉化>
在一些實施例中,鎢沉積可包含保形硼沉積,之後進行藉由硼的含鎢前驅物(例如WF6 )之還原以形成鎢層。一範例反應為:WF6 (g) + 2B(s) à W(s) + BF3 (g)。藉由硼轉化的鎢沉積可發生於形成鎢成核層、或以鎢填充特徵部。藉由硼轉化的特徵部填充之範例係顯示於圖4中。然而,應理解,硼轉化不僅可用於沉積鎢以用於特徵部填充,還可用於沉積鎢以作為成核層及其他目的。
圖4顯示說明在此種藉由硼轉化以填充特徵部之方法中的某些操作的流程圖。首先,在操作410中,將薄保形硼層425沉積在特徵部401內的氮化鈦層413上方。在操作420中,例如藉由以上所提出的反應而使薄保形硼層425轉化成鎢層427。在430與440重複硼沉積與轉化操作以形成另一保形硼層425,其之後被轉化成鎢,以使鎢層427成長。可重複沉積與轉化反應直到以鎢427完全填充該特徵部為止。使用薄保形硼(或另一還原性材料)與轉化成鎢的複數循環以沉積極為保形、小之晶粒與更為平滑之鎢,能夠減少使用CVD方法(其造成大或不均勻之晶粒成長)而可能以其他方式形成的縫隙。在一些實施例中,每一個循環可形成具有高達約10 nm厚度的鎢層。可能存在與從硼轉化成鎢相關的體積增加。
可使用可分解或反應以形成能夠使含鎢前驅物還原而產生元素鎢之膜層的任何含硼化合物。範例包括硼烷,其包含Bn Hn+4 、Bn Hn+6 、Bn Hn+8 、Bn Hm ,其中n為從1至10的整數,且m為不同於n的整數。
在一些實施例中,膜層425可為能夠使鎢前驅物還原的任何材料,其包含矽或含矽材料、磷或含磷材料、鍺或含鍺材料、以及氫。可用以形成此類膜層的範例前驅物包含SiH4 、Si2 H6 、PH3 、SiH2 Cl2 、及GeH4 。以下說明在鎢特徵部填充中使用硼轉化之額外的範例。
關於使用含硼化合物之鎢沉積的額外細節係在2013年03月27日提交的案名為「TUNGSTEN FEATURE FILL」的美國專利申請案第13/851,885號中描述,為所有目的而藉由參照方式將其引入。 <成核層沉積>
在一些實施例中,本文所述方法涉及在沉積本體層之前沉積鎢成核層。成核層通常為薄的保形層,其促進隨後在其上沉積本體含鎢材料。依據許多實施例,可在特徵部之任何填充之前及/或特徵部填充期間之後續時間點沉積成核層。例如,在一些實施例中,可在蝕刻特徵部中的鎢之後沉積成核層。
在某些實施例中,使用脈衝成核層(PNL)技術以沉積成核層。在PNL技術中,將還原劑、選用性的排淨氣體、及含鎢前驅物之脈衝依序地注入、並從反應腔室中排淨。以循環方式重複該處理,直到達成期望厚度為止。PNL概括地包含依序加入反應物以在半導體基板上進行反應之任何循環處理,包括原子層沉積(ALD)技術。用於沉積鎢成核層的PNL技術係在下列美國專利及專利公開案中說明:美國專利第6,635,965、7,005,372、7,141,494、7,589,017、7,772,114、7,955,972、及8,058,170號、以及美國專利公開案第2010-0267235號,在此藉由參照全文引入以上各者。成核層厚度可取決於成核層沉積方法、以及本體沉積的期望品質。一般而言,成核層厚度係足以支持高品質、均勻的本體沉積。範例可為10Å至100Å之範圍內。
雖然以上提供PNL沉積的範例,但本文所述之方法並不限於鎢成核層沉積之特定方法,而係包含由下列任一方法所形成之鎢成核層上的本體鎢薄膜沉積:包括PNL、ALD、CVD、及物理氣相沉積(PVD)。再者,在某些實施例中,可在不使用成核層之情況下將本體鎢直接沉積於特徵部中。例如,在一些實施例中,特徵部表面及/或已沉積之下層支持本體鎢之沉積。在一些實施例中,可執行未使用成核層之本體鎢沉積處理。例如,2012年07月27日提交的美國專利申請案第13/560,688號(在此藉由參照方式引入)說明無成核層的鎢本體層之沉積。
在許多實施例中,鎢成核層沉積可包含暴露於含鎢前驅物,例如六氟化鎢(WF6 )、六氯化鎢(WCl6 )、及六羰鎢(W(CO)6 )。在某些實施例中,含鎢前驅物為含鹵素化合物,例如WF6 。亦可使用有機金屬前驅物、及不含氟的前驅物,例如MDNOW(甲基環戊二烯基-二羰基亞硝醯基-鎢)與EDNOW(乙基環戊二烯基-二羰基亞硝醯基-鎢)。
還原劑之範例可包括包含二硼烷(B2 H6 )及其他硼烷的含硼還原劑、包含矽烷(SiH4 )及其他矽烷的含矽還原劑、聯氨、以及鍺烷。在一些實施例中,含鎢前驅物之脈衝可與一或更多還原劑之脈衝交替,例如,S/W/S/W/B/W等,W代表含鎢前驅物,S代表含矽前驅物,且B代表含硼前驅物。在一些實施例中,可能不使用單獨的還原劑,例如,含鎢前驅物可能經歷熱或電漿輔助分解。
可透過處理氣體的選擇及引入順序而顯著地改變PNL鎢薄膜的特性。尤其,在含鎢氣體(例如WF6 )的第一次給劑之前,利用單一給劑的含硼還原劑(例如B2 H6 )開始PNL鎢沉積係有利的。在B2 H6 – WF6 之初始給劑之後,PNL鎢沉積的剩餘循環可如上所述而進行(例如,交替的SiH4 與WF6 脈衝)。
在此方法中,二硼烷(或其他含硼前驅物)在基板表面上反應以形成元素硼「犧牲層」。此犧牲層隨後與鎢前驅物反應以形成元素鎢。硼沉積製程並非習知的自限性ALD型沉積製程(例如,對比於基於矽烷的反應)。相對地,二硼烷(或其他合適的含硼材料)在介電質表面上於典型的PNL或CVD操作條件下(例如,200°C-400°C,1-300 Torr)熱分解以產生硼薄膜。只要基板係暴露於硼還原劑,則反應可進行。然而,為了確保在後續步驟中實際形成限量的鎢,二硼烷之沉積較佳係限制於介於約3至10埃之間的厚度。此可對應於約一至三個二硼烷單層。在該製程的另一操作中,元素硼層係暴露於鎢前驅物,該鎢前驅物被硼還原為元素鎢。
在一些實施例中,在中等的溫度(例如300°C)下執行硼的操作,以確保在合理之時間量內於表面上形成足量的硼。關於其他參數,通常在介於約0.1至300 Torr之間的壓力下執行製程步驟,且用量係由流率及接觸時間所界定。通常,含硼還原劑可為能夠有效還原鎢前驅物以產生金屬鎢層的任何製程相容之硼化合物。合適的含硼還原劑之範例包含硼烷,例如六硼烷(B6 H10 )、三硼烷、二硼烷(B2 H6 )等。
量測顯示了由B2 H6 之一級的硼成長動力學,且活化能約為200 kJ/gmol。因此,可在第一次的WF6 或其他含鎢試劑之脈衝之前,沉積相當薄的硼薄膜以作為犧牲層。調整表面上之硼量俾為WF6 提供足夠的成核位置,以在晶圓的所有表面上開始成核及鎢成長。此使得PNL製程對於輸入的半導體晶圓基板之變異的敏感度顯著地降低。尤其,藉由來自第一次B2 H6 脈衝的適當最佳化之硼層,甚至可在具有非連續Ti-TiN線阻障薄膜之晶圓表面上使鎢成核。在用於邏輯與DRAM裝置之極高深寬比的半導體接觸窗結構中,此極為重要,因為來自PVD或CVD之Ti及TiN阻障的不良階梯覆蓋常於接觸窗之深寬比超過10:1(深度/直徑)時,在接觸窗底部附近產生非連續的薄膜。
B2 H6 作為用於PNL鎢之還原劑並非無缺點。由於B2 H6 係透過熱CVD製程而沉積且並非自限性的,故可能存在來自B2 H6 的硼沉積之階梯覆蓋問題。此情況通常不會出現於具有低於20:1之深寬比的特徵部,但對於具有60:1以上之深寬比的侵略性(DRAM)結構可能係一種問題。SiH4 為自限性的,且在足夠給劑時間之情況下可完全充滿任何表面形貌。因此,典型的PNL鎢成長可開始於B2 H6 的初始脈衝,並接著WF6 與SiH4 的交替給劑。可使B2 H6 之給劑時間最佳化,俾為隨後的鎢成長提供足夠的成核位置。硼亦可作為吸氣劑,以消耗由WF6 之分解所產生的過量氟。WF6 與SiH4 之後續給劑為自限性的,並引致均勻且完全保形的鎢薄膜成長。 <本體沉積>
在許多實施例中,可藉由CVD處理以進行鎢本體沉積,在CVD處理中將還原劑及含鎢前驅物流入沉積腔室,以在特徵部中沉積本體填充層。惰性載氣可用於輸送反應物流其中一或多者,其可能或可能不是預先混合的。不像PNL或ALD處理,此操作通常涉及連續地流動反應物直到沉積了期望量為止。在某些實施例中,CVD操作可發生在多個階段中,其中反應物之連續及同時流動之多個時期被一或更多反應物流動被轉向之時期所分隔開。
包括(但不限於)WF6 、WCl6 、及W(CO)6 之許多含鎢氣體可用以作為含鎢前驅物。在某些實施例中,含鎢前驅物為含鹵素化合物,例如WF6 。在某些實施例中,還原劑為氫氣,但可使用其他還原劑,包括矽烷(SiH4 )、二矽烷(Si2 H6 )、聯氨(N2 H4 )、二硼烷(B2 H6 )、及鍺烷(GeH4 )。許多實施例中,在CVD處理中使用氫氣以作為還原劑。在一些其他實施例中,可使用可分解以形成本體鎢層的鎢前驅物。亦可使用包括ALD處理之其他類型的處理來進行本體沉積。
溫度之範例可在200o C至500o C之範圍內。依據許多實施例,本文所述之CVD鎢操作之任一者可採用低溫CVD鎢填充(例如在約250o C至350o C、或約300o C下)。
沉積可依據許多實施例而繼續進行,直到達成某特徵輪廓及/或沉積一定量的鎢為止。在一些實施例中,可藉由模型化及/或試誤法以判定沉積時間及其他相關參數。例如,對於鎢可保形沉積於特徵部中直到夾止為止的由內向外填充處理之初始沉積,可基於特徵部尺寸而明確地判定鎢厚度及相應之到達夾止的沉積時間。在一些實施例中,處理腔室可配備各種感測器,以執行用於沉積操作之終點偵測的原位計量量測。原位計量之範例包含用於判定所沉積之薄膜厚度的光學顯微術及X射線螢光(XRF)。
應理解,本文所述之鎢薄膜可包含若干量的其他化合物、摻雜物及/或雜質(例如氮、碳、氧、硼、磷、硫、矽、鍺等),其取決於所使用的特定前驅物及處理。薄膜中的鎢含量可在20%至100%(原子百分比)鎢之範圍內。在許多實施例中,薄膜係富含鎢的,其具有至少50%(原子百分比)的鎢、或甚至至少約60%、75%、90%、或99%(原子百分比)的鎢。在一些實施例中,薄膜可為金屬或元素鎢(W)與其他含鎢化合物(例如碳化鎢(WC)、氮化鎢(WN)等)之混合物。
該等材料的CVD及 ALD沉積可包括使用任何適當的前驅物。例如, 氮化鎢的CVD及 ALD 沉積可包括使用含鹵素及無鹵素之含鎢且含氮的化合物,如下進一步說明。含鈦層的 CVD及ALD沉積可包括使用含鈦的前驅物以及一或更多共反應物(若適當),其中含鈦的前驅物之範例包括四(二甲胺基)鈦 (TDMAT) 、及氯化鈦 (TiCl4 )。含鉭層的 CVD及ALD沉積可包括使用如下前驅物以及一或更多共反應物(若適當):五-二甲胺基鉭(PDMAT) 及 TaF5 。含鈷層的 CVD及ALD沉積可包括使用如下前驅物以及一或更多共反應物:三(2,2,6,6-四甲基-3,5-庚二酮)鈷、雙(環戊二烯)鈷、二鈷六羰基丁基乙炔。含鎳層的 CVD及ALD沉積可包括使用如下前驅物:環戊二烯基烯丙基鎳(CpAllylNi) 及 MeCp2 Ni。共反應物之範例可包括N2 、 NH3 、 N2 H4 、 N2 H6 、 SiH4 、 Si3 H6 、 B2 H6 、H2 、及 AlCl3
在特徵部內的材料分佈亦可藉由其階梯覆蓋而特徵化。為說明之目的,「階梯覆蓋(step coverage)」係定義為兩厚度的比值,亦即在特徵部內部之材料的厚度除以在開口附近之材料的厚度。為說明之目的,「在特徵部內部」一詞係表示沿著特徵部軸而位在特徵部中點附近(例如沿著從特徵部開口所量測之特徵部深度之約25%與75%之間的距離(或在某些實施例中,約40%與60%之間的距離)的區域)的特徵部中間部分,或者位在沿著從此開口所量測之特徵部軸之約75%與95%之間的距離的特徵部末端部分。「在特徵部之開口附近」或「在特徵部開口附近」一詞係表示位在開口邊緣(或代表開口邊緣之其他元件)之25%內(或更具體而言,在10%內)之特徵部的頂部。例如可藉由使特徵部之中間或底部附近寬於特徵部開口的方式來填充特徵部而達成超過100%的階梯覆蓋。
在某些實施例中,蝕刻層的目標階梯覆蓋係至少約60%、75%、100%、或超保形(超過100%),例如125%。在某些實施例中,可以低於約50%、25%或更低的階梯覆蓋為目標。 <伴隨氫平衡之二硼烷>
如前所述,3-D VNAND結構之水平字元線特徵部中的鎢均勻沉積可能構成重大挑戰。隨著3-D VNAND結構中之越來越大的深寬比及越來越多的堆疊水平字元線,前驅物氣體可能需行進更遠並穿過更多的縊縮路徑至需要均勻鎢沉積的區域。針對圖1A-1D、2A-2B、及3A-3C討論與3-D VNAND結構中之鎢均勻沉積相關的挑戰。
本揭示內容涉及半導體基板之垂直結構之水平向特徵部中的過渡金屬(例如鎢、鉬、釕、或鈷)沉積。半導體基板可包含垂直結構,例如3-D垂直NAND結構。半導體基板可更包含複數的水平向特徵部,例如3-D垂直NAND結構中的字元線。水平向特徵部可包含縊縮部。半導體基板可包含具有複數水平向特徵部的垂直結構,且在該垂直結構之側壁中具有開口,該等水平向特徵部係可以流體方式從垂直結構通過開口進入。具有複數水平向特徵部之垂直結構的範例係顯示於圖2A-2B中。
無孔隙之鎢填充沉積或其他過渡金屬沉積部分依賴於:足夠量的前驅物氣體向下移動通過垂直結構、通過垂直結構之側壁中的開口、經過狹縮的柱體、並進入複數水平向特徵部。垂直結構與複數水平向特徵部可具有高深寬比。在一些實施例中,水平向特徵部可具有大約幾微米至若干微米的深度。複數水平向特徵部可提供大的表面區域,該等表面區域需要均勻的過渡金屬沉積。因此,以下操作可能存在重大挑戰:提供足夠量的前驅物氣體以行進長距離經過狹縮之障礙物,俾充滿半導體基板中之大表面區域。
二硼烷可在基板表面上反應以形成元素硼「犧牲層」,其隨後與金屬前驅物反應以形成金屬層,其中該元素硼係作為還原劑。基板表面上之二硼烷沉積並非自限性製程,且通常導致基板表面上之金屬層之不良的階梯覆蓋。不良階梯覆蓋可能導致金屬層之不均勻沉積、及金屬填充中孔隙之形成。此外,二硼烷通常係伴隨有限制二硼烷濃度的氮(N2 )平衡而輸送。例如,以二硼烷之範圍等於或少於約5%體積百分比之二硼烷的氮平衡提供二硼烷。對二硼烷濃度有如此限制可能至少部分係歸因於控制二硼烷的穩定度,以限制二硼烷的分解。在對二硼烷濃度如此限制之情況下,需要非常高的流量及/或非常長的給劑時間以將足夠的劑量輸送至基板,俾覆蓋大表面區域且為隨後的金屬(例如,鎢)成長提供足夠的成核位置。較高的氣體流量及較長的給劑時間對氣體使用及產能造成不利影響。
本揭示內容涉及具有其中二硼烷係伴隨氫(H2 )平衡而供應的二硼烷氣體供應,而非具有其中二硼烷係伴隨氮平衡而供應的二硼烷氣體供應。在進入沉積腔室之前,可提供含硼氣體混合物,其中該含硼氣體混合物包含二硼烷及氫。氫並非與二硼烷分開脈衝,例如在二硼烷之後使氫脈衝以作為排淨氣體。氫亦非由單獨的氣體管線或單獨的氣體供應部輸送至沉積腔室中,例如使氫作為載氣與二硼烷一同輸送。二硼烷係與氫一起輸送至沉積腔室中,且氫作為二硼烷的共反應物,其中二硼烷在氣體混合物中係與氫平衡。在一些實施例中,二硼烷在輸送至沉積腔室之前係與氫一起儲存於氣體供應部中。
二硼烷與氫之氣體混合物可提供比二硼烷與氮之氣體混合物更高的二硼烷濃度。在一些實施例中,氣體混合物包含伴隨氫平衡的至少20%體積百分比之二硼烷。在一些實施例中,氣體混合物包含伴隨氫平衡的介於約20%至50%體積百分比之間的二硼烷。較高的二硼烷濃度使得較高的二硼烷分壓劑量被輸送至半導體基板。較高的二硼烷分壓劑量容許較大量的二硼烷到達垂直結構之複數水平向特徵部中,並充滿大表面區域。例如,較高的二硼烷分壓劑量可促進較大量的二硼烷到達3-D垂直NAND結構中之複數水平字元線特徵部。此可在不必增加氣體流量與給劑時間的情況下完成。
提供氫與二硼烷之平衡使得二硼烷穩定,以限制二硼烷的分解。二硼烷在分解時會形成元素硼與氫氣:B2 H6 ↔ 2B(s) + 3H2(g) 。由於二硼烷之分解為可逆反應,故加入氫使得二硼烷之分解減慢。圖5顯示橫跨數百天的二硼烷濃度之繪圖,其中二硼烷係伴隨氮平衡、伴隨氫平衡、或伴隨氫與氮平衡而混合。如圖5所示,氮與二硼烷之平衡可能導致二硼烷濃度在約一個月內從5%體積百分比的二硼烷減少至4.5%體積百分比的二硼烷,而氫與二硼烷之平衡可使二硼烷濃度在若干年間維持於約5%體積百分比的二硼烷。
二硼烷能夠熱解以產生高級硼烷。二硼烷可如下所示而解離為硼烷,且硼烷可作為反應中間物,其經歷一系列反應以產生高級硼烷與分子氫。進一步的步驟可產生逐次更高級的硼烷。由熱解所產生的高級硼烷可能使儲存二硼烷的系統及設備之硬體壽命減少。高級硼烷最終可分解而形成元素硼與氫。 (1) B2 H6 ↔ 2BH3 (2) B2 H6 + BH3 ↔ B3 H9 (3) B3 H9 ↔ B3 H7 + H2 (速率決定步驟) (4) BH­3 + B3 H7 ↔ B4 H10 (5) B2 H6 + B3 H7 ↔ B5 H11 + H2 氣體混合物中偕同二硼烷而加入氫可使二硼烷之熱解減慢。因此,伴隨氫平衡之二硼烷使得二硼烷穩定以免於分解。二硼烷可於儲存、輸送、及吸附在基板表面上時減慢分解。
二硼烷在基板表面上的沉積並非自限性製程。因此,不像其他還原劑,二硼烷分解為元素硼並非自限性反應。硼可透過二硼烷分解而在基板表面上連續地形成,而非被限制於基板表面上的若干反應位置。通常,透過分解二硼烷而沉積硼導致不良的階梯覆蓋,其係部分歸因於非自限性製程。然而,加入氫以平衡二硼烷使分解減慢,因此硼之沉積可具有經改善之階梯覆蓋。
使用與氫平衡的二硼烷,可將硼層形成於基板表面上,該硼層係作為將金屬前驅物轉化為金屬期間的還原劑。此可發生於以金屬(例如,鎢)填充特徵部之程序的任一部分中。藉此方式,可在特徵部的本體金屬填充之前、期間、或之後沉積二硼烷。在一些實施例中,可在本體金屬填充之前以「浸泡」製程或PNL製程來沉積二硼烷。在一些實施例中,當本體金屬填充部分完成時,可在本體金屬填充期間以浸泡製程或PNL製程來沉積二硼烷。在一些實施例中,可在特徵部的本體金屬填充之前或期間結合複數的浸泡循環及/或成核循環。二硼烷可在CVD製程中與金屬前驅物一起沉積,以進行特徵部之本體金屬填充。
「浸泡」或「引發浸泡」製程可透過使基板暴露於氣體而預處理基板表面,其促進金屬成長而無成核延遲。在一些實施例中,浸泡製程可使基板表面暴露於諸如氣態的二硼烷之氣體達約1至約60秒。舉例而言,浸泡製程可包含在脈衝成核製程之前的二硼烷單脈衝(及排淨)。此在基板表面上建立了硼層以作為還原劑,其可藉由為金屬成核提供最佳表面而減少或消除成核延遲,而不論基板之先前處理期間所塗佈的黏合層(例如Ti-TiN層)為何。應注意,浸泡步驟可視為初始循環,例如用以改善成核密度並減少成核延遲之長的初始二硼烷給劑。
脈衝成核製程可使用PNL技術以沉積薄的保形金屬層,其促進隨後的本體金屬沉積。在脈衝成核製程中,將二硼烷或矽烷、選用性的排淨氣體、及金屬前驅物之脈衝依次地注入沉積腔室並從沉積腔室排淨。重複該製程直到達成期望厚度為止。在一些實施例中,可在特徵部的本體金屬填充之前或期間將浸泡步驟及脈衝成核步驟結合。浸泡步驟及脈衝成核步驟可於特徵部的本體金屬填充之前或期間以任何順序及任何次數發生。在順序方面,在浸泡製程之後可為脈衝成核製程,或者在脈衝成核製程之後可為浸泡製程。沉積鎢的各種順序之範例係顯示於圖6A-6D中。
圖6A顯示依據浸泡、脈衝成核、及本體填充操作之順序所形成的鎢堆疊體之示意圖。可依據B/BW/B/W操作順序而形成圖6A中的鎢堆疊體,其中B代表浸泡步驟、BW代表二硼烷脈衝成核步驟、且W代表本體鎢填充步驟。在圖6A中,初始的浸泡步驟可沉積二硼烷以建立第一硼層602,其用作含鎢前驅物的還原劑,其中可在隨後的步驟中提供含鎢前驅物作為反應物。隨後的脈衝成核步驟可依次地脈衝二硼烷、選用性的排淨氣體、及含鎢前驅物,以形成鎢成核層604。隨後的浸泡步驟可在鎢成核層604之上進一步沉積二硼烷。所沉積之二硼烷可建立第二硼層606,其用作含鎢前驅物的還原劑,其中可在隨後的步驟中提供含鎢前驅物作為反應物。隨後的本體鎢填充步驟可使用CVD技術以同時且連續地流動還原劑與含鎢前驅物之反應物直到沉積了鎢608之期望量為止。
圖6B顯示依據浸泡、脈衝成核、及本體填充操作之另一順序所形成的鎢堆疊體之示意圖。可依據B/BW/B/SW/W操作順序而形成圖6B中的鎢堆疊體,其中SW代表矽烷脈衝成核步驟。如同圖6A,在初始的浸泡步驟之後為使用二硼烷的脈衝成核步驟,在使用二硼烷的脈衝成核步驟之後為隨後的浸泡步驟。然而,不像圖6A,圖6B加入了隨後的脈衝成核步驟,其依次地脈衝矽烷、選用性的排淨氣體、及含鎢前驅物,以形成中間鎢成核層610。隨後的本體鎢填充步驟可使用CVD技術以同時且連續地流動還原劑與含鎢前驅物之反應物直到沉積了鎢608之期望量為止。
圖6C顯示依據浸泡、脈衝成核、及本體填充操作之另一順序所形成的鎢堆疊體之示意圖。可依據SW/B/SW/B/W操作順序而形成圖6C中的鎢堆疊體。如同圖6A,在初始的浸泡步驟之後為使用二硼烷的脈衝成核步驟,在使用二硼烷的脈衝成核步驟之後為隨後的浸泡步驟,且在隨後的浸泡步驟之後為隨後的本體鎢填充步驟。然而,不像圖6A,圖6C加入了初始的脈衝成核步驟,其依次地脈衝矽烷、選用性的排淨氣體、及含鎢前驅物,以在初始的浸泡步驟之前形成初始的鎢成核層612。
圖6D顯示依據浸泡、脈衝成核、及本體填充操作之另一順序所形成的鎢堆疊體之示意圖。可依據BW/W/B/BW/B/W操作順序而形成圖6D中的鎢堆疊體。在圖6D中,初始的脈衝成核步驟可依次地脈衝二硼烷、選用性的排淨氣體、及含鎢前驅物,以形成第一鎢成核層614。隨後的本體鎢填充步驟可使用CVD技術以同時且連續地流動還原劑與含鎢前驅物之反應物直到形成鎢616之期望量為止。在此步驟期間所形成的鎢616之期望量僅可部分地完成本體鎢填充製程。隨後的浸泡步驟可沉積二硼烷以建立第一硼層618,其用作含鎢前驅物的還原劑,其中可在隨後的步驟中提供含鎢前驅物作為反應物。如此之步驟可「中斷」本體鎢填充製程。換言之,可在本體鎢填充製程未完全完成時,於浸泡步驟及/或脈衝成核步驟中沉積二硼烷。隨後的脈衝成核步驟可依次地脈衝二硼烷、選用性的排淨氣體、及含鎢前驅物,以形成第二鎢成核層620。隨後的浸泡步驟可在第二鎢成核層620之上進一步沉積二硼烷。所沉積之二硼烷可建立第二硼層622,其用作含鎢前驅物的還原劑,其中可在隨後的步驟中提供含鎢前驅物作為反應物。隨後的本體鎢填充步驟可使用CVD技術以同時且連續地流動還原劑與含鎢前驅物之反應物直到沉積了鎢624之期望量為止。
圖7顯示一流程圖,其繪示使用伴隨氫平衡之二硼烷以沉積過渡金屬層的範例方法。可以不同的順序或利用不同的、更少的、或額外的操作來執行製程700。在一些實施例中,可使用以下所描述的系統控制器以執行製程700的方塊。在一些實施例中,可至少部分地根據儲存於一或更多非暫態電腦可讀媒體上的軟體而實施製程700的方塊。
製程700係關於二硼烷輸送至基板表面,以在垂直結構中之複數水平向特徵部內沉積金屬。二硼烷係在具有與氫平衡之二硼烷的氣體混合物中輸送。二硼烷之輸送及轉化為金屬可為在特徵部中沉積金屬之一系列步驟中的一個步驟。
在製程700的方塊702,在氣體供應管線中選用性地提供氣體混合物,其中該氣體混合物包含伴隨氫平衡之二硼烷。可伴隨氫之平衡而提供二硼烷,而非提供伴隨氮之平衡的二硼烷。在氮平衡的情況下,二硼烷之濃度受到限制(例如,5%體積百分比以下)、硬體壽命減少、且基板表面上之階梯覆蓋不佳。然而,在氫平衡的情況下,二硼烷之濃度增加(例如,20%體積百分比以上)、硬體壽命增加、且基板表面上之階梯覆蓋得到改善。
氣體供應管線可被耦接至沉積腔室,以用於在基板表面上沉積過渡金屬。伴隨氫平衡之二硼烷在輸送至沉積腔室中之前係由一共通來源所提供。在一些實施例中,氣體混合物係實質上不含氮。
在製程700的方塊704,將氣體混合物從氣體供應管線引入沉積腔室中至半導體基板的表面。半導體基板包含具有複數水平向特徵部的垂直結構。二硼烷分解以在水平向特徵部中形成硼層。在一些實施例中,可使伴隨氫平衡之二硼烷與適當的惰性載流氣體(例如氫、氮、或氬)一同流動。
在一些實施例中,垂直結構包含3-D垂直NAND結構。在一些實施例中,複數水平向特徵部可包含水平字元線特徵部。水平字元線特徵部可具有高深寬比,其中水平字元線特徵部之深寬比可代表:字元線之深度或長度(L)與字元線特徵部之間隙高度(h)的比率。對於單階接觸窗架構,深寬比可計算為L:2h,而對於雙階接觸窗架構,深寬比可計算為L:h。在一些實施例中,水平字元線特徵部可具有至少約4:1、或至少約6:1、或至少約10:1、或至少約20:1、或至少約60:1、或甚至更高的深寬比。在一些實施例中,水平字元線特徵部可具有大約幾微米至若干微米的深度。水平字元線特徵部可包含縊縮部。在一些實施例中,半導體基板可包含具有複數水平向特徵部的垂直結構、且在垂直結構之側壁中具有開口,該複數水平向特徵部係可以流體方式從垂直結構通過開口進入。具有複數水平向特徵部之垂直結構的範例係顯示於圖2A-2B中。
二硼烷劑量的濃度可為高的,以有效地沉積於具有高表面積的3-D垂直NAND結構中。伴隨氫平衡之二硼烷輸送增加劑量的二硼烷而不需增加氣體流量與給劑時間。因此,足夠量的二硼烷可沉積於整個3-D垂直NAND結構,並進入水平字元線特徵部的最遠端。所沉積之二硼烷可經歷熱分解並在水平向特徵部中形成硼層,其中該硼層作為還原劑。部分由於氫使得二硼烷分解成元素硼減慢,故硼層可保形地沉積於垂直結構之水平向特徵部中,其中硼層的階梯覆蓋為至少70%、至少80%、至少85%、至少90%、或至少95%。
在一些實施例中,在PNL循環中使半導體基板暴露於與氫平衡之二硼烷的脈衝達一段時間,例如介於約0.1秒至約10秒之間。在脈衝之後可選用性地以適當之排淨氣體(例如氫、氮、或氬)排淨。在一些實施例中,排淨氣體可在整個PNL循環中於背景流動。半導體基板上的二硼烷分解以形成硼層。隨後可在PNL循環中使半導體基板暴露於過渡金屬前驅物(例如含鎢前驅物)達一段時間。在一些實施例中,如此的一段時間可介於約0.1秒至約10秒之間。
在一些實施例中,使半導體基板暴露於與氫平衡之二硼烷的「浸泡」達一段時間,例如介於約1秒至約60秒之間。二硼烷可處於氣態。在脈衝之後可選用性地以適當之排淨氣體(例如氫、氮、或氬)排淨。
在製程700的方塊706,硼層被轉化為半導體基板中的過渡金屬層。例如,過渡金屬層可包含鉬、釕、鈷、或鎢。在一些實施例中,使半導體基板暴露於過渡金屬前驅物,例如含鎢前驅物。在一些實施例中,含鎢前驅物包含六氟化鎢(WF6 )、六氯化鎢(WCl6 )、或六羰鎢(W(CO)6 )。可藉由硼層將含鎢前驅物還原以形成元素鎢。在一些實施例中,過渡金屬層可被保形地沉積於垂直結構之水平向特徵部中,其中該過渡金屬層的階梯覆蓋為至少85%、至少90% 、或至少95%。
在一些實施例中,製程700更包含使半導體基板暴露於還原氣體,其中該還原氣體包含矽烷、二矽烷、或氫。在一些實施例中,可在習知的PNL製程中沉積矽烷還原氣體。矽烷還原氣體接觸基板達約0.1秒至約10秒之間的一段時間,並接著使用排淨氣體從沉積腔室排淨。矽烷還原氣體可將過渡金屬前驅物轉化為金屬。在一些實施例中,在CVD製程中使用矽烷還原氣體、二矽烷還原氣體、或氫還原氣體,以用於金屬的本體沉積。 <設備>
可在從許多供應商可取得的各種設備中實現本文所提出之方法。合適的設備之範例包含Novellus Concept-1 ALTUS™、Concept-2 ALTUS™、Concept-2 ALTUS-S™、Concept-3 ALTUS™、及ALTUS Max™沉積系統、或各式各樣的其他商業可購得的化學氣相沉積(CVD)工具之任一者。圖8顯示用以根據某些實施例來處理部分加工之半導體基板的設備800之示意圖。設備800包括配備有支座820的腔室818、噴淋頭814、原位電漿產生器816、及遠端電漿產生器806。支座820係配置以支撐半導體基板828。設備800亦包括系統控制器822,用以接收輸入項及/或將控制訊號提供給許多裝置。
可從來源802(可為儲存槽)供應沉積試劑(包括與氫平衡的二硼烷)。在一些實施例中,沉積試劑被供應至遠端電漿產生器806。可使用任何適當的遠端電漿產生器以在將沉積試劑導入沉積腔室818之前將該沉積試劑活化。例如,可使用遠端電漿產生元件,例如ASTRON® i Type AX7670、ASTRON® e Type AX7680、 ASTRON® ex Type AX7685、ASTRON® hf-s Type AX7645,全部可得自美國麻薩諸塞州安多佛( Andover, Massachusetts) 的MKS 儀器(MKS Instruments)。 一般而言,遠端電漿產生元件通常為獨立的裝置,其利用所供應的沉積試劑來產生基於自由基之電漿(其在某種程度上亦可為微弱離子化的)。遠端電漿產生器為提供能量給電漿中之電子的高功率RF產生器。接著此能量轉移至中性試劑,產生大約2000K的溫度,其導致該等分子熱解離。由於遠端電漿產生器單元之高RF能量、且特殊的通道幾何形狀促使蝕刻劑吸收大部分的此能量,故遠端電漿產生器單元可將60%以上的進入的試劑解離。
在某些實施例中,例如圖8所示者,一或更多試劑從遠端電漿產生器806流經連接管路808而進入沉積腔室818中,其中混合物通過噴淋頭814而分配。在其他實施例中,一或更多試劑完全地且直接地流進沉積腔室818中,而略過遠端電漿產生器806(例如,設備800不包括此類產生器806)。或者,在使一或更多試劑流進沉積腔室818時,可將遠端電漿產生器806關閉,例如,因為一或更多試劑的活化係不需要的。此外,在某些實施例中,惰性氣體(例如氬、氦及其他)亦係從來源803(亦可為儲存槽)供應。
一旦前驅物試劑被導入沉積腔室818中,則可透過接附於噴淋頭814與支座820的內部電漿產生器816以完成原位電漿活化。在特定實施例中,內部電漿產生器816為高頻(HF)RF產生器,其能夠提供頻率介於約1至100MHz下的介於約0W至10000W之間的功率。在更具體的實施例中,HF RF產生器可輸送頻率約13.56MHz下的介於約0至5000W之間的功率。在一些實施例中,內部電漿產生器816可產生原位電漿以輔助移除所沉積之金屬。
腔室818可包括感測器824,其用以感測各種製程參數,例如沉積與蝕刻的程度、濃度、壓力、溫度、及其他。感測器824可將處理期間的腔室狀態的資訊提供給系統控制器822。感測器824的範例包括質量流量控制器、壓力感測器、熱電偶、及其他。感測器824亦可包括紅外線偵測器、或光學偵測器,用以監視存在於腔室中的氣體,並控制量測。感測器824提供可用於下列各者的資訊:判定流至處理腔室之還原劑及含鎢前驅物的流率、以及各別判定流出與流至遠端電漿產生器806之電漿物種及其前驅物的流率。
沉積與選擇性移除操作產生許多揮發性物種,其從沉積腔室818排空。此外,處理係在某預設壓力位準之下的腔室818中執行。該兩功能皆使用真空輸出埠826(可為真空泵浦)達成。
在某些實施例中,使用系統控制器822以在金屬沉積與蝕刻操作期間控制製程條件及參數。系統控制器822通常包括一或更多記憶體裝置及一或更多處理器。該處理器可包括CPU或電腦、類比及/或數位的輸入/輸出連接件、步進馬達控制板等。通常有與系統控制器822連接的一使用者介面。該使用者介面可包括顯示器螢幕、設備及/或製程條件的圖形化軟體顯示、以及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在某些實施例中,系統控制器822控制基板溫度、腔室壓力、試劑流率、遠端電漿產生器806之功率輸出與操作頻率(或頻率範圍)、原位電漿產生器816之功率輸出與操作頻率(或頻率範圍)、以及其他製程參數。系統控制器822執行系統控制軟體及指令以提供前述控制。在一些實施例中,可應用儲存在與該控制器相關的記憶體裝置上的其他電腦程式。
用以控制處理程序中之處理的電腦程式碼可用任何習用之電腦可讀程式語言寫入:例如組合語言、C、C++、Pascal、Fortran或其他。編成的目的碼或稿件可被處理器執行,以實現在該程式中所識別的任務。可以許多不同的方式來設計或配置該系統軟體。例如,可寫入各種的腔室元件的子程式或控制物件,以控制對於實現所述處理所需之腔室元件之操作。針對此目的之程式或程式區段的範例包括基板溫度控制程式碼、腔室壓力控制程式碼、試劑流率控制程式碼、處理氣體控制程式碼、遠端電漿產生器控制程式碼(可能包括功率輸出與操作頻率控制程式碼)、原位電漿產生器控制程式碼(可能包括功率輸出與操作頻率控制程式碼)、以及用於其他製程參數的控制程式碼。
用於控制基板溫度、腔室壓力、試劑流率、遠端電漿產生器之功率輸出與操作頻率(或頻率範圍)、原位電漿產生器之功率輸出與操作頻率(或頻率範圍)、及其他製程參數可以一配方的形式提供給使用者,且可利用使用者介面進入。
用於監視製程的信號可由系統控制器822的類比及/或數位輸入連接件提供。用於控制製程的信號係輸出在設備800的類比與數位輸出連接件上。
在一些實施例中,系統控制器822配置有用以執行以下操作的指令:將氣體混合物從氣體供應管線導入沉積腔室中至半導體基板的表面,其中氣體混合物包含伴隨氫平衡的二硼烷,其中半導體基板包含具有複數之水平定向特徵部的垂直結構,其中二硼烷分解以在水平定向特徵部中形成硼層;並將硼層轉化為半導體基板中的過渡金屬層。在一些實施例中,轉化硼層包含使硼層暴露於過渡金屬前驅物。
圖9顯示多站設備900的範例。設備900包括處理腔室901及一或更多卡匣903(例如前開式晶圓傳送盒),該一或更多卡匣903用以固持待處理的基板及已完成處理的基板。腔室901可具有若干個站,例如兩個站、三個站、四個站、五個站、六個站、七個站、八個站、十個站、或任何其他數量的站。站的數量通常係由處理操作及可在一共享環境中執行的若干該等操作的複雜度所決定。圖9顯示包括六個站(標示為911到916)的處理腔室901。在具有單一個處理腔室901的多站設備900中的所有站皆暴露於相同的壓力環境。然而,各站可具有指定的反應物分配系統、以及由專屬的電漿產生器與支座(例如顯示於圖8中者)所達成的局部電漿與加熱條件。
待處理的基板從卡匣903之其中一者、通過負載閘905、裝載進入站911中。外部機器人907可用於將基板從卡匣903傳送至負載閘905中。在所繪示之實施例中,有兩個分開的負載閘905。通常裝備有基板傳送裝置,用以將基板從負載閘905移動至站911中(當壓力平衡於對應至處理腔室901之內部環境的位準時)、以及從站916移動回至負載閘905中,以從處理腔室901中移開。機制909用以在處理期間於處理站911-916之間傳送基板、以及支持若干基板,如下所述。
在某些實施例中,一或更多的站可預備以加熱基板。此類站可具有設置於基板上方的加熱燈(未顯示)及/或支持基板的加熱支座,相似於圖8中所繪者。例如,站911可從負載閘接收基板,並用以在基板被進一步處理之前將該基板預熱。可使用其他的站以填充高深寬比的特徵部,包括沉積與蝕刻操作。
在站911中加熱或處理基板之後,將該基板依次地移動至處理站912、913、914、915、及916,該等站可依順序或不依順序地設置。多站設備900係配置以使得所有站皆暴露於相同的壓力環境。如此一來,基板係在腔室901中從站911傳送至其他的站,而毋須傳送埠(例如負載閘)。
在某些實施例中,可使用一或更多的站以利用含鎢材料填充特徵部。例如,站912可用於初始沉積操作,站913可用於相應的選擇性移除操作。在重複執行沉積-移除循環的實施例中,站914可用於另一沉積操作,而站915可用於另一部分移除操作。站916可用於最終填充操作。應理解,可使用指定用於特定處理(加熱、填充、及移除)的任何站的配置。
作為上述之多站設備的替代方案,可以下列方式實施該方法:在單一基板的腔室或在多站的腔室中,以批次的模式(即非依順序的)在單一處理站中處理一(或複數)基板。在本發明的此態樣中,將基板裝載進腔室中,並放置於單一處理站的支座上(無論其係以批次的模式運作之僅具有一處理站的設備或具有多站的設備)。接著可加熱該基板,並執行沉積操作。之後可調整腔室中的製程條件,並執行所沉積之層的選擇性移除。可持續進行該處理,其中一或更多的沉積-移除循環以及最終填充操作係全部在相同的站中執行。或者,單站的設備可首先用以在複數個晶圓上執行新方法中之操作的其中僅一者(例如沉積、選擇性移除、最終填充),此操作之後將該等基板送回相同的站,或移動至不同的站(例如在不同的設備中)以執行剩餘操作中的一或更多者。
圖10為可根據某些實施例使用的多腔室設備1020之示意圖。如圖所示,設備1020具有三個個別的腔室1021、1023、及1025。該等腔室之各者描繪有兩個支座。應理解,設備可具有任何數目的腔室(例如一、二、三、四、五、六等),且各腔室可具有任何數目的腔室(例如一、二、三、四、五、六等)。各腔室1021-1025具有其本身的壓力環境,該壓力環境未在腔室之間共用。各腔室可具有一或更多的相應的傳送埠(例如負載閘)。該設備亦可具有共用的基板搬運機器人1027,其用以在該等傳送埠以及一或更多卡匣1029之間傳送基板。
如上所述,在之後的操作中可使用個別的腔室以沉積含鎢材料並選擇性移除該等所沉積的材料。將此兩項操作以不同的腔室分開,有助於透過在各腔室中維持相同的環境條件,而顯著地改善處理速度。換言之,腔室不需改變其環境,從用於沉積的條件改變成用於選擇性移除的條件、又改變回去,而該等條件可涉及不同的前驅物、不同的溫度、壓力、及其他製程參數。在某些實施例中,在二或更多腔室之間傳送部分加工之半導體基板,比改變該等腔室的環境條件更快。
在一些實施例中,系統控制器(其可包括一或更多實體或邏輯控制器)控制蝕刻腔室、沉積腔室、及/或包含一或更多蝕刻模組及/或一或更多沉積模組之多模組工具的一些或全部的操作。系統控制器可包括一或更多記憶體裝置及一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板、及其他相似元件。用於實行適當控制操作的指令係在處理器上執行。該等指令可被儲存於與該控制器相關的記憶體裝置上,或者其可透過網路而加以提供。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包括用於控制下列腔室操作條件之任一或多者的施用時序及/或大小:氣體之混合及/或組成、腔室壓力、腔室溫度、晶圓溫度、施加至晶圓的偏壓、施加至線圈或其他電漿產生元件的頻率與功率、晶圓位置、晶圓移動速度、以及由工具所執行之特定處理的其他參數。可以任何適當方式配置系統控制軟體。例如,可編寫各種製程工具元件的子程式或控制物件,以控制對於實現種製程工具處理所需之製程工具元件之操作。系統控制軟體可以任何適合的電腦可讀程式設計語言編碼。
在一些實施例中,系統控制軟體包括輸入/輸出控制(IOC)序列指令,用以控制上述的各種參數。例如,半導體加工製程的各階段可包含用於由控制器執行的一或更多指令。例如,可將用於設定蝕刻階段之製程條件的指令包含於相應的蝕刻配方階段中。在一些實施例中,可將配方階段依序設置,因此用於一製程階段的所有指令係與該製程階段同時執行。可將用於設定沉積階段之製程條件的指令包含於相應的沉積配方階段中。
在一些實施例中,可使用其他電腦軟體及/或程式。用於此目的之程式或程式區段的範例包含基板定位程式、處理氣體組成控制程式、壓力控制程式、加熱器控制程式、及RF功率供應控制程式。
在一些情況下,控制器控制氣體濃度、晶圓移動、及/或供應至線圈及/或靜電夾頭的功率。在一些情況下,控制器控制氣體濃度、晶圓移動、及/或供應至遠端電漿產生器的功率。
控制器可透過以下方式控制氣體濃度:例如,開啟及關閉相關的閥以產生提供適當濃度下之必要反應物的一或更多進氣流。可透過以下方式控制晶圓移動:例如,指示晶圓定位系統依需求而移動。可控制供應至線圈及/或夾頭及/或遠端電漿產生器的功率以提供特定的RF功率位準。控制器可基於感測器輸出(例如,當功率、電位、壓力等到達某閾值)、操作之時序(例如,在製程中某些時候開啟閥)、或基於所接收之來自使用者的指令,而控制該等或其他態樣。 <微影>
上文所述的設備/處理可結合微影圖案化的工具或處理而使用,例如,半導體裝置、顯示器、LEDs、太陽光電板等的加工或製造。通常(雖然不一定)此等工具/處理將一起使用或執行於共同的加工設施內。薄膜的微影圖案化通常包含一些或全部下列操作,每個操作以若干可能的工具提供:(1)工件(亦即基板)上光阻的塗佈,使用旋轉式或噴塗式的工具;(2)光敏劑的固化,使用加熱板或加熱爐或UV固化工具;(3)以諸如晶圓步進機的工具暴露光阻於可見光或UV或X射線光;(4)顯影光阻以便選擇性地移除光阻及從而使其圖案化,使用諸如溼檯的工具;(5)藉由使用乾式或電漿輔助蝕刻工具轉移光阻圖案進入底膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。 <其他實施例>
雖然上述所揭示之處理、方法、系統、設備及成分為了更加清楚及理解的目的已以特定實施例的上下文內之細節加以描述,但顯然地,對於具通常技術者,有許多替代方式執行本發明之精神內的此等處理、方法、系統、設備及成分。因此,本文所述之實施例係被視為對所揭示之發明概念的說明而非限制性的,並且不用作過度限制最終針對本發明標的之任何申請專利範圍之範疇的不可允許之根據。
101‧‧‧垂直特徵部
103‧‧‧基板
105‧‧‧開口
107‧‧‧側壁
109‧‧‧縊縮部
113‧‧‧底層
115‧‧‧突出部
121‧‧‧水平特徵部
122‧‧‧垂直特徵部
200‧‧‧VNAND結構
201‧‧‧半導體基板
205‧‧‧堆疊體
206‧‧‧堆疊體
210‧‧‧中央垂直結構
220‧‧‧水平特徵部/字元線
230‧‧‧開口
240‧‧‧側壁
250‧‧‧柱體
260‧‧‧水平區域
270‧‧‧孔隙
401‧‧‧特徵部
410‧‧‧操作
413‧‧‧氮化鈦層
420‧‧‧操作
425‧‧‧硼層
427‧‧‧鎢層
430‧‧‧操作
440‧‧‧操作
450‧‧‧操作
602‧‧‧第一硼層
604‧‧‧鎢成核層
606‧‧‧第二硼層
608‧‧‧鎢
610‧‧‧中間鎢成核層
612‧‧‧鎢成核層
614‧‧‧第一鎢成核層
616‧‧‧鎢
618‧‧‧第一硼層
620‧‧‧第二鎢成核層
622‧‧‧第二硼層
624‧‧‧鎢
700‧‧‧製程
702‧‧‧操作
704‧‧‧操作
706‧‧‧操作
800‧‧‧設備
802‧‧‧來源
803‧‧‧來源
806‧‧‧遠端電漿產生器
808‧‧‧連接管路
814‧‧‧噴淋頭
816‧‧‧原位電漿產生器
818‧‧‧腔室
820‧‧‧支座
822‧‧‧系統控制器
824‧‧‧感測器
826‧‧‧真空輸出埠
828‧‧‧半導體基板
900‧‧‧多站設備
901‧‧‧腔室
903‧‧‧卡匣
905‧‧‧負載閘
907‧‧‧外部機器人
909‧‧‧機制
911‧‧‧站
912‧‧‧站
913‧‧‧站
914‧‧‧站
915‧‧‧站
916‧‧‧站
1020‧‧‧多腔室設備
1021‧‧‧腔室
1023‧‧‧腔室
1025‧‧‧腔室
1027‧‧‧基板搬運機器人
1029‧‧‧卡匣
圖1A顯示基板中之垂直特徵部的橫剖面,該垂直特徵部可係設計為待以鎢材料填充。
圖1B顯示相似於圖1A的特徵部之範例,但其具有內凹輪廓,因為存在填襯特徵部之底部、側壁、及開口的底層。
圖1C顯示水平特徵部之俯視圖,該水平特徵部顯現大約位在其側壁的中間的縊縮部。在一些實施例中,此類水平特徵部可為垂直積體化記憶體結構中所稱之「字元線」。
圖1D顯示圖1C所示之相同水平特徵部的側視圖,但此處顯示具有通往垂直結構之開口、並因此形成垂直結構之一部分。
圖2A呈現(形成於半導體基板上的)3D垂直記憶體NAND (VNAND)結構之橫剖面側視圖,其具有VNAND堆疊體(左與右)、中央垂直結構、以及在中央垂直結構之相對側壁上具有開口的複數堆疊式水平特徵部。
圖2B呈現圖2A之側視圖中所示之VNAND結構的橫剖面俯視圖,其中該橫剖面係通過圖2A中由虛線水平線表示之水平區域而截取。
圖3A顯示VNAND結構的垂直橫剖面(切面),其相似於圖2A所示之切面,但圖3A中聚焦於單對的字元線,且另外概要地顯示造成字元線中之孔隙形成的鎢填充製程。
圖3B顯示VNAND結構的水平橫剖面(切面),其相似於圖2A所示之切面,且亦概要地顯示如圖3A中之孔隙的存在。
圖3C顯示從上方由截面上觀看的單一字元線,且繪示因圖示中所示柱體的存在而使大致保形的鎢材料沉積開始將所示字元線的內部夾止的情況。
圖4為使用硼轉化為鎢之特徵部填充的各種階段下之特徵部的示意圖。
圖5為顯示以天數為函數的二硼烷濃度之繪圖,其中二硼烷係與氮、與氫、或與氫及氮之混合物平衡。
圖6A-6D概要地顯示依據不同順序所沉積的各種鎢堆疊體。
圖7為顯示使用伴隨氫平衡之二硼烷之沉積過渡金屬層的範例方法的流程圖。
圖8為一種設備之示意圖,該設備包含用以根據某些實施例來執行金屬沉積製程的沉積腔室。
圖9為多站設備之示意圖,該多站設備用於根據某些實施例來執行金屬沉積及/或蝕刻操作。
圖10為多站設備之示意圖,該多站設備用於根據某些實施例來執行金屬沉積及/或蝕刻操作。

Claims (20)

  1. 一種方法,其包含: 在氣體供應管線中提供氣體混合物,其中該氣體混合物包含伴隨氫(H2 )之平衡的二硼烷(B2 H6 ); 從該氣體供應管線將該氣體混合物引入一沉積腔室中至一半導體基板的表面,其中該半導體基板包含具有複數水平向特徵部的一垂直結構,其中該二硼烷分解以在該等水平向特徵部中形成一硼層;以及 將該硼層轉化為該半導體基板中的一過渡金屬層。
  2. 如申請專利範圍第1項之方法,其中該氣體混合物包含伴隨氫之平衡的至少20%體積百分比之二硼烷。
  3. 如申請專利範圍第2項之方法,其中該氣體混合物包含伴隨氫之平衡的介於約20%至約50%體積百分比之間之二硼烷。
  4. 如申請專利範圍第1項之方法,其中該過渡金屬層包含鉬、釕、鈷、或鎢。
  5. 如申請專利範圍第1項之方法,其中將該硼層轉化為該過渡金屬層包含使該硼層與一含鎢前驅物反應,以形成一鎢層。
  6. 如申請專利範圍第5項之方法,其中該含鎢前驅物包含六氟化鎢(WF6 )、六氯化鎢(WCl6 )、或六羰鎢(W(CO)6 )。
  7. 如申請專利範圍第1-6項之任一項之方法,其中該氣體混合物係實質上不含氮(N2 )。
  8. 如申請專利範圍第1-6項之任一項之方法,其中該半導體基板具有在該垂直結構之側壁中的開口,其係可以流體方式從該垂直結構通過該等開口進入。
  9. 如申請專利範圍第1-6項之任一項之方法,其中該垂直結構為三維(3-D)垂直NAND結構。
  10. 如申請專利範圍第1-6項之任一項之方法,其中該硼層係保形地沉積於該垂直結構之該等水平向特徵部中,該硼層具有至少90%的階梯覆蓋。
  11. 如申請專利範圍第1-6項之任一項之方法,其中引入該氣體混合物包含在脈衝成核層(PNL)沉積循環中使伴隨氫之平衡的二硼烷脈衝達介於約0.1秒至約10秒之間的一段時間。
  12. 如申請專利範圍第1-6項之任一項之方法,其中引入該氣體混合物包含使伴隨氫之平衡的二硼烷脈衝達介於約1秒至約60秒之間的一段時間。
  13. 如申請專利範圍第1-6項之任一項之方法,更包含使該半導體基板暴露於一還原氣體,其中該還原氣體包含矽烷、二矽烷、或氫。
  14. 一種設備,其包含: 一氣體供應管線,其中該氣體供應管線含有伴隨氫之平衡的二硼烷之氣體混合物; 一沉積腔室,其係耦接至該氣體供應管線,其中該沉積腔室係配置以處理在該沉積腔室中的一半導體基板,該半導體基板包含具有複數水平向特徵部的一垂直結構;以及 一控制器,其配置有用於執行下列操作的指令: 從該氣體供應管線將該氣體混合物引入該沉積腔室中至該半導體基板的表面,其中該二硼烷分解以在該等水平向特徵部中形成一硼層;以及 將該硼層轉化為該半導體基板中的一過渡金屬層。
  15. 如申請專利範圍第14項之設備,其中該氣體混合物包含伴隨氫之平衡的至少20%體積百分比之二硼烷。
  16. 如申請專利範圍第15項之設備,其中該氣體混合物包含伴隨氫之平衡的介於約20%至約50%體積百分比之間之二硼烷。
  17. 如申請專利範圍第14項之設備,其中該過渡金屬層包含鉬、釕、鈷、或鎢。
  18. 如申請專利範圍第14-17項之任一項之設備,其中配置有用於轉化該硼層之指令的該控制器係配置有以下指令:用於使該硼層與一過渡金屬前驅物反應以形成該過渡金屬層之指令。
  19. 如申請專利範圍第14-17項之任一項之設備,其中該半導體基板具有在該垂直結構之側壁中的開口,其係可以流體方式從該垂直結構通過該等開口進入。
  20. 如申請專利範圍第14-17項之任一項之設備,其中該控制器係進一步配置有用於執行下列操作的指令: 使該半導體基板暴露於一還原氣體,其中該還原氣體包含矽烷、二矽烷、或氫。
TW107128141A 2017-08-14 2018-08-13 用於三維垂直nand字元線的金屬填充製程 TWI784037B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762545405P 2017-08-14 2017-08-14
US62/545,405 2017-08-14

Publications (2)

Publication Number Publication Date
TW201921516A true TW201921516A (zh) 2019-06-01
TWI784037B TWI784037B (zh) 2022-11-21

Family

ID=65362028

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107128141A TWI784037B (zh) 2017-08-14 2018-08-13 用於三維垂直nand字元線的金屬填充製程
TW111140395A TWI835366B (zh) 2017-08-14 2018-08-13 用於三維垂直nand字元線的金屬填充製程

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111140395A TWI835366B (zh) 2017-08-14 2018-08-13 用於三維垂直nand字元線的金屬填充製程

Country Status (7)

Country Link
US (1) US11348795B2 (zh)
JP (2) JP2020530881A (zh)
KR (1) KR20200032756A (zh)
CN (1) CN111095488A (zh)
SG (1) SG11202001268TA (zh)
TW (2) TWI784037B (zh)
WO (1) WO2019036292A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
TWI799494B (zh) * 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
KR20210141762A (ko) * 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
US11476267B2 (en) * 2019-05-24 2022-10-18 Applied Materials, Inc. Liner for V-NAND word line stack
US11812610B2 (en) 2019-08-13 2023-11-07 Micron Technology, Inc. Three-dimensional memory with conductive rails in conductive tiers, and related apparatus, systems, and methods
JP7295749B2 (ja) * 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法
CN110797300A (zh) * 2019-10-21 2020-02-14 长江存储科技有限责任公司 金属钨的填充方法
US20210126103A1 (en) * 2019-10-29 2021-04-29 Micron Technology, Inc. Apparatus comprising wordlines comprising multiple metal materials, and related methods and electronic systems
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
US11456208B2 (en) 2020-08-11 2022-09-27 Micron Technology, Inc. Methods of forming apparatuses including air gaps between conductive lines and related apparatuses, memory devices, and electronic systems
US11574870B2 (en) 2020-08-11 2023-02-07 Micron Technology, Inc. Microelectronic devices including conductive structures, and related methods
US11715692B2 (en) 2020-08-11 2023-08-01 Micron Technology, Inc. Microelectronic devices including conductive rails, and related methods
US11594495B2 (en) 2021-03-23 2023-02-28 Micron Technology, Inc. Microelectronic devices including conductive levels having varying compositions, and related memory devices, electronic systems, and methods
KR20240038105A (ko) * 2021-09-08 2024-03-22 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Family Cites Families (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
JP2560028B2 (ja) * 1987-05-07 1996-12-04 新技術事業団 ホウ化チタンの製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
JPH0266399A (ja) * 1988-08-30 1990-03-06 Semiconductor Energy Lab Co Ltd 気体充填用容器及びその作製方法
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
DE69518710T2 (de) 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US5545581A (en) 1994-12-06 1996-08-13 International Business Machines Corporation Plug strap process utilizing selective nitride and oxide etches
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
TW310461B (zh) 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100272523B1 (ko) 1998-01-26 2000-12-01 김영환 반도체소자의배선형성방법
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
TW452607B (en) 1999-03-26 2001-09-01 Nat Science Council Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6309964B1 (en) 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
JP5184731B2 (ja) 2000-05-18 2013-04-17 コーニング インコーポレイテッド 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
KR100479283B1 (ko) 2000-11-17 2005-03-28 동경 엘렉트론 주식회사 금속 막 형성 방법 및 반도체 제조 장치
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US20030091739A1 (en) 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
CA2529095A1 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
AU2003289005A1 (en) 2002-12-27 2004-07-29 Ulvac Inc. Method for forming tungsten nitride film
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
JP2007523994A (ja) 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
KR20050054122A (ko) 2003-12-04 2005-06-10 성명모 자외선 원자층 증착법을 이용한 박막 제조 방법
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
KR101178743B1 (ko) 2004-04-12 2012-09-07 가부시키가이샤 알박 배리어막의 형성 방법, 및 전극막의 형성 방법
CN1942999B (zh) 2004-04-21 2012-04-25 皇家飞利浦电子股份有限公司 一种用于对高压放电灯的不含氧化钍的钨电极的热处理方法
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
KR100874829B1 (ko) 2006-12-26 2008-12-19 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR20080061978A (ko) 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US8435898B2 (en) 2007-04-05 2013-05-07 Freescale Semiconductor, Inc. First inter-layer dielectric stack for non-volatile memory
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
WO2008129508A2 (en) 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
TWI493058B (zh) * 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
KR100890047B1 (ko) 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR20100029952A (ko) 2008-09-09 2010-03-18 주식회사 하이닉스반도체 금속성 캡핑층을 구비한 상변화 메모리 소자 및 그 제조 방법
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US8236691B2 (en) 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
SG10201407519TA (en) 2009-11-19 2015-01-29 Univ Singapore Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
JP5729911B2 (ja) 2010-03-11 2015-06-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステン膜の製造方法およびタングステン膜を堆積させる装置
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
KR101356332B1 (ko) 2010-03-19 2014-02-04 노벨러스 시스템즈, 인코포레이티드 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
JP5710529B2 (ja) 2011-09-22 2015-04-30 株式会社東芝 半導体装置及びその製造方法
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
JP6494940B2 (ja) 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP5864503B2 (ja) 2013-09-30 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
WO2015057959A1 (en) 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
TW201700761A (zh) 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US9754824B2 (en) * 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
JP6541438B2 (ja) 2015-05-28 2019-07-10 東京エレクトロン株式会社 金属膜のストレス低減方法および金属膜の成膜方法
TWI720106B (zh) 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures

Also Published As

Publication number Publication date
WO2019036292A1 (en) 2019-02-21
JP2024038138A (ja) 2024-03-19
JP2020530881A (ja) 2020-10-29
TWI784037B (zh) 2022-11-21
CN111095488A (zh) 2020-05-01
TWI835366B (zh) 2024-03-11
US11348795B2 (en) 2022-05-31
US20200211853A1 (en) 2020-07-02
TW202322222A (zh) 2023-06-01
KR20200032756A (ko) 2020-03-26
SG11202001268TA (en) 2020-03-30

Similar Documents

Publication Publication Date Title
TWI835366B (zh) 用於三維垂直nand字元線的金屬填充製程
US11549175B2 (en) Method of depositing tungsten and other metals in 3D NAND structures
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
JP6971539B2 (ja) フッ素含有量が少ないタングステン膜
TWI769204B (zh) 用於遠程電漿程序之腔室調節方法
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
KR102131581B1 (ko) 텅스텐 피처 충진
CN113166929A (zh) 无空隙低应力填充
CN114667600A (zh) 钼填充
KR20150013086A (ko) 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진
TW201526090A (zh) 允許低電阻率鎢特徵物填充之鎢成核程序
KR20210092840A (ko) 3d nand 구조체 상의 원자 층 증착
US12002679B2 (en) High step coverage tungsten deposition
US20220364232A1 (en) Tungsten deposition
US20240158913A1 (en) Reducing line bending during metal fill process
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充
WO2023038905A1 (en) Process gas ramp during semiconductor processing