HK1049521A1 - 石版印刷工藝中的高精度方位調整及縫隙控制階段 - Google Patents
石版印刷工藝中的高精度方位調整及縫隙控制階段Info
- Publication number
- HK1049521A1 HK1049521A1 HK03101591.8A HK03101591A HK1049521A1 HK 1049521 A1 HK1049521 A1 HK 1049521A1 HK 03101591 A HK03101591 A HK 03101591A HK 1049521 A1 HK1049521 A1 HK 1049521A1
- Authority
- HK
- Hong Kong
- Prior art keywords
- high precision
- imprint lithography
- gap control
- lithography processes
- control stages
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F9/00—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y40/00—Manufacture or treatment of nanostructures
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Nanotechnology (AREA)
- Chemical & Material Sciences (AREA)
- General Physics & Mathematics (AREA)
- Crystallography & Structural Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Mathematical Physics (AREA)
- Theoretical Computer Science (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Shaping Of Tube Ends By Bending Or Straightening (AREA)
- Micromachines (AREA)
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16239299P | 1999-10-29 | 1999-10-29 | |
US09/698,317 US6873087B1 (en) | 1999-10-29 | 2000-10-27 | High precision orientation alignment and gap control stages for imprint lithography processes |
PCT/US2000/030041 WO2001033300A2 (en) | 1999-10-29 | 2000-10-30 | High precision orientation alignment and gap control stages for imprint lithography processes |
Publications (1)
Publication Number | Publication Date |
---|---|
HK1049521A1 true HK1049521A1 (zh) | 2003-05-16 |
Family
ID=26858716
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
HK03101591.8A HK1049521A1 (zh) | 1999-10-29 | 2003-03-04 | 石版印刷工藝中的高精度方位調整及縫隙控制階段 |
Country Status (6)
Country | Link |
---|---|
US (8) | US6873087B1 (zh) |
EP (3) | EP2315077A1 (zh) |
JP (3) | JP2003517727A (zh) |
AU (1) | AU1448801A (zh) |
HK (1) | HK1049521A1 (zh) |
WO (1) | WO2001033300A2 (zh) |
Families Citing this family (293)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6334960B1 (en) | 1999-03-11 | 2002-01-01 | Board Of Regents, The University Of Texas System | Step and flash imprint lithography |
US7432634B2 (en) * | 2000-10-27 | 2008-10-07 | Board Of Regents, University Of Texas System | Remote center compliant flexure device |
US6873087B1 (en) * | 1999-10-29 | 2005-03-29 | Board Of Regents, The University Of Texas System | High precision orientation alignment and gap control stages for imprint lithography processes |
US6696220B2 (en) * | 2000-10-12 | 2004-02-24 | Board Of Regents, The University Of Texas System | Template for room temperature, low pressure micro-and nano-imprint lithography |
SG142150A1 (en) * | 2000-07-16 | 2008-05-28 | Univ Texas | High-resolution overlay alignment systems for imprint lithography |
WO2002006902A2 (en) * | 2000-07-17 | 2002-01-24 | Board Of Regents, The University Of Texas System | Method and system of automatic fluid dispensing for imprint lithography processes |
US20080164638A1 (en) * | 2006-11-28 | 2008-07-10 | Wei Zhang | Method and apparatus for rapid imprint lithography |
US8016277B2 (en) * | 2000-08-21 | 2011-09-13 | Board Of Regents, The University Of Texas System | Flexure based macro motion translation stage |
US20060005657A1 (en) * | 2004-06-01 | 2006-01-12 | Molecular Imprints, Inc. | Method and system to control movement of a body for nano-scale manufacturing |
US7387508B2 (en) * | 2004-06-01 | 2008-06-17 | Molecular Imprints Inc. | Compliant device for nano-scale manufacturing |
US6964793B2 (en) * | 2002-05-16 | 2005-11-15 | Board Of Regents, The University Of Texas System | Method for fabricating nanoscale patterns in light curable compositions using an electric field |
WO2003030252A2 (en) * | 2001-09-28 | 2003-04-10 | Hrl Laboratories, Llc | Process for producing interconnects |
US7253091B2 (en) | 2001-09-28 | 2007-08-07 | Hrl Laboratories, Llc | Process for assembling three-dimensional systems on a chip and structure thus obtained |
US6974604B2 (en) | 2001-09-28 | 2005-12-13 | Hrl Laboratories, Llc | Method of self-latching for adhesion during self-assembly of electronic or optical components |
US7018575B2 (en) | 2001-09-28 | 2006-03-28 | Hrl Laboratories, Llc | Method for assembly of complementary-shaped receptacle site and device microstructures |
AU2003217184A1 (en) * | 2002-01-11 | 2003-09-02 | Massachusetts Institute Of Technology | Microcontact printing |
EP1512049A1 (en) * | 2002-06-07 | 2005-03-09 | Obducat AB | Method for transferring a pattern |
US8294025B2 (en) | 2002-06-08 | 2012-10-23 | Solarity, Llc | Lateral collection photovoltaics |
US20080160129A1 (en) | 2006-05-11 | 2008-07-03 | Molecular Imprints, Inc. | Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template |
US7077992B2 (en) * | 2002-07-11 | 2006-07-18 | Molecular Imprints, Inc. | Step and repeat imprint lithography processes |
US6908861B2 (en) * | 2002-07-11 | 2005-06-21 | Molecular Imprints, Inc. | Method for imprint lithography using an electric field |
US6900881B2 (en) * | 2002-07-11 | 2005-05-31 | Molecular Imprints, Inc. | Step and repeat imprint lithography systems |
US7442336B2 (en) * | 2003-08-21 | 2008-10-28 | Molecular Imprints, Inc. | Capillary imprinting technique |
US7019819B2 (en) | 2002-11-13 | 2006-03-28 | Molecular Imprints, Inc. | Chucking system for modulating shapes of substrates |
MY144124A (en) * | 2002-07-11 | 2011-08-15 | Molecular Imprints Inc | Step and repeat imprint lithography systems |
WO2004013693A2 (en) * | 2002-08-01 | 2004-02-12 | Molecular Imprints, Inc. | Scatterometry alignment for imprint lithography |
US6887792B2 (en) * | 2002-09-17 | 2005-05-03 | Hewlett-Packard Development Company, L.P. | Embossed mask lithography |
US8349241B2 (en) | 2002-10-04 | 2013-01-08 | Molecular Imprints, Inc. | Method to arrange features on a substrate to replicate features having minimal dimensional variability |
US7641840B2 (en) * | 2002-11-13 | 2010-01-05 | Molecular Imprints, Inc. | Method for expelling gas positioned between a substrate and a mold |
GB0227902D0 (en) * | 2002-11-29 | 2003-01-08 | Ingenia Holdings Ltd | Template |
US7307118B2 (en) * | 2004-11-24 | 2007-12-11 | Molecular Imprints, Inc. | Composition to reduce adhesion between a conformable region and a mold |
US20060108710A1 (en) * | 2004-11-24 | 2006-05-25 | Molecular Imprints, Inc. | Method to reduce adhesion between a conformable region and a mold |
US20050160934A1 (en) * | 2004-01-23 | 2005-07-28 | Molecular Imprints, Inc. | Materials and methods for imprint lithography |
US7223635B1 (en) | 2003-07-25 | 2007-05-29 | Hrl Laboratories, Llc | Oriented self-location of microstructures with alignment structures |
JP3889386B2 (ja) * | 2003-09-30 | 2007-03-07 | 株式会社東芝 | インプリント装置及びインプリント方法 |
US7090716B2 (en) * | 2003-10-02 | 2006-08-15 | Molecular Imprints, Inc. | Single phase fluid imprint lithography method |
US20050084804A1 (en) * | 2003-10-16 | 2005-04-21 | Molecular Imprints, Inc. | Low surface energy templates |
JP2005153113A (ja) * | 2003-11-28 | 2005-06-16 | Ricoh Opt Ind Co Ltd | ナノプリントを用いた微細3次元構造体の製造方法及び微細3次元構造体 |
EP1538482B1 (en) | 2003-12-05 | 2016-02-17 | Obducat AB | Device and method for large area lithography |
KR100585951B1 (ko) * | 2004-02-18 | 2006-06-01 | 한국기계연구원 | 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치 |
US8076386B2 (en) | 2004-02-23 | 2011-12-13 | Molecular Imprints, Inc. | Materials for imprint lithography |
US7906180B2 (en) | 2004-02-27 | 2011-03-15 | Molecular Imprints, Inc. | Composition for an etching mask comprising a silicon-containing material |
WO2005093737A1 (ja) * | 2004-03-29 | 2005-10-06 | Pioneer Corporation | パターン転写装置及びパターン転写方法 |
DE102004028851B4 (de) * | 2004-03-31 | 2006-04-13 | Infineon Technologies Ag | Verfahren und Vorrichtung zum Vermessen eines Oberflächenprofils einer Probe |
EP1594001B1 (en) | 2004-05-07 | 2015-12-30 | Obducat AB | Device and method for imprint lithography |
US7622847B2 (en) * | 2004-05-20 | 2009-11-24 | Japan Science And Technology Agency | Method and device for precisely resisting and moving high load |
US7504268B2 (en) | 2004-05-28 | 2009-03-17 | Board Of Regents, The University Of Texas System | Adaptive shape substrate support method |
US20050275311A1 (en) * | 2004-06-01 | 2005-12-15 | Molecular Imprints, Inc. | Compliant device for nano-scale manufacturing |
JP4792028B2 (ja) * | 2004-06-03 | 2011-10-12 | モレキュラー・インプリンツ・インコーポレーテッド | ナノスケール製造技術における流体の分配およびドロップ・オン・デマンド分配技術 |
US7768624B2 (en) * | 2004-06-03 | 2010-08-03 | Board Of Regents, The University Of Texas System | Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques |
CN101379435A (zh) * | 2004-06-03 | 2009-03-04 | 得克萨斯州大学系统董事会 | 用于改进显微蚀刻的对齐和覆盖的系统和方法 |
JP4574240B2 (ja) * | 2004-06-11 | 2010-11-04 | キヤノン株式会社 | 加工装置、加工方法、デバイス製造方法 |
US20070228593A1 (en) * | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | Residual Layer Thickness Measurement and Correction |
JP4458958B2 (ja) * | 2004-07-01 | 2010-04-28 | 独立行政法人理化学研究所 | 微細パターン形成方法および微細パターン形成装置 |
US7785526B2 (en) | 2004-07-20 | 2010-08-31 | Molecular Imprints, Inc. | Imprint alignment method, system, and template |
US20060017876A1 (en) * | 2004-07-23 | 2006-01-26 | Molecular Imprints, Inc. | Displays and method for fabricating displays |
US7105452B2 (en) * | 2004-08-13 | 2006-09-12 | Molecular Imprints, Inc. | Method of planarizing a semiconductor substrate with an etching chemistry |
US7939131B2 (en) * | 2004-08-16 | 2011-05-10 | Molecular Imprints, Inc. | Method to provide a layer with uniform etch characteristics |
US7492440B2 (en) * | 2004-09-09 | 2009-02-17 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
JP4904742B2 (ja) * | 2004-09-16 | 2012-03-28 | 旭硝子株式会社 | パターンの形成方法およびパターンを有する物品 |
US7547504B2 (en) * | 2004-09-21 | 2009-06-16 | Molecular Imprints, Inc. | Pattern reversal employing thick residual layers |
JP4979918B2 (ja) * | 2004-09-24 | 2012-07-18 | ボンドテック株式会社 | 加圧方法及び加圧装置 |
US7244386B2 (en) | 2004-09-27 | 2007-07-17 | Molecular Imprints, Inc. | Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom |
US20060081557A1 (en) | 2004-10-18 | 2006-04-20 | Molecular Imprints, Inc. | Low-k dielectric functional imprinting materials |
CN100395121C (zh) * | 2004-11-19 | 2008-06-18 | 鸿富锦精密工业(深圳)有限公司 | 热压印方法 |
US7630067B2 (en) | 2004-11-30 | 2009-12-08 | Molecular Imprints, Inc. | Interferometric analysis method for the manufacture of nano-scale devices |
US20070231421A1 (en) * | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | Enhanced Multi Channel Alignment |
US7295288B1 (en) * | 2004-12-01 | 2007-11-13 | Advanced Micro Devices, Inc. | Systems and methods of imprint lithography with adjustable mask |
WO2006060757A2 (en) * | 2004-12-01 | 2006-06-08 | Molecular Imprints, Inc. | Eliminating printability of sub-resolution defects in imprint lithography |
KR20070086766A (ko) * | 2004-12-01 | 2007-08-27 | 몰레큘러 임프린츠 인코퍼레이티드 | 임프린트 리소그래피 공정용 열관리를 위한 노출 방법 |
US7281919B2 (en) | 2004-12-07 | 2007-10-16 | Molecular Imprints, Inc. | System for controlling a volume of material on a mold |
JP2006165371A (ja) | 2004-12-09 | 2006-06-22 | Canon Inc | 転写装置およびデバイス製造方法 |
US7331283B2 (en) * | 2004-12-16 | 2008-02-19 | Asml Holding N.V. | Method and apparatus for imprint pattern replication |
US7399422B2 (en) * | 2005-11-29 | 2008-07-15 | Asml Holding N.V. | System and method for forming nanodisks used in imprint lithography and nanodisk and memory disk formed thereby |
US7409759B2 (en) * | 2004-12-16 | 2008-08-12 | Asml Holding N.V. | Method for making a computer hard drive platen using a nano-plate |
US7363854B2 (en) * | 2004-12-16 | 2008-04-29 | Asml Holding N.V. | System and method for patterning both sides of a substrate utilizing imprint lithography |
US7410591B2 (en) * | 2004-12-16 | 2008-08-12 | Asml Holding N.V. | Method and system for making a nano-plate for imprint lithography |
US7676088B2 (en) * | 2004-12-23 | 2010-03-09 | Asml Netherlands B.V. | Imprint lithography |
US20060177532A1 (en) * | 2005-02-04 | 2006-08-10 | Molecular Imprints, Inc. | Imprint lithography method to control extrusion of a liquid from a desired region on a substrate |
US7636999B2 (en) * | 2005-01-31 | 2009-12-29 | Molecular Imprints, Inc. | Method of retaining a substrate to a wafer chuck |
US7635263B2 (en) * | 2005-01-31 | 2009-12-22 | Molecular Imprints, Inc. | Chucking system comprising an array of fluid chambers |
JP4500183B2 (ja) * | 2005-02-25 | 2010-07-14 | 東芝機械株式会社 | 転写装置 |
US20060195765A1 (en) * | 2005-02-28 | 2006-08-31 | Texas Instruments Incorporated | Accelerating convergence in an iterative decoder |
KR100688866B1 (ko) | 2005-04-07 | 2007-03-02 | 삼성전기주식회사 | 임프린트 장치, 시스템 및 방법 |
US9180102B2 (en) * | 2005-05-06 | 2015-11-10 | Board Of Regents, The University Of Texas System | Methods for fabricating nano and microparticles for drug delivery |
US20070228608A1 (en) * | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | Preserving Filled Features when Vacuum Wiping |
JP4595120B2 (ja) * | 2005-05-27 | 2010-12-08 | 独立行政法人産業技術総合研究所 | 裏面加圧によるインプリント方法及び装置 |
GB2426486A (en) * | 2005-05-27 | 2006-11-29 | Microsaic Systems Ltd | Self-aligning micro-contact print engine |
JP3958344B2 (ja) | 2005-06-07 | 2007-08-15 | キヤノン株式会社 | インプリント装置、インプリント方法及びチップの製造方法 |
JP4290177B2 (ja) | 2005-06-08 | 2009-07-01 | キヤノン株式会社 | モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法 |
US8846195B2 (en) * | 2005-07-22 | 2014-09-30 | Canon Nanotechnologies, Inc. | Ultra-thin polymeric adhesion layer |
US8557351B2 (en) | 2005-07-22 | 2013-10-15 | Molecular Imprints, Inc. | Method for adhering materials together |
US8808808B2 (en) | 2005-07-22 | 2014-08-19 | Molecular Imprints, Inc. | Method for imprint lithography utilizing an adhesion primer layer |
KR100674440B1 (ko) * | 2005-08-12 | 2007-01-25 | 주식회사 파이컴 | 프로브 카드 제조 방법 및 장치 |
US20070074635A1 (en) * | 2005-08-25 | 2007-04-05 | Molecular Imprints, Inc. | System to couple a body and a docking plate |
US7665981B2 (en) * | 2005-08-25 | 2010-02-23 | Molecular Imprints, Inc. | System to transfer a template transfer body between a motion stage and a docking plate |
US20070064384A1 (en) * | 2005-08-25 | 2007-03-22 | Molecular Imprints, Inc. | Method to transfer a template transfer body between a motion stage and a docking plate |
US7670534B2 (en) | 2005-09-21 | 2010-03-02 | Molecular Imprints, Inc. | Method to control an atmosphere between a body and a substrate |
US8142703B2 (en) | 2005-10-05 | 2012-03-27 | Molecular Imprints, Inc. | Imprint lithography method |
US8092723B2 (en) * | 2005-11-18 | 2012-01-10 | Nanocomp Oy Ltd | Method of producing a diffraction grating element |
US7906058B2 (en) | 2005-12-01 | 2011-03-15 | Molecular Imprints, Inc. | Bifurcated contact printing technique |
US7803308B2 (en) * | 2005-12-01 | 2010-09-28 | Molecular Imprints, Inc. | Technique for separating a mold from solidified imprinting material |
ATE510241T1 (de) * | 2005-12-08 | 2011-06-15 | Molecular Imprints Inc | Verfahren zum ausstossen von zwischen einem substrat und einer form befindlichen gas |
CN101535021A (zh) * | 2005-12-08 | 2009-09-16 | 分子制模股份有限公司 | 用于衬底双面图案形成的方法和系统 |
US7670530B2 (en) | 2006-01-20 | 2010-03-02 | Molecular Imprints, Inc. | Patterning substrates employing multiple chucks |
US7517211B2 (en) | 2005-12-21 | 2009-04-14 | Asml Netherlands B.V. | Imprint lithography |
KR100898793B1 (ko) * | 2005-12-29 | 2009-05-20 | 엘지디스플레이 주식회사 | 액정표시소자용 기판 합착 장치 |
TW200811684A (en) | 2006-02-17 | 2008-03-01 | Mentor Graphics Corp | Gate modeling for semiconductor fabrication process effects |
JP4845564B2 (ja) * | 2006-03-31 | 2011-12-28 | 株式会社東芝 | パターン転写方法 |
KR20080114681A (ko) * | 2006-04-03 | 2008-12-31 | 몰레큘러 임프린츠 인코퍼레이티드 | 리소그래피 임프린팅 시스템 |
US8850980B2 (en) | 2006-04-03 | 2014-10-07 | Canon Nanotechnologies, Inc. | Tessellated patterns in imprint lithography |
US7802978B2 (en) | 2006-04-03 | 2010-09-28 | Molecular Imprints, Inc. | Imprinting of partial fields at the edge of the wafer |
KR20090003153A (ko) | 2006-04-03 | 2009-01-09 | 몰레큘러 임프린츠 인코퍼레이티드 | 다수의 필드와 정렬 마크를 갖는 기판을 동시에 패턴화하는방법 |
US8142850B2 (en) | 2006-04-03 | 2012-03-27 | Molecular Imprints, Inc. | Patterning a plurality of fields on a substrate to compensate for differing evaporation times |
US8012395B2 (en) * | 2006-04-18 | 2011-09-06 | Molecular Imprints, Inc. | Template having alignment marks formed of contrast material |
US7547398B2 (en) * | 2006-04-18 | 2009-06-16 | Molecular Imprints, Inc. | Self-aligned process for fabricating imprint templates containing variously etched features |
WO2007124007A2 (en) * | 2006-04-21 | 2007-11-01 | Molecular Imprints, Inc. | Method for detecting a particle in a nanoimprint lithography system |
US8215946B2 (en) | 2006-05-18 | 2012-07-10 | Molecular Imprints, Inc. | Imprint lithography system and method |
JP2007329276A (ja) * | 2006-06-07 | 2007-12-20 | Tokyo Ohka Kogyo Co Ltd | ナノインプリントリソグラフィによるレジストパターンの形成方法 |
JP4810319B2 (ja) * | 2006-06-09 | 2011-11-09 | キヤノン株式会社 | 加工装置及びデバイス製造方法 |
JP4939134B2 (ja) * | 2006-07-07 | 2012-05-23 | 株式会社日立ハイテクノロジーズ | インプリント装置およびインプリント方法 |
JP5027468B2 (ja) * | 2006-09-15 | 2012-09-19 | 日本ミクロコーティング株式会社 | プローブクリーニング用又はプローブ加工用シート、及びプローブ加工方法 |
US20080090312A1 (en) * | 2006-10-17 | 2008-04-17 | Inkyu Park | LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL |
US20080110557A1 (en) * | 2006-11-15 | 2008-05-15 | Molecular Imprints, Inc. | Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces |
GB2444322B (en) | 2006-11-30 | 2009-03-04 | Cinetic Landis Grinding Ltd | Apparatus for applying a controlled force to an article |
JP4478164B2 (ja) * | 2007-03-12 | 2010-06-09 | 株式会社日立ハイテクノロジーズ | 微細構造転写装置、スタンパおよび微細構造の製造方法 |
KR20080096901A (ko) * | 2007-04-30 | 2008-11-04 | 삼성전자주식회사 | 임프린트방법 및 상기 임프린트방법을 이용한 표시기판제조방법 |
US20090004319A1 (en) * | 2007-05-30 | 2009-01-01 | Molecular Imprints, Inc. | Template Having a Silicon Nitride, Silicon Carbide or Silicon Oxynitride Film |
US8142702B2 (en) * | 2007-06-18 | 2012-03-27 | Molecular Imprints, Inc. | Solvent-assisted layer formation for imprint lithography |
US20090014917A1 (en) * | 2007-07-10 | 2009-01-15 | Molecular Imprints, Inc. | Drop Pattern Generation for Imprint Lithography |
US7837907B2 (en) * | 2007-07-20 | 2010-11-23 | Molecular Imprints, Inc. | Alignment system and method for a substrate in a nano-imprint process |
US7490797B1 (en) * | 2007-07-26 | 2009-02-17 | Hung Hi Law | Portable supporting apparatus |
JP5173311B2 (ja) * | 2007-08-09 | 2013-04-03 | キヤノン株式会社 | インプリント方法、インプリント装置および半導体製造方法 |
US20090053535A1 (en) * | 2007-08-24 | 2009-02-26 | Molecular Imprints, Inc. | Reduced Residual Formation in Etched Multi-Layer Stacks |
US8119052B2 (en) * | 2007-11-02 | 2012-02-21 | Molecular Imprints, Inc. | Drop pattern generation for imprint lithography |
CN101868760B (zh) * | 2007-11-21 | 2013-01-16 | 分子制模股份有限公司 | 用于纳米刻印光刻的多孔模板及方法、以及刻印层叠物 |
US7906274B2 (en) * | 2007-11-21 | 2011-03-15 | Molecular Imprints, Inc. | Method of creating a template employing a lift-off process |
EP2215661A1 (en) * | 2007-11-28 | 2010-08-11 | Molecular Imprints, Inc. | Nanostructured organic solar cells |
US8945444B2 (en) * | 2007-12-04 | 2015-02-03 | Canon Nanotechnologies, Inc. | High throughput imprint based on contact line motion tracking control |
US20090147237A1 (en) * | 2007-12-05 | 2009-06-11 | Molecular Imprints, Inc. | Spatial Phase Feature Location |
US20100264560A1 (en) * | 2007-12-19 | 2010-10-21 | Zhuqing Zhang | Imprint lithography apparatus and method |
US7815824B2 (en) * | 2008-02-26 | 2010-10-19 | Molecular Imprints, Inc. | Real time imprint process diagnostics for defects |
US8795572B2 (en) * | 2008-04-17 | 2014-08-05 | Massachusetts Institute Of Technology | Symmetric thermocentric flexure with minimal yaw error motion |
WO2009129443A2 (en) * | 2008-04-17 | 2009-10-22 | Massachusetts Institute Of Technology | Diaphragm flexure with large range and high load capacity |
WO2009137384A2 (en) * | 2008-05-05 | 2009-11-12 | Boston Scientific Scimed, Inc. | Medical devices having a bioresorbable coating layer with a pre-determined pattern for fragmentation |
US20100072671A1 (en) * | 2008-09-25 | 2010-03-25 | Molecular Imprints, Inc. | Nano-imprint lithography template fabrication and treatment |
US8470188B2 (en) * | 2008-10-02 | 2013-06-25 | Molecular Imprints, Inc. | Nano-imprint lithography templates |
US20100092599A1 (en) * | 2008-10-10 | 2010-04-15 | Molecular Imprints, Inc. | Complementary Alignment Marks for Imprint Lithography |
US20100090341A1 (en) * | 2008-10-14 | 2010-04-15 | Molecular Imprints, Inc. | Nano-patterned active layers formed by nano-imprint lithography |
US8415010B2 (en) * | 2008-10-20 | 2013-04-09 | Molecular Imprints, Inc. | Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers |
US8512797B2 (en) * | 2008-10-21 | 2013-08-20 | Molecular Imprints, Inc. | Drop pattern generation with edge weighting |
US8075299B2 (en) * | 2008-10-21 | 2011-12-13 | Molecular Imprints, Inc. | Reduction of stress during template separation |
US8586126B2 (en) | 2008-10-21 | 2013-11-19 | Molecular Imprints, Inc. | Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement |
US20100095862A1 (en) * | 2008-10-22 | 2010-04-22 | Molecular Imprints, Inc. | Double Sidewall Angle Nano-Imprint Template |
US20100104852A1 (en) * | 2008-10-23 | 2010-04-29 | Molecular Imprints, Inc. | Fabrication of High-Throughput Nano-Imprint Lithography Templates |
US8652393B2 (en) | 2008-10-24 | 2014-02-18 | Molecular Imprints, Inc. | Strain and kinetics control during separation phase of imprint process |
US8345242B2 (en) * | 2008-10-28 | 2013-01-01 | Molecular Imprints, Inc. | Optical system for use in stage control |
US8309008B2 (en) * | 2008-10-30 | 2012-11-13 | Molecular Imprints, Inc. | Separation in an imprint lithography process |
US8361546B2 (en) * | 2008-10-30 | 2013-01-29 | Molecular Imprints, Inc. | Facilitating adhesion between substrate and patterned layer |
US20100112220A1 (en) * | 2008-11-03 | 2010-05-06 | Molecular Imprints, Inc. | Dispense system set-up and characterization |
US20100109205A1 (en) * | 2008-11-04 | 2010-05-06 | Molecular Imprints, Inc. | Photocatalytic reactions in nano-imprint lithography processes |
US8432548B2 (en) * | 2008-11-04 | 2013-04-30 | Molecular Imprints, Inc. | Alignment for edge field nano-imprinting |
US8231821B2 (en) * | 2008-11-04 | 2012-07-31 | Molecular Imprints, Inc. | Substrate alignment |
US20100109195A1 (en) * | 2008-11-05 | 2010-05-06 | Molecular Imprints, Inc. | Release agent partition control in imprint lithography |
JP4944158B2 (ja) * | 2009-06-01 | 2012-05-30 | 株式会社日立製作所 | ナノプリント用スタンパ、及び微細構造転写方法 |
JP5295870B2 (ja) * | 2009-06-02 | 2013-09-18 | 株式会社東芝 | インプリントパターン形成方法 |
US9164375B2 (en) | 2009-06-19 | 2015-10-20 | Canon Nanotechnologies, Inc. | Dual zone template chuck |
US8913230B2 (en) | 2009-07-02 | 2014-12-16 | Canon Nanotechnologies, Inc. | Chucking system with recessed support feature |
JP5284212B2 (ja) * | 2009-07-29 | 2013-09-11 | 株式会社東芝 | 半導体装置の製造方法 |
US20110030770A1 (en) | 2009-08-04 | 2011-02-10 | Molecular Imprints, Inc. | Nanostructured organic solar cells |
US20110031650A1 (en) | 2009-08-04 | 2011-02-10 | Molecular Imprints, Inc. | Adjacent Field Alignment |
CN102648438A (zh) | 2009-08-26 | 2012-08-22 | 分子制模股份有限公司 | 功能性纳米微粒 |
US8802747B2 (en) * | 2009-08-26 | 2014-08-12 | Molecular Imprints, Inc. | Nanoimprint lithography processes for forming nanoparticles |
US20110048518A1 (en) * | 2009-08-26 | 2011-03-03 | Molecular Imprints, Inc. | Nanostructured thin film inorganic solar cells |
JP5296641B2 (ja) * | 2009-09-02 | 2013-09-25 | 東京エレクトロン株式会社 | インプリント方法、プログラム、コンピュータ記憶媒体及びインプリント装置 |
US20110084417A1 (en) | 2009-10-08 | 2011-04-14 | Molecular Imprints, Inc. | Large area linear array nanoimprinting |
US8146262B1 (en) | 2009-10-21 | 2012-04-03 | The Boeing Company | Method and device for locating hole center |
JP5669377B2 (ja) * | 2009-11-09 | 2015-02-12 | キヤノン株式会社 | インプリント装置及び物品の製造方法 |
WO2011066450A2 (en) | 2009-11-24 | 2011-06-03 | Molecular Imprints, Inc. | Adhesion layers in nanoimprint lithography |
US20110140304A1 (en) | 2009-12-10 | 2011-06-16 | Molecular Imprints, Inc. | Imprint lithography template |
WO2011094317A2 (en) | 2010-01-26 | 2011-08-04 | Molecular Imprints, Inc. | Micro-conformal templates for nanoimprint lithography |
US8980751B2 (en) * | 2010-01-27 | 2015-03-17 | Canon Nanotechnologies, Inc. | Methods and systems of material removal and pattern transfer |
US8691134B2 (en) | 2010-01-28 | 2014-04-08 | Molecular Imprints, Inc. | Roll-to-roll imprint lithography and purging system |
WO2011094015A1 (en) | 2010-01-28 | 2011-08-04 | Molecular Imprints, Inc. | Solar cell fabrication by nanoimprint lithography |
WO2011094696A2 (en) | 2010-01-29 | 2011-08-04 | Molecular Imprints, Inc. | Ultra-compliant nanoimprint lithography template |
WO2011097514A2 (en) | 2010-02-05 | 2011-08-11 | Molecular Imprints, Inc. | Templates having high contrast alignment marks |
JP5848263B2 (ja) | 2010-02-09 | 2016-01-27 | モレキュラー・インプリンツ・インコーポレーテッド | ナノインプリントのためのプロセスガス閉じ込め |
DE102010007970A1 (de) | 2010-02-15 | 2011-08-18 | Suss MicroTec Lithography GmbH, 85748 | Verfahren und Vorrichtung zum aktiven Keilfehlerausgleich zwischen zwei im wesentlichen zueinander parallel positionierbaren Gegenständen |
US8968620B2 (en) | 2010-04-27 | 2015-03-03 | Canon Nanotechnologies, Inc. | Safe separation for nano imprinting |
US9070803B2 (en) | 2010-05-11 | 2015-06-30 | Molecular Imprints, Inc. | Nanostructured solar cell |
US8541053B2 (en) | 2010-07-08 | 2013-09-24 | Molecular Imprints, Inc. | Enhanced densification of silicon oxide layers |
US8891080B2 (en) | 2010-07-08 | 2014-11-18 | Canon Nanotechnologies, Inc. | Contaminate detection and substrate cleaning |
WO2012007522A2 (en) * | 2010-07-15 | 2012-01-19 | Replisaurus Group Sas | Separation of master electrode and substrate in ecpr |
SG188343A1 (en) * | 2010-09-03 | 2013-04-30 | Ev Group E Thallner Gmbh | Device and method for reducing a wedge error |
WO2012040699A2 (en) | 2010-09-24 | 2012-03-29 | Molecular Imprints, Inc. | High contrast alignment marks through multiple stage imprinting |
WO2012061753A2 (en) | 2010-11-05 | 2012-05-10 | Molecular Imprints, Inc. | Nanoimprint lithography formation of functional nanoparticles using dual release layers |
EP2635419B1 (en) | 2010-11-05 | 2020-06-17 | Molecular Imprints, Inc. | Patterning of non-convex shaped nanostructures |
US8926888B2 (en) | 2011-02-25 | 2015-01-06 | Board Of Regents, The University Of Texas System | Fluorinated silazane release agents in nanoimprint lithography |
WO2012149029A2 (en) | 2011-04-25 | 2012-11-01 | Molecular Imprints, Inc. | Optically absorptive material for alignment marks |
HK1148904A2 (en) * | 2011-05-17 | 2011-09-16 | Liu Vai Nam | An electromagnetic device |
US20140242744A1 (en) * | 2011-09-26 | 2014-08-28 | Solarity, Inc. | Substrate and superstrate design and process for nano-imprinting lithography of light and carrier collection management devices |
SG10201608504SA (en) | 2011-12-19 | 2016-12-29 | Canon Nanotechnologies Inc | Fabrication of seamless large area master templates for imprint lithography |
US9616614B2 (en) | 2012-02-22 | 2017-04-11 | Canon Nanotechnologies, Inc. | Large area imprint lithography |
JP5661666B2 (ja) | 2012-02-29 | 2015-01-28 | 株式会社東芝 | パターン形成装置及び半導体装置の製造方法 |
US9370865B1 (en) * | 2012-05-23 | 2016-06-21 | Western Digital Technologies, Inc. | Flexure based compliance device for use with an assembly device |
EP2679551A1 (en) | 2012-06-28 | 2014-01-01 | Corning Incorporated | Process and system for fine tuning precision glass sheet bending |
JP6019953B2 (ja) * | 2012-09-04 | 2016-11-02 | 大日本印刷株式会社 | 凸状構造体の製造方法及び製造システム |
US8813382B1 (en) * | 2012-10-22 | 2014-08-26 | The Boeing Company | Shim measurement system and method of operating the same |
SG11201505712VA (en) | 2013-03-15 | 2015-08-28 | Canon Nanotechnologies Inc | Nano imprinting with reusable polymer template with metallic or oxide coating |
WO2014145826A2 (en) * | 2013-03-15 | 2014-09-18 | Nanonex Corporation | System and methods of mold/substrate separation for imprint lithography |
WO2014145360A1 (en) * | 2013-03-15 | 2014-09-18 | Nanonex Corporation | Imprint lithography system and method for manufacturing |
WO2015006695A1 (en) | 2013-07-12 | 2015-01-15 | Canon Nanotechnologies, Inc. | Drop pattern generation for imprint lithography with directionally-patterned templates |
RU2546989C2 (ru) * | 2013-08-01 | 2015-04-10 | Федеральное государственное бюджетное учреждение науки Институт ядерной физики им. Г.И. Будкера Сибирского отделения РАН (ИЯФ СО РАН) | Рентгеношаблон и способ его изготовления |
EP3066524A1 (en) | 2013-11-08 | 2016-09-14 | Canon Nanotechnologies, Inc. | Low contact imprint lithography template chuck system for improved overlay correction |
KR102241025B1 (ko) | 2013-12-10 | 2021-04-16 | 캐논 나노테크놀로지즈 인코퍼레이티드 | 임프린트 리소그래피 주형 및 제로-갭 임프린팅 방법 |
SG11201604539QA (en) | 2013-12-30 | 2016-07-28 | Canon Nanotechnologies Inc | Methods for uniform imprint pattern transfer of sub-20 nm features |
SG11201604407WA (en) | 2013-12-31 | 2016-07-28 | Canon Nanotechnologies Inc | Asymmetric template shape modulation for partial field imprinting |
KR102688893B1 (ko) | 2014-09-29 | 2024-07-29 | 매직 립, 인코포레이티드 | 상이한 파장의 광을 도파관 밖으로 출력하기 위한 아키텍쳐 및 방법 |
US9341290B2 (en) * | 2014-09-29 | 2016-05-17 | Dieterich Standard, Inc. | Lugged wafer alignment ring |
JP6525567B2 (ja) * | 2014-12-02 | 2019-06-05 | キヤノン株式会社 | インプリント装置及び物品の製造方法 |
JP6478635B2 (ja) * | 2015-01-05 | 2019-03-06 | キヤノン株式会社 | インプリント装置、インプリント方法及び物品の製造方法 |
NZ773812A (en) | 2015-03-16 | 2022-07-29 | Magic Leap Inc | Methods and systems for diagnosing and treating health ailments |
IL295566B2 (en) | 2015-06-15 | 2024-01-01 | Magic Leap Inc | Display system with optical components for coupling multiple light streams |
US20170066208A1 (en) | 2015-09-08 | 2017-03-09 | Canon Kabushiki Kaisha | Substrate pretreatment for reducing fill time in nanoimprint lithography |
US10488753B2 (en) | 2015-09-08 | 2019-11-26 | Canon Kabushiki Kaisha | Substrate pretreatment and etch uniformity in nanoimprint lithography |
SG11201803014WA (en) | 2015-10-15 | 2018-05-30 | Univ Texas | Versatile process for precision nanoscale manufacturing |
US10131134B2 (en) | 2015-10-30 | 2018-11-20 | Canon Kabushiki Kaisha | System and method for discharging electrostatic charge in nanoimprint lithography processes |
US10211051B2 (en) | 2015-11-13 | 2019-02-19 | Canon Kabushiki Kaisha | Method of reverse tone patterning |
US11104057B2 (en) | 2015-12-11 | 2021-08-31 | Canon Kabushiki Kaisha | Imprint apparatus and method of imprinting a partial field |
US10654216B2 (en) | 2016-03-30 | 2020-05-19 | Canon Kabushiki Kaisha | System and methods for nanoimprint lithography |
US10095106B2 (en) | 2016-03-31 | 2018-10-09 | Canon Kabushiki Kaisha | Removing substrate pretreatment compositions in nanoimprint lithography |
US10620539B2 (en) | 2016-03-31 | 2020-04-14 | Canon Kabushiki Kaisha | Curing substrate pretreatment compositions in nanoimprint lithography |
US10134588B2 (en) | 2016-03-31 | 2018-11-20 | Canon Kabushiki Kaisha | Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography |
EP4273615A3 (en) | 2016-04-08 | 2024-01-17 | Magic Leap, Inc. | Augmented reality systems and methods with variable focus lens elements |
KR20240027162A (ko) | 2016-05-12 | 2024-02-29 | 매직 립, 인코포레이티드 | 이미징 도파관을 통해 분배된 광 조작 |
US10189188B2 (en) | 2016-05-20 | 2019-01-29 | Canon Kabushiki Kaisha | Nanoimprint lithography adhesion layer |
US9993962B2 (en) | 2016-05-23 | 2018-06-12 | Canon Kabushiki Kaisha | Method of imprinting to correct for a distortion within an imprint system |
US11131922B2 (en) | 2016-06-06 | 2021-09-28 | Canon Kabushiki Kaisha | Imprint lithography template, system, and method of imprinting |
US10509313B2 (en) | 2016-06-28 | 2019-12-17 | Canon Kabushiki Kaisha | Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography |
US10035296B2 (en) | 2016-10-13 | 2018-07-31 | Canon Kabushiki Kaisha | Methods for controlling spread of imprint material |
US10627715B2 (en) | 2016-10-31 | 2020-04-21 | Canon Kabushiki Kaisha | Method for separating a nanoimprint template from a substrate |
US11454883B2 (en) | 2016-11-14 | 2022-09-27 | Canon Kabushiki Kaisha | Template replication |
EP3542213A4 (en) | 2016-11-18 | 2020-10-07 | Magic Leap, Inc. | WAVE GUIDE LIGHT MULTIPLEXER USING CROSSED GRIDS |
WO2018094096A1 (en) | 2016-11-18 | 2018-05-24 | Magic Leap, Inc. | Multilayer liquid crystal diffractive gratings for redirecting light of wide incident angle ranges |
CN110192146B (zh) | 2016-11-18 | 2022-09-23 | 奇跃公司 | 空间可变液晶衍射光栅 |
US11067860B2 (en) | 2016-11-18 | 2021-07-20 | Magic Leap, Inc. | Liquid crystal diffractive devices with nano-scale pattern and methods of manufacturing the same |
US10969680B2 (en) | 2016-11-30 | 2021-04-06 | Canon Kabushiki Kaisha | System and method for adjusting a position of a template |
CN110249256B (zh) | 2016-12-08 | 2023-03-03 | 奇跃公司 | 基于胆甾型液晶的衍射装置 |
EP3555700B1 (en) | 2016-12-14 | 2023-09-13 | Magic Leap, Inc. | Patterning of liquid crystals using soft-imprint replication of surface alignment patterns |
US10578984B2 (en) | 2016-12-20 | 2020-03-03 | Canon Kabushiki Kaisha | Adaptive chucking system |
US10991582B2 (en) | 2016-12-21 | 2021-04-27 | Canon Kabushiki Kaisha | Template for imprint lithography including a recession, an apparatus of using the template, and a method of fabricating an article |
US10712660B2 (en) | 2016-12-21 | 2020-07-14 | Canon Kabushiki Kaisha | Template for imprint lithography including a recession and an apparatus and method of using the template |
US10371896B2 (en) | 2016-12-22 | 2019-08-06 | Magic Leap, Inc. | Color separation in planar waveguides using dichroic filters |
CN115586652A (zh) | 2017-01-23 | 2023-01-10 | 奇跃公司 | 用于虚拟、增强或混合现实系统的目镜 |
EP4328865A3 (en) | 2017-02-23 | 2024-06-05 | Magic Leap, Inc. | Variable-focus virtual image devices based on polarization conversion |
US10079152B1 (en) | 2017-02-24 | 2018-09-18 | Canon Kabushiki Kaisha | Method for forming planarized etch mask structures over existing topography |
US9971249B1 (en) | 2017-02-27 | 2018-05-15 | Canon Kabushiki Kaisha | Method and system for controlled ultraviolet light exposure |
US10317793B2 (en) | 2017-03-03 | 2019-06-11 | Canon Kabushiki Kaisha | Substrate pretreatment compositions for nanoimprint lithography |
CN110392919B (zh) | 2017-03-08 | 2024-01-16 | 佳能株式会社 | 图案形成方法和加工基板、光学部件和石英模具复制品的制造方法以及用于压印预处理的涂覆材料及其与压印抗蚀剂的组合 |
WO2018164017A1 (ja) | 2017-03-08 | 2018-09-13 | キヤノン株式会社 | 硬化物パターンの製造方法、光学部品、回路基板および石英モールドレプリカの製造方法、ならびにインプリント前処理コート用材料およびその硬化物 |
AU2018239264B2 (en) | 2017-03-21 | 2023-05-18 | Magic Leap, Inc. | Eye-imaging apparatus using diffractive optical elements |
US10303049B2 (en) | 2017-03-22 | 2019-05-28 | Canon Kabushiki Kaisha | Reducing electric charge in imprint lithography |
US10534259B2 (en) | 2017-03-28 | 2020-01-14 | Canon Kabushiki Kaisha | Method and system for imprint force control |
US10996560B2 (en) | 2017-07-31 | 2021-05-04 | Canon Kabushiki Kaisha | Real-time correction of template deformation in nanoimprint lithography |
US10866510B2 (en) * | 2017-07-31 | 2020-12-15 | Canon Kabushiki Kaisha | Overlay improvement in nanoimprint lithography |
AU2018338222A1 (en) | 2017-09-21 | 2020-03-19 | Magic Leap, Inc. | Augmented reality display with waveguide configured to capture images of eye and/or environment |
US10935883B2 (en) | 2017-09-29 | 2021-03-02 | Canon Kabushiki Kaisha | Nanoimprint template with light blocking material and method of fabrication |
EP3715117B1 (en) | 2017-09-29 | 2024-03-06 | NIKE Innovate C.V. | Structurally-colored textile articles and methods for making structurally-colored textile articles |
CA3084011C (en) | 2017-12-15 | 2024-06-11 | Magic Leap, Inc. | Eyepieces for augmented reality display system |
US10996561B2 (en) | 2017-12-26 | 2021-05-04 | Canon Kabushiki Kaisha | Nanoimprint lithography with a six degrees-of-freedom imprint head module |
CN108198752A (zh) * | 2017-12-29 | 2018-06-22 | 长沙新材料产业研究院有限公司 | 一种在衬底上制备图案的方法 |
JP7100485B2 (ja) * | 2018-04-26 | 2022-07-13 | キヤノン株式会社 | インプリント装置およびデバイス製造方法 |
US11249405B2 (en) * | 2018-04-30 | 2022-02-15 | Canon Kabushiki Kaisha | System and method for improving the performance of a nanoimprint system |
US10513135B1 (en) | 2018-06-15 | 2019-12-24 | Elizabeth A. Gessner | Stamping tool accessory and stamping tool assembly including the same |
JP2020035924A (ja) * | 2018-08-30 | 2020-03-05 | キオクシア株式会社 | 原版 |
JP2022509083A (ja) | 2018-11-20 | 2022-01-20 | マジック リープ, インコーポレイテッド | 拡張現実ディスプレイシステムのための接眼レンズ |
NL2023051B1 (en) * | 2019-05-02 | 2020-11-23 | Suss Microtec Lithography Gmbh | Framework for a replication device, replication device as well as method for producing nanostructured and/or microstructured components by means of a 5 replication device |
WO2020257469A1 (en) | 2019-06-20 | 2020-12-24 | Magic Leap, Inc. | Eyepieces for augmented reality display system |
EP3969947A1 (en) | 2019-06-26 | 2022-03-23 | Nike Innovate C.V. | Structurally-colored articles and methods for making and using structurally-colored articles |
CN114206149A (zh) | 2019-07-26 | 2022-03-18 | 耐克创新有限合伙公司 | 结构着色的物品以及用于制造和使用结构着色的物品的方法 |
CN114599247A (zh) | 2019-10-21 | 2022-06-07 | 耐克创新有限合伙公司 | 结构着色的物品 |
US11776840B2 (en) * | 2019-10-29 | 2023-10-03 | Canon Kabushiki Kaisha | Superstrate chuck, method of use, and method of manufacturing an article |
US11562924B2 (en) * | 2020-01-31 | 2023-01-24 | Canon Kabushiki Kaisha | Planarization apparatus, planarization process, and method of manufacturing an article |
EP4117932B1 (en) | 2020-05-29 | 2023-09-13 | Nike Innovate C.V. | Structurally-colored articles and methods for making and using structurally-colored articles |
US11129444B1 (en) * | 2020-08-07 | 2021-09-28 | Nike, Inc. | Footwear article having repurposed material with concealing layer |
US11889894B2 (en) | 2020-08-07 | 2024-02-06 | Nike, Inc. | Footwear article having concealing layer |
TW202211363A (zh) * | 2020-09-01 | 2022-03-16 | 美商伊路米納有限公司 | 夾具及相關系統及方法 |
KR102442459B1 (ko) * | 2020-10-07 | 2022-09-14 | 주식회사 오럼머티리얼 | 마스크 지지 템플릿의 제조 방법, 마스크 지지 템플릿 및 프레임 일체형 마스크의 제조 방법 |
US11562984B1 (en) | 2020-10-14 | 2023-01-24 | Hrl Laboratories, Llc | Integrated mechanical aids for high accuracy alignable-electrical contacts |
US11815811B2 (en) | 2021-03-23 | 2023-11-14 | Canon Kabushiki Kaisha | Magnification ramp scheme to mitigate template slippage |
US12057429B1 (en) | 2021-06-23 | 2024-08-06 | Hrl Laboratories, Llc | Temporary bonding structures for die-to-die and wafer-to-wafer bonding |
JP2023006689A (ja) * | 2021-06-30 | 2023-01-18 | キヤノン株式会社 | 平坦化装置、および物品製造方法 |
US11635684B1 (en) | 2022-03-30 | 2023-04-25 | Canon Kabushiki Kaisha | Apparatus for imprint lithography configured to generate a fluid droplet pattern and a method of using such apparatus |
Family Cites Families (247)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US168588A (en) * | 1875-10-11 | Improvement in machines for rounding and sealing the ends of wrought-iron tubes | ||
US251775A (en) * | 1882-01-03 | Faucet | ||
US169441A (en) * | 1875-11-02 | Improvement in devices for facilitating the filing of postal-cards | ||
US3527062A (en) * | 1968-09-25 | 1970-09-08 | Singer General Precision | Universal joint flexure hinge |
US3783520A (en) * | 1970-09-28 | 1974-01-08 | Bell Telephone Labor Inc | High accuracy alignment procedure utilizing moire patterns |
US3807027A (en) | 1972-03-31 | 1974-04-30 | Johns Manville | Method of forming the bell end of a bell and spigot joint |
US3811665A (en) | 1972-09-05 | 1974-05-21 | Bendix Corp | Flexural pivot with diaphragm means |
US3807029A (en) | 1972-09-05 | 1974-04-30 | Bendix Corp | Method of making a flexural pivot |
FR2325018A1 (fr) | 1975-06-23 | 1977-04-15 | Ibm | Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus |
JPS5226171A (en) * | 1975-08-22 | 1977-02-26 | Nippon Telegr & Teleph Corp <Ntt> | Mask creation method |
IT1068535B (it) | 1975-11-03 | 1985-03-21 | Ibm | Apparecchio e processo elettrolito grafico |
US4062600A (en) | 1976-04-05 | 1977-12-13 | Litton Systems, Inc. | Dual-gimbal gyroscope flexure suspension |
US4098001A (en) | 1976-10-13 | 1978-07-04 | The Charles Stark Draper Laboratory, Inc. | Remote center compliance system |
US4155169A (en) | 1978-03-16 | 1979-05-22 | The Charles Stark Draper Laboratory, Inc. | Compliant assembly system device |
US4201800A (en) * | 1978-04-28 | 1980-05-06 | International Business Machines Corp. | Hardened photoresist master image mask process |
JPS6053675B2 (ja) | 1978-09-20 | 1985-11-27 | 富士写真フイルム株式会社 | スピンコ−テイング方法 |
US4202107A (en) | 1978-10-23 | 1980-05-13 | Watson Paul C | Remote axis admittance system |
US4326805A (en) | 1980-04-11 | 1982-04-27 | Bell Telephone Laboratories, Incorporated | Method and apparatus for aligning mask and wafer members |
US4337579A (en) | 1980-04-16 | 1982-07-06 | The Charles Stark Draper Laboratory, Inc. | Deformable remote center compliance device |
US4355469A (en) | 1980-11-28 | 1982-10-26 | The Charles Stark Draper Laboratory, Inc. | Folded remote center compliance device |
US4414750A (en) | 1981-10-19 | 1983-11-15 | The Charles Stark Draper Laboratory, Inc. | Single stage remote center compliance device |
JPS58129074A (ja) * | 1982-01-27 | 1983-08-01 | Dainippon Printing Co Ltd | 感熱転写層形成用インキ組成物 |
DE3208081A1 (de) * | 1982-03-06 | 1983-09-08 | Braun Ag, 6000 Frankfurt | Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche |
DE3377597D1 (en) * | 1982-04-12 | 1988-09-08 | Nippon Telegraph & Telephone | Method for forming micropattern |
US4440804A (en) | 1982-08-02 | 1984-04-03 | Fairchild Camera & Instrument Corporation | Lift-off process for fabricating self-aligned contacts |
US4544572A (en) | 1982-09-07 | 1985-10-01 | Minnesota Mining And Manufacturing Company | Coated ophthalmic lenses and method for coating the same |
JPS5972727A (ja) | 1982-10-19 | 1984-04-24 | Matsushita Electric Ind Co Ltd | 位置合わせ用テ−ブル |
US4451507A (en) | 1982-10-29 | 1984-05-29 | Rca Corporation | Automatic liquid dispensing apparatus for spinning surface of uniform thickness |
FR2538923A1 (fr) | 1982-12-30 | 1984-07-06 | Thomson Csf | Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent |
US4551192A (en) * | 1983-06-30 | 1985-11-05 | International Business Machines Corporation | Electrostatic or vacuum pinchuck formed with microcircuit lithography |
US4507331A (en) * | 1983-12-12 | 1985-03-26 | International Business Machines Corporation | Dry process for forming positive tone micro patterns |
US4512848A (en) | 1984-02-06 | 1985-04-23 | Exxon Research And Engineering Co. | Procedure for fabrication of microstructures over large areas using physical replication |
US4552833A (en) * | 1984-05-14 | 1985-11-12 | International Business Machines Corporation | Radiation sensitive and oxygen plasma developable resist |
US4694703A (en) | 1984-06-28 | 1987-09-22 | Lear Siegler, Inc. | Circumferentially oriented flexure suspension |
US4908298A (en) * | 1985-03-19 | 1990-03-13 | International Business Machines Corporation | Method of creating patterned multilayer films for use in production of semiconductor circuits and systems |
EP0228671A1 (en) | 1985-12-23 | 1987-07-15 | General Electric Company | Method for the production of a coated substrate with controlled surface characteristics |
US4657845A (en) * | 1986-01-14 | 1987-04-14 | International Business Machines Corporation | Positive tone oxygen plasma developable photoresist |
US4692205A (en) * | 1986-01-31 | 1987-09-08 | International Business Machines Corporation | Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings |
US4724222A (en) | 1986-04-28 | 1988-02-09 | American Telephone And Telegraph Company, At&T Bell Laboratories | Wafer chuck comprising a curved reference surface |
US4737425A (en) * | 1986-06-10 | 1988-04-12 | International Business Machines Corporation | Patterned resist and process |
KR900004269B1 (ko) | 1986-06-11 | 1990-06-18 | 가부시기가이샤 도시바 | 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치 |
US4929083A (en) | 1986-06-19 | 1990-05-29 | Xerox Corporation | Focus and overlay characterization and optimization for photolithographic exposure |
JPS6319956A (ja) * | 1986-07-14 | 1988-01-27 | Hitachi Ltd | 描画像通信用端末装置の制御方法 |
DE3760773D1 (en) * | 1986-07-25 | 1989-11-16 | Oki Electric Ind Co Ltd | Negative resist material, method for its manufacture and method for using it |
JPS6376330A (ja) * | 1986-09-18 | 1988-04-06 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
FR2604553A1 (fr) | 1986-09-29 | 1988-04-01 | Rhone Poulenc Chimie | Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat |
US4707218A (en) * | 1986-10-28 | 1987-11-17 | International Business Machines Corporation | Lithographic image size reduction |
JPH06104375B2 (ja) * | 1986-11-10 | 1994-12-21 | 松下電器産業株式会社 | 印刷方法 |
JPS63131352A (ja) * | 1986-11-21 | 1988-06-03 | Canon Inc | 光学的記録媒体用基板の製造方法 |
JPS63162132A (ja) | 1986-12-26 | 1988-07-05 | Nippon Thompson Co Ltd | Xyテ−ブル |
US4931351A (en) * | 1987-01-12 | 1990-06-05 | Eastman Kodak Company | Bilayer lithographic process |
US6391798B1 (en) * | 1987-02-27 | 2002-05-21 | Agere Systems Guardian Corp. | Process for planarization a semiconductor substrate |
US5736424A (en) * | 1987-02-27 | 1998-04-07 | Lucent Technologies Inc. | Device fabrication involving planarization |
US4731155A (en) | 1987-04-15 | 1988-03-15 | General Electric Company | Process for forming a lithographic mask |
US4808511A (en) * | 1987-05-19 | 1989-02-28 | International Business Machines Corporation | Vapor phase photoresist silylation process |
KR930000293B1 (ko) | 1987-10-26 | 1993-01-15 | 마쯔시다덴기산교 가부시기가이샤 | 미세패턴형성방법 |
US5028366A (en) | 1988-01-12 | 1991-07-02 | Air Products And Chemicals, Inc. | Water based mold release compositions for making molded polyurethane foam |
US4848179A (en) * | 1988-02-16 | 1989-07-18 | Trw Inc. | Flexidigit robotic manipulator |
DE3805631A1 (de) * | 1988-02-24 | 1989-09-07 | Teldix Gmbh | Drehschwingungsantrieb |
US4891303A (en) * | 1988-05-26 | 1990-01-02 | Texas Instruments Incorporated | Trilayer microlithographic process using a silicon-based resist as the middle layer |
DE68906723T2 (de) * | 1988-06-10 | 1994-01-20 | Asahi Chemical Ind | Thermoplastisches Elastomer und darauf basierende lichtempfindliche Harzzusammensetzung und Druckplattenvorläufer, der die Zusammensetzung enthält. |
JPH0269936A (ja) | 1988-07-28 | 1990-03-08 | Siemens Ag | 半導体材料上の樹脂構造の形成方法 |
US4921778A (en) * | 1988-07-29 | 1990-05-01 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
US5108875A (en) * | 1988-07-29 | 1992-04-28 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
EP0355496A3 (en) | 1988-08-15 | 1990-10-10 | Sumitomo Heavy Industries Co., Ltd. | Position detector employing a sector fresnel zone plate |
JP2546350B2 (ja) | 1988-09-09 | 1996-10-23 | キヤノン株式会社 | 位置合わせ装置 |
US4964945A (en) * | 1988-12-09 | 1990-10-23 | Minnesota Mining And Manufacturing Company | Lift off patterning process on a flexible substrate |
US5439766A (en) | 1988-12-30 | 1995-08-08 | International Business Machines Corporation | Composition for photo imaging |
CA2010169A1 (en) | 1989-02-21 | 1990-08-21 | Masakazu Uekita | Multi-layer resist |
US4999280A (en) * | 1989-03-17 | 1991-03-12 | International Business Machines Corporation | Spray silylation of photoresist images |
US5169494A (en) * | 1989-03-27 | 1992-12-08 | Matsushita Electric Industrial Co., Ltd. | Fine pattern forming method |
JP3001607B2 (ja) * | 1989-04-24 | 2000-01-24 | シーメンス、アクチエンゲゼルシヤフト | 二層法における寸法安定な構造転写方法 |
EP0394741B1 (de) * | 1989-04-24 | 1997-06-25 | Siemens Aktiengesellschaft | Verfahren zur Erzeugung ätzresistenter Strukturen |
US5110514A (en) | 1989-05-01 | 1992-05-05 | Soane Technologies, Inc. | Controlled casting of a shrinkable material |
US5053318A (en) * | 1989-05-18 | 1991-10-01 | Shipley Company Inc. | Plasma processing with metal mask integration |
CA2011927C (en) * | 1989-06-02 | 1996-12-24 | Alan Lee Sidman | Microlithographic method for producing thick, vertically-walled photoresist patterns |
US4919748A (en) * | 1989-06-30 | 1990-04-24 | At&T Bell Laboratories | Method for tapered etching |
JP2704001B2 (ja) | 1989-07-18 | 1998-01-26 | キヤノン株式会社 | 位置検出装置 |
US5151754A (en) | 1989-10-06 | 1992-09-29 | Kabushiki Kaisha Toshiba | Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects |
US5362606A (en) | 1989-10-18 | 1994-11-08 | Massachusetts Institute Of Technology | Positive resist pattern formation through focused ion beam exposure and surface barrier silylation |
US5139925A (en) * | 1989-10-18 | 1992-08-18 | Massachusetts Institute Of Technology | Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser |
JP3197010B2 (ja) | 1990-03-05 | 2001-08-13 | 株式会社東芝 | 間隔設定方法及び間隔設定装置 |
US5328810A (en) * | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
JP3039788B2 (ja) * | 1990-05-18 | 2000-05-08 | ティーディーケイ株式会社 | 光ディスク用基板の製造方法および光ディスク |
JPH0423243A (ja) * | 1990-05-18 | 1992-01-27 | Ricoh Co Ltd | 光学的情報記録媒体の製造方法 |
JP2586692B2 (ja) * | 1990-05-24 | 1997-03-05 | 松下電器産業株式会社 | パターン形成材料およびパターン形成方法 |
JPH0470379A (ja) * | 1990-07-03 | 1992-03-05 | Oki Electric Ind Co Ltd | 印刷用マスタ製版方法 |
US5074607A (en) | 1990-09-05 | 1991-12-24 | Lin Jui C | Lock set with spindle lock |
JP2524436B2 (ja) * | 1990-09-18 | 1996-08-14 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 表面処理方法 |
DE4029912A1 (de) | 1990-09-21 | 1992-03-26 | Philips Patentverwaltung | Verfahren zur bildung mindestens eines grabens in einer substratschicht |
US5314772A (en) * | 1990-10-09 | 1994-05-24 | Arizona Board Of Regents | High resolution, multi-layer resist for microlithography and method therefor |
US5126006A (en) | 1990-10-30 | 1992-06-30 | International Business Machines Corp. | Plural level chip masking |
US5072126A (en) | 1990-10-31 | 1991-12-10 | International Business Machines Corporation | Promixity alignment using polarized illumination and double conjugate projection lens |
US5240878A (en) * | 1991-04-26 | 1993-08-31 | International Business Machines Corporation | Method for forming patterned films on a substrate |
US5212147A (en) | 1991-05-15 | 1993-05-18 | Hewlett-Packard Company | Method of forming a patterned in-situ high Tc superconductive film |
US5421981A (en) * | 1991-06-26 | 1995-06-06 | Ppg Industries, Inc. | Electrochemical sensor storage device |
JPH0521584A (ja) * | 1991-07-16 | 1993-01-29 | Nikon Corp | 保持装置 |
EP0524759A1 (en) | 1991-07-23 | 1993-01-27 | AT&T Corp. | Device fabrication process |
US5242711A (en) * | 1991-08-16 | 1993-09-07 | Rockwell International Corp. | Nucleation control of diamond films by microlithographic patterning |
JPH0553289A (ja) | 1991-08-22 | 1993-03-05 | Nec Corp | 位相シフトレチクルの製造方法 |
JPH0555654A (ja) * | 1991-08-26 | 1993-03-05 | Nec Corp | 圧電素子変位拡大機構 |
US5317386A (en) | 1991-09-06 | 1994-05-31 | Eastman Kodak Company | Optical monitor for measuring a gap between two rollers |
JPH0580530A (ja) * | 1991-09-24 | 1993-04-02 | Hitachi Ltd | 薄膜パターン製造方法 |
US5263073A (en) | 1991-12-20 | 1993-11-16 | Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College | Scanning systems for high resolution E-beam and X-ray lithography |
US5204739A (en) | 1992-02-07 | 1993-04-20 | Karl Suss America, Inc. | Proximity mask alignment using a stored video image |
US5244818A (en) * | 1992-04-08 | 1993-09-14 | Georgia Tech Research Corporation | Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits |
US5545367A (en) | 1992-04-15 | 1996-08-13 | Soane Technologies, Inc. | Rapid prototype three dimensional stereolithography |
EP0568478A1 (en) | 1992-04-29 | 1993-11-03 | International Business Machines Corporation | Darkfield alignment system using a confocal spatial filter |
US5376810A (en) | 1992-06-26 | 1994-12-27 | California Institute Of Technology | Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response |
US5601641A (en) | 1992-07-21 | 1997-02-11 | Tse Industries, Inc. | Mold release composition with polybutadiene and method of coating a mold core |
JPH06244269A (ja) * | 1992-09-07 | 1994-09-02 | Mitsubishi Electric Corp | 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法 |
US5431777A (en) | 1992-09-17 | 1995-07-11 | International Business Machines Corporation | Methods and compositions for the selective etching of silicon |
TW227628B (zh) * | 1992-12-10 | 1994-08-01 | Samsung Electronics Co Ltd | |
DE69405451T2 (de) | 1993-03-16 | 1998-03-12 | Koninkl Philips Electronics Nv | Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche |
US5348616A (en) | 1993-05-03 | 1994-09-20 | Motorola, Inc. | Method for patterning a mold |
US5380474A (en) * | 1993-05-20 | 1995-01-10 | Sandia Corporation | Methods for patterned deposition on a substrate |
US5324683A (en) | 1993-06-02 | 1994-06-28 | Motorola, Inc. | Method of forming a semiconductor structure having an air region |
JP2837063B2 (ja) | 1993-06-04 | 1998-12-14 | シャープ株式会社 | レジストパターンの形成方法 |
US6776094B1 (en) * | 1993-10-04 | 2004-08-17 | President & Fellows Of Harvard College | Kit For Microcontact Printing |
US5900160A (en) | 1993-10-04 | 1999-05-04 | President And Fellows Of Harvard College | Methods of etching articles via microcontact printing |
US6180239B1 (en) | 1993-10-04 | 2001-01-30 | President And Fellows Of Harvard College | Microcontact printing on surfaces and derivative articles |
US5512131A (en) | 1993-10-04 | 1996-04-30 | President And Fellows Of Harvard College | Formation of microstamped patterns on surfaces and derivative articles |
US5776748A (en) | 1993-10-04 | 1998-07-07 | President And Fellows Of Harvard College | Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor |
NL9401260A (nl) | 1993-11-12 | 1995-06-01 | Cornelis Johannes Maria Van Ri | Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan. |
KR970009858B1 (ko) | 1994-01-12 | 1997-06-18 | 엘지반도체 주식회사 | 다층 레지스트 패턴 형성방법 |
US5534101A (en) | 1994-03-02 | 1996-07-09 | Telecommunication Research Laboratories | Method and apparatus for making optical components by direct dispensing of curable liquid |
US5417802A (en) * | 1994-03-18 | 1995-05-23 | At&T Corp. | Integrated circuit manufacturing |
US5528118A (en) | 1994-04-01 | 1996-06-18 | Nikon Precision, Inc. | Guideless stage with isolated reaction stage |
US5453157A (en) | 1994-05-16 | 1995-09-26 | Texas Instruments Incorporated | Low temperature anisotropic ashing of resist for semiconductor fabrication |
US5670415A (en) | 1994-05-24 | 1997-09-23 | Depositech, Inc. | Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment |
US5425964A (en) | 1994-07-22 | 1995-06-20 | Rockwell International Corporation | Deposition of multiple layer thin films using a broadband spectral monitor |
JPH0864503A (ja) * | 1994-08-26 | 1996-03-08 | Ushio Inc | 膜質改質方法および装置 |
US5515167A (en) | 1994-09-13 | 1996-05-07 | Hughes Aircraft Company | Transparent optical chuck incorporating optical monitoring |
US5458520A (en) | 1994-12-13 | 1995-10-17 | International Business Machines Corporation | Method for producing planar field emission structure |
JPH08180457A (ja) * | 1994-12-27 | 1996-07-12 | Nec Corp | 光ディスク及びその製造方法 |
DE19509452A1 (de) * | 1995-03-22 | 1996-09-26 | Inst Mikrotechnik Mainz Gmbh | Werkzeug mit Entformvorrichtung zur Abformung mikrostrukturierter Bauteile |
US5849209A (en) | 1995-03-31 | 1998-12-15 | Johnson & Johnson Vision Products, Inc. | Mold material made with additives |
US5743998A (en) | 1995-04-19 | 1998-04-28 | Park Scientific Instruments | Process for transferring microminiature patterns using spin-on glass resist media |
US5948570A (en) * | 1995-05-26 | 1999-09-07 | Lucent Technologies Inc. | Process for dry lithographic etching |
JP3624476B2 (ja) | 1995-07-17 | 2005-03-02 | セイコーエプソン株式会社 | 半導体レーザ装置の製造方法 |
US5654238A (en) * | 1995-08-03 | 1997-08-05 | International Business Machines Corporation | Method for etching vertical contact holes without substrate damage caused by directional etching |
WO1997006012A1 (en) * | 1995-08-04 | 1997-02-20 | International Business Machines Corporation | Stamp for a lithographic process |
US5566584A (en) | 1995-08-31 | 1996-10-22 | Beta Squared, Inc. | Flexure support for a fixture positioning device |
US5545570A (en) | 1995-09-29 | 1996-08-13 | Taiwan Semiconductor Manufacturing Company | Method of inspecting first layer overlay shift in global alignment process |
US5849222A (en) | 1995-09-29 | 1998-12-15 | Johnson & Johnson Vision Products, Inc. | Method for reducing lens hole defects in production of contact lens blanks |
SE508373C2 (sv) | 1995-10-30 | 1998-09-28 | Obducat Ab | Kruptosystem för optiskt lagringsmedia |
US6482742B1 (en) | 2000-07-18 | 2002-11-19 | Stephen Y. Chou | Fluid pressure imprint lithography |
US6309580B1 (en) * | 1995-11-15 | 2001-10-30 | Regents Of The University Of Minnesota | Release surfaces, particularly for use in nanoimprint lithography |
US20040036201A1 (en) * | 2000-07-18 | 2004-02-26 | Princeton University | Methods and apparatus of field-induced pressure imprint lithography |
US5772905A (en) * | 1995-11-15 | 1998-06-30 | Regents Of The University Of Minnesota | Nanoimprint lithography |
US5747102A (en) | 1995-11-16 | 1998-05-05 | Nordson Corporation | Method and apparatus for dispensing small amounts of liquid material |
JP2842362B2 (ja) | 1996-02-29 | 1999-01-06 | 日本電気株式会社 | 重ね合わせ測定方法 |
US5669303A (en) * | 1996-03-04 | 1997-09-23 | Motorola | Apparatus and method for stamping a surface |
JP3832891B2 (ja) | 1996-03-28 | 2006-10-11 | 日本トムソン株式会社 | リニア電磁アクチュエータを用いたxyテーブル |
US5942443A (en) | 1996-06-28 | 1999-08-24 | Caliper Technologies Corporation | High throughput screening assay systems in microscale fluidic devices |
US5802914A (en) | 1996-05-30 | 1998-09-08 | Eastman Kodak Company | Alignment mechanism using flexures |
US5888650A (en) | 1996-06-03 | 1999-03-30 | Minnesota Mining And Manufacturing Company | Temperature-responsive adhesive article |
US5779799A (en) | 1996-06-21 | 1998-07-14 | Micron Technology, Inc. | Substrate coating apparatus |
US6074827A (en) | 1996-07-30 | 2000-06-13 | Aclara Biosciences, Inc. | Microfluidic method for nucleic acid purification and processing |
US6039897A (en) | 1996-08-28 | 2000-03-21 | University Of Washington | Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques |
JPH10106048A (ja) * | 1996-09-20 | 1998-04-24 | Sony Disc Technol:Kk | 情報記録ディスク用のスタンパの作成処理方法及びその装置並びにスタンパ及び情報記録ディスク |
DE19648844C1 (de) * | 1996-11-26 | 1997-09-18 | Jenoptik Jena Gmbh | Einrichtung und Verfahren zur Abformung mikrosystemtechnischer Strukturen |
US5895263A (en) * | 1996-12-19 | 1999-04-20 | International Business Machines Corporation | Process for manufacture of integrated circuit device |
US6143412A (en) | 1997-02-10 | 2000-11-07 | President And Fellows Of Harvard College | Fabrication of carbon microstructures |
DE19710420C2 (de) | 1997-03-13 | 2001-07-12 | Helmut Fischer Gmbh & Co | Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz |
US5948470A (en) | 1997-04-28 | 1999-09-07 | Harrison; Christopher | Method of nanoscale patterning and products made thereby |
US5948219A (en) | 1997-05-07 | 1999-09-07 | Advanced Micro Devices, Inc. | Apparatus for selectively exposing a semiconductor topography to an electric field |
US5926690A (en) * | 1997-05-28 | 1999-07-20 | Advanced Micro Devices, Inc. | Run-to-run control process for controlling critical dimensions |
US6033977A (en) * | 1997-06-30 | 2000-03-07 | Siemens Aktiengesellschaft | Dual damascene structure |
JPH1143633A (ja) * | 1997-07-28 | 1999-02-16 | Brother Ind Ltd | スタンプインク |
US5912049A (en) | 1997-08-12 | 1999-06-15 | Micron Technology, Inc. | Process liquid dispense method and apparatus |
US5877861A (en) | 1997-11-14 | 1999-03-02 | International Business Machines Corporation | Method for overlay control system |
JPH11163103A (ja) * | 1997-11-25 | 1999-06-18 | Hitachi Ltd | 半導体装置の製造方法および製造装置 |
US5840955A (en) * | 1997-11-25 | 1998-11-24 | Sockell; Edward J. | Waste minimization and product recovery process |
US5991022A (en) | 1997-12-09 | 1999-11-23 | N&K Technology, Inc. | Reflectance spectrophotometric apparatus with toroidal mirrors |
US6150680A (en) | 1998-03-05 | 2000-11-21 | Welch Allyn, Inc. | Field effect semiconductor device having dipole barrier |
TW352421B (en) | 1998-04-27 | 1999-02-11 | United Microelectronics Corp | Method and process of phase shifting mask |
DE19819761C2 (de) | 1998-05-04 | 2000-05-31 | Jenoptik Jena Gmbh | Einrichtung zur Trennung eines geformten Substrates von einem Prägewerkzeug |
US5869730A (en) * | 1998-05-13 | 1999-02-09 | The Standard Oil Company | Oxidant reduction by manipulation and/or treatment of aqueous acrylonitrile process streams |
JP3780700B2 (ja) * | 1998-05-26 | 2006-05-31 | セイコーエプソン株式会社 | パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法 |
US6150231A (en) * | 1998-06-15 | 2000-11-21 | Siemens Aktiengesellschaft | Overlay measurement technique using moire patterns |
US6860971B2 (en) * | 1998-06-15 | 2005-03-01 | Gregory J. Ward | Process for recovery of olefinically unsaturated nitriles |
US5907782A (en) * | 1998-08-15 | 1999-05-25 | Acer Semiconductor Manufacturing Inc. | Method of forming a multiple fin-pillar capacitor for a high density dram cell |
US6096655A (en) * | 1998-09-02 | 2000-08-01 | International Business Machines, Corporation | Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure |
US5947027A (en) * | 1998-09-08 | 1999-09-07 | Motorola, Inc. | Printing apparatus with inflatable means for advancing a substrate towards the stamping surface |
JP3478141B2 (ja) * | 1998-09-14 | 2003-12-15 | 信越半導体株式会社 | シリコンウエーハの熱処理方法及びシリコンウエーハ |
US6218316B1 (en) * | 1998-10-22 | 2001-04-17 | Micron Technology, Inc. | Planarization of non-planar surfaces in device fabrication |
US6204922B1 (en) | 1998-12-11 | 2001-03-20 | Filmetrics, Inc. | Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample |
US6296739B1 (en) * | 1999-01-08 | 2001-10-02 | The Standard Oil Company | Operation of heads column |
US6168845B1 (en) | 1999-01-19 | 2001-01-02 | International Business Machines Corporation | Patterned magnetic media and method of making the same using selective oxidation |
US6274294B1 (en) * | 1999-02-03 | 2001-08-14 | Electroformed Stents, Inc. | Cylindrical photolithography exposure process and apparatus |
JP3618057B2 (ja) * | 1999-03-03 | 2005-02-09 | シャープ株式会社 | 光学素子の製造装置 |
US6565928B2 (en) * | 1999-03-08 | 2003-05-20 | Tokyo Electron Limited | Film forming method and film forming apparatus |
US6334960B1 (en) | 1999-03-11 | 2002-01-01 | Board Of Regents, The University Of Texas System | Step and flash imprint lithography |
US6569481B1 (en) * | 1999-03-29 | 2003-05-27 | The Quaker Oats Company | Method for making a puffed food starch product |
US6387783B1 (en) * | 1999-04-26 | 2002-05-14 | International Business Machines Corporation | Methods of T-gate fabrication using a hybrid resist |
JP3939048B2 (ja) * | 1999-05-17 | 2007-06-27 | セイコーインスツル株式会社 | 圧電アクチュエータ |
US6188150B1 (en) * | 1999-06-16 | 2001-02-13 | Euv, Llc | Light weight high-stiffness stage platen |
US6255022B1 (en) * | 1999-06-17 | 2001-07-03 | Taiwan Semiconductor Manufacturing Company | Dry development process for a bi-layer resist system utilized to reduce microloading |
US6467761B1 (en) * | 1999-06-21 | 2002-10-22 | The United States Of America As Represented By The Secretary Of Commerce | Positioning stage |
EP1065567A3 (en) * | 1999-06-29 | 2001-05-16 | Applied Materials, Inc. | Integrated critical dimension control |
US6190929B1 (en) | 1999-07-23 | 2001-02-20 | Micron Technology, Inc. | Methods of forming semiconductor devices and methods of forming field emission displays |
US6383928B1 (en) * | 1999-09-02 | 2002-05-07 | Texas Instruments Incorporated | Post copper CMP clean |
US6329256B1 (en) | 1999-09-24 | 2001-12-11 | Advanced Micro Devices, Inc. | Self-aligned damascene gate formation with low gate resistance |
US6873087B1 (en) * | 1999-10-29 | 2005-03-29 | Board Of Regents, The University Of Texas System | High precision orientation alignment and gap control stages for imprint lithography processes |
DE19958966A1 (de) * | 1999-12-07 | 2001-06-13 | Infineon Technologies Ag | Erzeugung von Resiststrukturen |
US6091485A (en) | 1999-12-15 | 2000-07-18 | N & K Technology, Inc. | Method and apparatus for optically determining physical parameters of underlayers |
JP3847512B2 (ja) * | 2000-02-07 | 2006-11-22 | 株式会社日立メディコ | 磁気共鳴イメージング装置 |
US6245581B1 (en) * | 2000-04-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method and apparatus for control of critical dimension using feedback etch control |
ATE280436T1 (de) * | 2000-06-22 | 2004-11-15 | Unaxis Balzers Ag | Beschichtungsanlage für scheibenförmige werkstücke |
SG142150A1 (en) * | 2000-07-16 | 2008-05-28 | Univ Texas | High-resolution overlay alignment systems for imprint lithography |
US6696220B2 (en) * | 2000-10-12 | 2004-02-24 | Board Of Regents, The University Of Texas System | Template for room temperature, low pressure micro-and nano-imprint lithography |
WO2002006902A2 (en) * | 2000-07-17 | 2002-01-24 | Board Of Regents, The University Of Texas System | Method and system of automatic fluid dispensing for imprint lithography processes |
JP2004505273A (ja) * | 2000-08-01 | 2004-02-19 | ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム | 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法 |
US6326627B1 (en) | 2000-08-02 | 2001-12-04 | Archimedes Technology Group, Inc. | Mass filtering sputtered ion source |
US6730256B1 (en) * | 2000-08-04 | 2004-05-04 | Massachusetts Institute Of Technology | Stereolithographic patterning with interlayer surface modifications |
US6777170B1 (en) | 2000-08-04 | 2004-08-17 | Massachusetts Institute Of Technology | Stereolithographic patterning by variable dose light delivery |
US6455411B1 (en) * | 2000-09-11 | 2002-09-24 | Texas Instruments Incorporated | Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics |
US6632742B2 (en) * | 2001-04-18 | 2003-10-14 | Promos Technologies Inc. | Method for avoiding defects produced in the CMP process |
US6489068B1 (en) * | 2001-02-21 | 2002-12-03 | Advanced Micro Devices, Inc. | Process for observing overlay errors on lithographic masks |
US6791669B2 (en) * | 2001-04-12 | 2004-09-14 | Nikon Corporation | Positioning device and exposure apparatus including the same |
WO2002086602A1 (en) * | 2001-04-17 | 2002-10-31 | M2N, Inc. | Micro-actuator and micro-device using the same |
US6534418B1 (en) | 2001-04-30 | 2003-03-18 | Advanced Micro Devices, Inc. | Use of silicon containing imaging layer to define sub-resolution gate structures |
US6541360B1 (en) | 2001-04-30 | 2003-04-01 | Advanced Micro Devices, Inc. | Bi-layer trim etch process to form integrated circuit gate structures |
US6847433B2 (en) * | 2001-06-01 | 2005-01-25 | Agere Systems, Inc. | Holder, system, and process for improving overlay in lithography |
TW488080B (en) * | 2001-06-08 | 2002-05-21 | Au Optronics Corp | Method for producing thin film transistor |
US6561706B2 (en) * | 2001-06-28 | 2003-05-13 | Advanced Micro Devices, Inc. | Critical dimension monitoring from latent image |
US20030080472A1 (en) * | 2001-10-29 | 2003-05-01 | Chou Stephen Y. | Lithographic method with bonded release layer for molding small patterns |
US6716767B2 (en) * | 2001-10-31 | 2004-04-06 | Brewer Science, Inc. | Contact planarization materials that generate no volatile byproducts or residue during curing |
US6737202B2 (en) * | 2002-02-22 | 2004-05-18 | Motorola, Inc. | Method of fabricating a tiered structure using a multi-layered resist stack and use |
US7455955B2 (en) * | 2002-02-27 | 2008-11-25 | Brewer Science Inc. | Planarization method for multi-layer lithography processing |
US6743713B2 (en) * | 2002-05-15 | 2004-06-01 | Institute Of Microelectronics | Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC) |
US6932934B2 (en) * | 2002-07-11 | 2005-08-23 | Molecular Imprints, Inc. | Formation of discontinuous films during an imprint lithography process |
US7077992B2 (en) * | 2002-07-11 | 2006-07-18 | Molecular Imprints, Inc. | Step and repeat imprint lithography processes |
US6900881B2 (en) * | 2002-07-11 | 2005-05-31 | Molecular Imprints, Inc. | Step and repeat imprint lithography systems |
US6908861B2 (en) * | 2002-07-11 | 2005-06-21 | Molecular Imprints, Inc. | Method for imprint lithography using an electric field |
US7070405B2 (en) * | 2002-08-01 | 2006-07-04 | Molecular Imprints, Inc. | Alignment systems for imprint lithography |
US6916584B2 (en) * | 2002-08-01 | 2005-07-12 | Molecular Imprints, Inc. | Alignment methods for imprint lithography |
US7027156B2 (en) * | 2002-08-01 | 2006-04-11 | Molecular Imprints, Inc. | Scatterometry alignment for imprint lithography |
WO2004030196A2 (en) * | 2002-09-27 | 2004-04-08 | University Of Waterloo | Micro-positioning device |
EP1593477A1 (en) * | 2003-01-15 | 2005-11-09 | Scivax Corporation | Device, method, and system for pattern forming |
KR100497729B1 (ko) * | 2003-02-21 | 2005-06-28 | 한국과학기술원 | 유연기구 메커니즘을 이용한 3축 직선운동 스테이지 |
US6770852B1 (en) * | 2003-02-27 | 2004-08-03 | Lam Research Corporation | Critical dimension variation compensation across a wafer by means of local wafer temperature control |
CA2552334A1 (en) * | 2004-01-09 | 2005-08-04 | The Standard Oil Company | Process for the purification of olefinically unsaturated nitriles |
US20050275311A1 (en) * | 2004-06-01 | 2005-12-15 | Molecular Imprints, Inc. | Compliant device for nano-scale manufacturing |
KR100586885B1 (ko) * | 2004-08-06 | 2006-06-08 | 삼성전자주식회사 | 초정밀 위치제어 시스템 |
ES2717335T3 (es) * | 2006-09-27 | 2019-06-20 | Signify Holding Bv | Dispositivo y método de entrada de selección de color |
-
2000
- 2000-10-27 US US09/698,317 patent/US6873087B1/en not_active Expired - Lifetime
- 2000-10-30 AU AU14488/01A patent/AU1448801A/en not_active Abandoned
- 2000-10-30 JP JP2001535125A patent/JP2003517727A/ja active Pending
- 2000-10-30 WO PCT/US2000/030041 patent/WO2001033300A2/en active Application Filing
- 2000-10-30 EP EP10197376A patent/EP2315077A1/en not_active Withdrawn
- 2000-10-30 EP EP00976753.4A patent/EP1240550B1/en not_active Expired - Lifetime
- 2000-10-30 EP EP10197359A patent/EP2315076A1/en not_active Withdrawn
-
2003
- 2003-03-04 HK HK03101591.8A patent/HK1049521A1/zh unknown
- 2003-07-10 US US10/616,799 patent/US20040149687A1/en not_active Abandoned
- 2003-07-10 US US10/617,321 patent/US6870301B2/en not_active Expired - Lifetime
-
2004
- 2004-02-10 US US10/775,707 patent/US6922906B2/en not_active Expired - Lifetime
- 2004-02-24 US US10/785,248 patent/US6955868B2/en not_active Expired - Lifetime
- 2004-02-27 US US10/788,685 patent/US7060402B2/en not_active Expired - Lifetime
- 2004-03-23 US US10/806,956 patent/US7098572B2/en not_active Expired - Fee Related
-
2005
- 2005-04-29 US US11/118,070 patent/US7374415B2/en not_active Expired - Lifetime
-
2010
- 2010-07-16 JP JP2010161891A patent/JP2011003910A/ja active Pending
- 2010-07-16 JP JP2010161895A patent/JP5603689B2/ja not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
US20050089774A1 (en) | 2005-04-28 |
EP2315076A1 (en) | 2011-04-27 |
US7374415B2 (en) | 2008-05-20 |
US6922906B2 (en) | 2005-08-02 |
EP1240550A2 (en) | 2002-09-18 |
US6873087B1 (en) | 2005-03-29 |
JP2011029641A (ja) | 2011-02-10 |
US20040168588A1 (en) | 2004-09-02 |
US6955868B2 (en) | 2005-10-18 |
US7060402B2 (en) | 2006-06-13 |
US20040251775A1 (en) | 2004-12-16 |
EP2315077A1 (en) | 2011-04-27 |
JP5603689B2 (ja) | 2014-10-08 |
US20040169441A1 (en) | 2004-09-02 |
AU1448801A (en) | 2001-05-14 |
US7098572B2 (en) | 2006-08-29 |
JP2003517727A (ja) | 2003-05-27 |
JP2011003910A (ja) | 2011-01-06 |
WO2001033300A2 (en) | 2001-05-10 |
US20040104641A1 (en) | 2004-06-03 |
US20040149687A1 (en) | 2004-08-05 |
US20050264132A1 (en) | 2005-12-01 |
US6870301B2 (en) | 2005-03-22 |
EP1240550B1 (en) | 2013-05-08 |
WO2001033300A3 (en) | 2002-01-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
HK1049521A1 (zh) | 石版印刷工藝中的高精度方位調整及縫隙控制階段 | |
SG95621A1 (en) | Uv cure process and tool for low k film formation | |
IL139368A0 (en) | Process control for microlithography | |
AU1603101A (en) | Nitrile/fluoroalcohol-containing photoresists and associated processes for microlithography | |
IL164996A0 (en) | Printing plates and processes for the production thereof | |
AU2001280980A1 (en) | Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography | |
IL141803A0 (en) | Photoresists, polymers and processes for microlithography | |
AU2003261317A1 (en) | Scatterometry alignment for imprint lithography | |
AU1233200A (en) | Photoresists and processes for microlithography | |
DE69524247D1 (de) | Stempel für lithographie-verfahren | |
AU2576000A (en) | Stage device and production method thereof, position control method, exposure system and production method thereof, and device and production method thereof | |
AU2186099A (en) | Apparatus for supporting base plate, apparatus and method for transferring base plate, method of replacing base plate, and exposure apparatus and method of manufacturing the same | |
AU2002359600A1 (en) | Lithographic template | |
AU2001260985A1 (en) | Control technique for microlithography lasers | |
AU1565801A (en) | Beam positioning in microlithographic writing | |
EP1119028A4 (en) | DEVICE FOR HARDENING RESIST | |
AU2691800A (en) | Exposure system, lithography system and conveying method, and device production method and device | |
GB0212202D0 (en) | Mask design and method for controlled profile fabrication | |
GB2350875B (en) | High precision adjuster | |
GB2352825B (en) | Top-coating composition for photoresist and process for forming fine pattern using the same | |
AU2001286245A1 (en) | Exposure system, exposure method, and production method for device | |
SI1165528T1 (en) | Novel morpholine derivatives, method for the production thereof and pharmaceutical preparations containing said derivatives | |
AU7641898A (en) | Ion paris, method for the production and use thereof as contrast agents | |
CA88122S (en) | Face mask | |
AU1686500A (en) | Mask |