JP2011029641A - インプリントリソグラフィ - Google Patents

インプリントリソグラフィ Download PDF

Info

Publication number
JP2011029641A
JP2011029641A JP2010161895A JP2010161895A JP2011029641A JP 2011029641 A JP2011029641 A JP 2011029641A JP 2010161895 A JP2010161895 A JP 2010161895A JP 2010161895 A JP2010161895 A JP 2010161895A JP 2011029641 A JP2011029641 A JP 2011029641A
Authority
JP
Japan
Prior art keywords
template
substrate
orientation
imprint
imprint lithography
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010161895A
Other languages
English (en)
Other versions
JP5603689B2 (ja
Inventor
Byung Jin Choi
チョイ,ビュン・ジン
Sidlgata V Sreenivasan
スリーニヴァサン,シドゥルガタ・ブイ
Stephen C Johnson
ジョンソン,スティーブン・シイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2011029641A publication Critical patent/JP2011029641A/ja
Application granted granted Critical
Publication of JP5603689B2 publication Critical patent/JP5603689B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Micromachines (AREA)

Abstract

【課題】インプリント・リソグラフィにおいて、インプリント及び分離のプロセス中、インプリント像を有するテンプレートを所定位置に保持し、インプリントの後で基板からテンプレートを分離する方法を提供する。
【解決手段】インプリントおよび分離のプロセス中に、テンプレートを変形させることなく、テンプレート12の側壁の領域およびテンプレート12の裏面全ての領域と面接触して、テンプレート12の保持を可能にすることができるテンプレートホルダ(支持)130を設けた。
【選択図】図13

Description

本発明は一般的に小さなデバイスの製造の方法に関し、特に、半導体ウェハのような基板に非常に小さな形状を製造することを可能にする高精度インプリント (imprint)リソグラフィのためのシステム、プロセスおよび関係デバイスに関する。より具体的には、本発明は、基板に関するテンプレートの方向付けおよびアライメントならびにインプリントされた形状の破壊のない剥離のための方法および構成要素に関する。
インプリント・リソグラフィを使用して100nm以下のデバイスを製造するためのプロセスに関して、本発明の背景を説明する。これは、本発明を限定しない。
製造時に大規模生産に使用されるリソグラフィ技術には、例として、フォトリソグラフィ技術、および電子ビーム・リソグラフィ、イオン・ビーム・リソグラフィおよびX線リソグラフィのような他の用途向けのリソグラフィ技術がある。インプリント・リソグラフィは、これらの技術と異なる種類のリソグラフィである。最近の研究で、インプリント・リソグラフィ技術は50nmよりも小さな形状を印刷できることが示された。そのようにして、インプリント・リソグラフィは、100nm以下の領域での半導体製造の選択肢として、フォトリソグラフィに取って代わる可能性がある。また、データ記憶用のパターン形成された磁気媒体、微小光デバイス、MEMS、生物学的および化学的デバイス、X線光デバイスなどの様々な種類のデバイスの経済的な製造を可能にすることができる。
インプリント・リソグラフィの分野での現在の研究で、インプリント像を含むテンプレートとその像を受け取る基板との間の方向付けアライメント動作を行うことができるデバイスが必要であることが明らかになった。テンプレートと基板の間のギャップを注意深く精密に制御することが、非常に重要である。うまくいくためには、インプリント領域全体にわたって数ナノメートル以内でのギャップの制御が必要であり、同時にテンプレートと基板の間の相対的な横方向の動きを無くしなければならいない。この相対的な移動のないことで、重ね合せアライメントの問題からギャップ制御の問題を完全に分離することが可能になるので、相対的な移動のないことがまた好ましい。
インプリントの特定の目的のために、2つの平らな表面を互いにできるだけ近接しかつほぼ平行に保つことが必要である。この要件は、他の近接リソグラフィ技術に比べて、非常に厳しい。具体的に言うと、インプリント・プロセスが100nm以下程度でうまくいくためには、インプリント領域全体にわたってばらつきが50nmより小さくて、約100nmの平均ギャップが必要になる。例えばMEMSまたは微小光デバイスのような、もっと大きな形状については、要件はそのように厳しくない。インプリント・プロセスは、テンプレートとウェハの間に必然的に力を含むので、インプリント・プロセスおよび分離プロセス中は、ウェハ表面をできるだけ静止した状態で維持することもまた望ましい。リソグラフィで製造された多くの層を含むデバイスの隣合う2つの層を正確に位置合わせするために、重ね合せアライメントが必要である。x−y面内のウェハの動きによって、重ね合せアライメントのための位置合わせの目印を見失うことがある。
方向付けと運動制御に関係する従来技術参考文献には、Paul C.Watsonによる「Remote Center ComplianceSystem」という名称の米国特許第4,098,001号と「Remote Axis AdmittanceSystem」という名称の米国特許第4,202,107号、およびJames L.NevinsおよびJosephPadavanoによる「Folded Remote Center CompliantDevice」という名称の米国特許第4,355,469号がある。これらの特許は、ロボット機械における挿入操作および結合操作、ドッキングおよび組立てデバイスの支援に適した高精度デカップリング方向付けステージに関する。これらの従来技術特許と本発明の類似するところは、遠く離れた中心の周りに回転運動を生成する変形可能な構成要素に対する対応にある。そのような回転運動は、例えば、同時に作業者と物体をつなぐ3個の円筒形構成要素の変形によって生成される。
しかし、従来技術の特許は、横方向およびねじれの動きを起こさないために必要な高い剛性に関する設計を開示していない。実際、組立てプロセスでの位置合わせ不良を克服するためには、自動化組立てでは、そのような横方向の動きは望ましい。そのような動きは不要な重ね合わせ誤差につながり、さらに製造された構造を剥ぎ取ることになるかもしれないので、インプリント・リソグラフィではそのような動きは非常に望ましくない。したがって、自動化組立ての運動要件は、高精度インプリント・リソグラフィの要件とは異なっている。米国特許第4,355,469号に示されている設計は、最初の2つの特許に示される設計よりも大きな横方向および回転の誤差を吸収するように意図されているが、この設計には、インプリント・リソグラフィにとって望ましくない横方向およびねじれの動きを抑制する機能がない。
他の従来技術の方法がStephenY.Chouによって米国特許第5,772,905号(‘905特許)に開示されている。この特許では、基板に塗布された薄膜に超微細(25nm以下)パターンを生成するためのリソグラフィの方法および装置が記載されており、ここでは、少なくとも1つの突出する形状を有する型が基板に支持された薄膜に押し込まれる。型の突出する形状により、薄膜の窪みが生成される。先ず、その膜から型が取り去られる。それから、窪み内の薄膜を除去して下の基板を露出させるように、薄膜を処理する。このようにして、型のパターンが薄膜に置き換わり、リソグラフィが完成する。薄膜のパターンは、次のプロセスで、基板または基板に付与された他の材料に複製される。
‘905特許のプロセスは、微小成形を使用して材料に形状を浮彫り加工するために高圧および高温を使用することを含む。しかし、高温および高圧によって不要な応力がデバイスに加わることになるので、インプリント・リソグラフィでは高温高圧の使用は望ましくない。例えば、高温によって、テンプレートと基板の膨張にばらつきが生じる。テンプレートと基板は異なる材料で作られることが多いので、膨張によって重大な層間位置合わせの問題が生じる。膨張の差を回避するために、同じ材料を使用することができるが、これは材料の選択肢を制限し、全体的な製造コストを高くする。インプリント・リソグラフィは室温および低圧で実施されるのが理想的である。
さらに、‘905特許は、プロセスを行うために使用される実際の装置または機器に関して詳細を記載していない。生産の状況でインプリント・リソグラフィ・プロセスを実施するためには、注意深く設計されたシステムを利用しなければならない。したがって、生産の状況で丈夫な動作を行うことができる機械が必要である。‘905特許は、そのようなシステムまたは機械の教示、提案または開示をしていない。
他の問題は、インプリントの後で基板からテンプレートを分離することに関係している。一般に、テンプレート−基板境界面の接触領域がほぼ一様であるために、層を引き離すために大きな分離力が必要である。しかし、そのような力は、基板にインプリントされた形状の剥ぎ取りおよび/または破壊につながり、歩留り低下をもたすことがある。
手短に言えば、現在利用可能な方向付けおよび重ね合せのアライメント方法は、インプリント・リソグラフィで使用するのには適していない。望ましい方向付けアライメントと望ましくない横方向の動きの間の結合によって、1フィールドの印刷の前に方向付け調整が必要になるたびに、オーバレイ・アライメント誤差を繰り返すことになる(1フィールドは、例えば8インチウェハの1インチ×1インチの領域であることがある)。
インプリント・リソグラフィの力強い実施のために精密ステージをさらに開発することが、大規模インプリント・リソグラフィ製造のために必要である。そのように、インプリント・リソグラフィの改良が必要とされている。高圧および高温のない製造技術としてインプリント・リソグラフィを使用する方法は、数多くの利点を与えるであろう。
本発明の目的は、半導体デバイスと、データ記憶用パターン形成磁気媒体、微小光デバイス、MEMS、生物学的および化学的デバイス、X線光デバイスなどを含んだ様々な他のデバイスとの経済的な商業用製造で使用するためのインプリント・リソグラフィ・プロセスを提供することである。
本発明の他の目的は、高温および高圧の使用を回避するインプリント・リソグラフィのプロセスを提供することである。
本発明のさらに他の目的は、リソグラフ形成したテンプレートと、このテンプレートから所望の形状を転写すべき基板との間のギャップを精密に制御する方法を提供して、全てのインプリント・リソグラフィ方法にとって丈夫なプロセスを可能にすることである。
本発明のまたさらに他の目的は、インプリントされた形状が完全な状態のままで残り、基板が静止したままであり、さらに分離力が小さくなるように、インプリントの後で基板からテンプレートを分離する方法を提供することである。
本発明のさらに他の目的は、インプリント・リソグラフィ中に基板を所定の位置に保持する方法を提供することである。
これら、および他の目的は、ここで開示されまた特許請求される本発明によって達成される。本発明は、インプリント・リソグラフィ・プロセスで使用するのに適した、基板に対してテンプレートを高精度に位置付けするために使用することができるプロセスおよび関連したデバイスを提供する。本発明は、精密な方向付けアライメントをしないで、テンプレートと基板の間の精度の粗い方向付けを制御する事前較正ステージを含む。方向付けステージは、基板に対するテンプレートの高精度方向付けアライメントならびにこれら2つの間のギャップの精密な制御を実現する。インプリントの後で、インプリントされた形状が剥ぎ取られずまたは破壊されないことを保証する「剥しと引っ張り」の方法を使用して、テンプレートを基板から取り去る。本発明の「剥しと引っ張り」の方法は、基板を動かすことなく、また大きな分離の力を用いないで行われる。
したがって、インプリント・リソグラフィのためのプロセスを一実施形態で開示する。本プロセスは、テンプレートと基板の間にギャップを作るように、テンプレートと基板を離間した関係で互いに方向付けするステップを含む。次に、このギャップに低粘度の液体を充填し、この低粘度の液体は、ギャップの形となるように硬化させる。パターンがテンプレートから基板に転写されて所望の形状を基板表面に残すように、テンプレートと基板を分離する。
低粘度の液体がギャップ内に泡を形成しないようにして供給された状態で、硬化ステップが、室温および低圧で行われるのが好ましい。テンプレートと基板の境界面全体にわたってギャップがほぼ一様であるように、方向付けを行う。硬化の後でテンプレートの形状がその液体に維持されるように、硬化のために低粘度液体を紫外線光にさらす。任意のシリコン・ベースの単量体または他のUV硬化可能有機材料をこの目的に使用することができる。さらに、前記UV硬化可能材料と基板の間に転写層を使用して、高アスペクト比の形状を得ることができる。
本発明に従って、基板からテンプレートを分離することは、基板表面から所望の形状のどこも剥ぎ取ることなしに達成される。分離時には、形状が破壊されないような方法で、基板からテンプレートを「剥がし」さらに「引っ張る」。
インプリント・リソグラフィ時に、基板表面に対してテンプレートを移動し位置合わせすることができるシステムもまた開示する。本システムは、テンプレートと基板の表面の間にギャップを作るように、基板に対してテンプレートの精度の粗い移動およびアライメントを行う事前較正ステージを含む。方向付けステージは、この事前較正ステージに結合され、さらに、テンプレートと基板の境界面全体にわたってギャップがほぼ一様であるように、テンプレートの高精度の移動およびアライメントを行うように構成されている。
方向付けステージは、第1の方向付け軸の周りにテンプレートを動かし位置合わせするための第1の方向付けサブ・ステージ、および第2の方向付け軸の周りにテンプレートを動かし位置合わせするための第2の方向付けサブ・ステージを含む。第1の方向付けサブ・ステージおよび第2の方向付けサブ・ステージは、その軸が一点で交差しかつその軸がテンプレート−基板境界面上にあるように、互いに結合している。
第1の方向付けサブ・ステージは、さらに、第1の方向付け軸の周りに第1のたわみ部材が回転できるようにようにするためのたわみ継目を有する第1のたわみ部材を含む。同様に、第2の方向付けサブ・ステージは、さらに、第1のたわみ部材に結合されかつ第2の方向付け軸の周りに第2のたわみ部材が回転できるようにするためのたわみ継目を有する第2のたわみ部材を含む。インプリント中にテンプレートを固定するために、第2の方向付けサブ・ステージに支持体を結合することができる。第1および第2の方向付け軸の周りのたわみ継目の動作によって、テンプレートと基板の間に一様なギャップが維持されるように、基板に対するテンプレートの高精度の移動およびアライメントが可能になる。
一実施形態では、第1の方向付け軸の周りに第1の方向付けサブ・ステージが動くようにするために、第1の方向付けサブ・ステージの周りに4つのたわみ継目が使用され、予め配置されている。同様に、第2の方向付け軸の周りに第2の方向付けサブ・ステージが動くようにするために、第2の方向付けサブ・ステージの周りに4つのたわみ継目が使用され、予め配置されている。たわみ継目は、第1の方向付け軸と第2の方向付け軸の両方を含む面内にある単一の点の周りに、第1のたわみ部材および第2のたわみ部材がピボットするように構成されている。
さらに、インプリント・リソグラフィ中に基板に対してテンプレートの高精度の移動およびアライメントを行う方向付けステージを開示する。方向付けステージは、それ自体から延びる第1および第2の腕を有する第1のたわみ部材を含む。この各腕は第1の方向付け軸の周りの第1のたわみ部材のピボット動きを可能にする一組のたわみ継目を含む。それ自体から延びる第3および第4の腕を有する第2のたわみ部材が設けられ、その各腕はたわみ継目を含み、このたわみ継目によって第2の方向付け軸の周りの第2のたわみ部材のピボット動きが可能になる。支持体は、第2のたわみ部材に結合され、かつインプリント中にテンプレートを所定の位置に保持するように構成されている。さらに、第1および第2のたわみ部材は、第1および第2の方向付け軸が交わるテンプレート上の点の周りで支持体のテンプレートが動くように、接合されるように構成されている。
好ましくは、各たわみ部材のたわみ継目は互いに平行であり、さらに、可撓性材料で組み立てられている。この点で、その腕は、対応するたわみ部材に付けられた第1の切欠きと固定された物体への取り付けのための第2の切欠きとを含み、その第1の切欠きと第2の切欠きの間に剛性体部が延びている。
動きとアライメントのために、方向付けステージは、支持体をピボット点の周りに回転させるようにたわみ部材と動作可能に接触するアクチュエータを含むことができる。そのアクチュエータは、短くなったり長くなったりして、たわみ継目を回転させることができるピエゾ・アクチュエータのタイプである。
また、インプリントすべき基板に接触するためにそれ自体から延びる、構造を有する実質的に平らな上面を持つチャック体を含むインプリント・リソグラフィ用真空チャックを開示する。真空流システムが設けられ、チャック体を通って上面に延びて、基板をその構造と接触した状態で保持する吸引力を生成する。その構造は、様々な実施形態に従ったピンまたは溝でよい。真空チャックは、オプティカル・フラットを通して真空流穴をドリルすることで製造される。また、オプティカル・フラットの上面にリソグラフィでパターン形成し、その後所望の表面状態を生成するように、オプティカル・フラットをエッチングする。
本発明のさらに他の態様に従って、インプリントの後で実質的に損なわれない所望の形状を基板に残すように、さらに小さな分離力をもたらすように、基板からテンプレートを分離するプロセスを開示する。本プロセスは、基板からテンプレートの剥し分離を始めるために第1の力を加えるステップと、基板からテンプレートの引っ張り分離を行うために同時に第2の力を加えるステップとを含む。第1および第2の力は、基板から所望の形状を剥ぎ取らないように、すなわち破壊しないように、さらに分離力を減らすように加えられる。
本発明の技術的な利点は、高温または高圧なしでインプリント・リソグラフィを達成することである。
本発明の他の技術的な利点は、インプリントされた形状を剥ぎ取ることなく、基板からテンプレートを分離することが行われることである。
本発明のさらに他の技術的な利点は、テンプレートの精度の粗いアライメントがダイのバッチごとに1回行われるので、製造サイクルが短くなることである。
添付の図面に関連して行われる次の詳細な説明を参照することで、上記の目的および利点ならびに特定の実施形態をより適切に理解できる。
テンプレートと基板の間の望ましくないギャップを示す図である。 本発明に従ったインプリント・リソグラフィ・プロセスの1つを示す図である。 図2Aから2Eのインプリント・リソグラフィ・プロセスの一連のステップを示すプロセスの流れ図である。 本発明の一実施形態に従った精度の粗い較正ステージおよび高精度方向付けアライメントならびにギャップ制御用ステージの両方を含んだ方向付けアライメントならびにギャップ制御用システムのアセンブリを示す図である。 図4のシステムの分解図である。 本発明の一実施形態に従ったたわみ継目を有する第1および第2それぞれのたわみ部材の形の第1および第2の方向付けサブ・ステージを示す図である。 方向付け軸が単一のピボット点に集まるように互いに結合された第1および第2のたわみ部材と一緒に組み立てられた高精度方向付けステージを示す図である。 一実施形態に従った高精度方向付けステージに結合された精度の粗い較正ステージ(または、事前較正ステージ)の組立て図である。 方向付け軸となるたわみ継目の動きを示す4本バー・リンク機構の略図である。 ピエゾ・アクチュエータと一緒に組み立てられた方向付けステージの側面図である。 本発明に従った真空チャックの構成を示す図である。 本発明に従った真空チャックの構成を示す図である。 図11Aおよび11Bに示すタイプの真空チャックを製造する方法を示す図である。 本発明の「剥しと引っ張り」の方法を使用して基板からテンプレートを分離する高精度方向付けステージの使用を示す図である。 ピエゾ・アクチュエータを使用して基板からテンプレートを分離する他の方法を示す図である。
本発明を限定することなしに、インプリント・リソグラフィの方法を使用して半導体ウェハのような基板に非常に小さな形状(100ナノメートル(nm)以下の範囲)をインプリントするためのシステム、デバイス、および関係したプロセスに関連して、ここで本発明を説明する。理解すべきであるが、本発明は、例えば、経済的な微小電子機械システム(Micro−Electro−MechnicalSystems、すなわちMEMS)、ならびにデータ記憶用のパターン形成された磁気媒体、微小光デバイス、生物学的および化学的デバイス、X線光デバイスなどを含んだ様々な種類のデバイスの製造のような他の作業に応用することができる。
図面特に図1Aおよび図1Bを参照して、インプリント・リソグラフィを使用して所望の形状をインプリントすべき基板20に対して予め配置されたテンプレート12の配列を示す。具体的に言うと、テンプレート12は所望の形状の形を持つように作製された表面14を含み、その所望の形状が今度は基板20に転写される。基板20とテンプレート12の間に、転写層18があり、この転写層18がインプリント層16を介してテンプレート12から所望の形状を受け取る。当技術分野でよく知られているように、転写層18によって、低アスペクト比のインプリントされた形状から高アスペクト比の構造(つまり、形状)を得ることができる。
図1Aにおいて、インプリント層16の一方の端部でテンプレート12が基板20により近くなるように、インプリント層16は楔状になっている。図1Bは、厚すぎるインプリント層16を示す。これらの両条件は非常に望ましくない。本発明は、図1Aおよび1Bに示す条件ならびに従来技術のリソグラフィ方法に関連した他の方向付けの問題を解消するシステム、プロセスおよび関係したデバイスを提供する。
特に、インプリント・リソグラフィのために、テンプレート12と基板20を互いにできるだけ接近させかつほぼ平行に維持することが必要である。この要件は、例として近接印刷、接触印刷、およびX線リソグラフィのような他の近接リソグラフィ技術に比べて非常に厳しい。このようにして、例えば、100nmの幅で100nmの深さの形状に対して、インプリント・リソグラフィ・プロセスがうまくいくためには、基板20のインプリント領域全体にわたって50nmより少くないばらつきで、約200nm以下の平均ギャップが必要になる。そのような厳しい精密なギャップの要件が与えられたインプリント・リソグラフィがうまくいくために、テンプレート12と基板20の間の間隔を制御する方法を本発明は提供する。
図2Aから図2Eは、全体的に30として示す、本発明によるインプリント・リソグラフィのプロセスを図示する。図2Aで、テンプレート12と基板20を分離する空間にギャップ31が形成されるように、基板20に対して離間した関係でテンプレート12を方向付けする。テンプレートの表面エネルギーを低くし、かつ基板20からテンプレート12を分離するのを支援する薄い層13で、テンプレート12の表面14を処理する。テンプレート12と基板20の間のギャップ31を制御するデバイスを含んだ方向付けの方法について、以下説明する。次に、図2Bで、処理された表面14の形状に従う物質40をギャップ31に充填する。基本的には、物質40は図1Aおよび1Bに示すインプリント層16を形成する。好ましくは、物質40は、高温を使用することなく容易にギャップ31の空間を満たすような液体であり、高圧を必要としないでそのギャップをふさぐことができる。
硬化エージェント32をテンプレート12に塗布して、物質40を硬化させて、テンプレート12と基板20の間のギャップ31で形成される空間の形状となるようにする。このようにして、所望の形状44(図2D)をテンプレート12から基板20の上面に転写する。転写層18を基板20の上面に直接設け、それによって、高アスペクト比の形状を生成するように、テンプレート12から基板20に転写される形状の拡大を容易にする。
図2Dで、テンプレート12を基板20から取り去って、基板上に所望の形状44を残す。基板20の表面から剥ぎ取られたりまたはちぎられたりすることなく所望の形状44が完全な状態のままであるように、基板20からテンプレート12を分離する。本発明は、所望の形状44が完全な状態で残るようにするために、インプリントの後で基板20からテンプレート12を剥し引っ張るための方法および関連したシステムを提供する(本明細書で「剥しと引っ張り」の方法と呼ぶ)。
最後に、図2Eで、テンプレート12から基板20に転写された形状44は、2層レジスト・プロセスの使用で知られているように、転写層18の作用によって垂直寸法が拡大される。よく知られている技術を使用して、結果として得られた構造をさらに処理して、製造プロセスを完成することができる。図3は、全体的に50として示す、本発明のインプリント・リソグラフィ・プロセスの概要を流れ図形式で示す。最初に、ステップ52で、テンプレートと基板のおおよそのアライメントを行うように、テンプレートと基板の精度の粗い方向付けを行う。ステップ52の精度の粗い方向付けの利点は、効率よく高い生産歩留りで多数のデバイスを製造しなければならない製造環境で事前較正が可能になることである。例えば、基板が半導体ウェハ上に多数のダイの1つを含む場合、精度の粗いアライメント(ステップ52)は、単一の生産実行中に、第1のダイに対して一度行えば、他の全てのダイに対して適用することができる。このようにして、生産のサイクル時間を減らし、歩留りを上げる。
次に、ステップ54で、2つの層の間に比較的一様なギャップが作られるように、テンプレートと基板の間の間隔を制御して、インプリントがうまくいくために必要な精密な方向付けの種類を可能にする。本発明は、ステップ54で必要な方向付けの種類(精度の粗いものと高精度の両方)を達成するデバイスおよびシステムを提供する。ステップ56で、テンプレートと基板の間のギャップに液体を供給する。液体は、UV硬化可能オルガノシリコン溶液、または、紫外光に曝されたときに固体になる他の有機溶液であるのが好ましい。液体を使用することで、従来技術のリソグラフィ技術に関連した高温および高圧の必要はなくなる。
ステップ58で、テンプレートの高精度方向付けで基板に対してギャップを塞ぎ、液体を硬化させて、結果としてテンプレートの形状の形に液体を硬化させる。次に、テンプレートを基板から分離して、ステップ60、形状がテンプレートから基板にインプリントすなわち転写されることになる。最後に、残留物を除去する予備エッチングおよび転写層をエッチングするよく知られている酸素エッチングを使用して、構造をエッチングする、ステップ62。
上で述べたように、インプリント・リソグラフィがうまくいくための要件には、テンプレートと基板の間のギャップを制御するための基板に対するテンプレートの精密なアライメントと方向付けが含まれる。本発明は、生産様式の製造プロセスで精密なアライメントおよびギャップ制御を行うことができるシステムを提供する。基本的には、本発明のシステムは、相対的なアライメントを高精度移動方向付けステージの動きの範囲内まで持っていくように、テンプレートと基板表面の間の予備の精度の粗いアライメント動作を行うための事前較正ステージを備える。新しいテンプレートを機械(また、時には、ステッパとしても知られている)に取り付けたときだけに、この事前較正ステージは必要であり、この事前較正ステージは、ベース・プレート、たわみ構成要素、およびベース・プレートとたわみ構成要素を相互に接続する3個のマイクロメータまたは高分解能アクチュエータから成る。
図4を参照して、基板20のようなインプリントすべき基板に対して、テンプレート12のようなテンプレートを較正し方向付けるためのシステムのアセンブリを全体的に100として示す。システム100は、本発明のインプリント・リソグラフィ・プロセスを使用する生産環境でデバイスの大量生産を行うために、ステッパのような機械で利用することができる。図示のように、システム100は、ハウジング120を支持する上部フレーム110に取り付けられ、このハウジング120は、基板(図4に示さない)に対してテンプレート150の精度の粗いアライメントを行うための事前較正ステージを収容している。
見られるように、ハウジング120の反対側で中間フレーム114に取り付けられた案内軸112a、112bで、ハウジング120は中間フレーム114に結合されているのが分る。一実施形態では、テンプレート150の上下の平行移動時にハウジング120が上下に滑動するとき、ハウジング120を支える3本の案内軸が使用される(図4では後方の案内軸が見えない)。このハウジング120の上下の動きは、中間フレーム114の近くで対応する案内軸112a、112bに付いているスライダー116a、116bにより容易になっている。
システム100は、ハウジング120の底部に取り付けられたディスク状のベース・プレート122を含み、このベース・プレート122は、第1のたわみ部材126と第2のたわみ部材128で構成される下方に配置された方向付けステージを支持するためのディスク状のたわみリング124に結合されている。たわみ部材126および128の動作および構成は以下で詳細に述べる。図5で、第2のたわみ部材128はテンプレート支持130を含むのが分る。このテンプレート支持130はインプリント・プロセス中にテンプレート150を所定の位置に保持する。一般に、テンプレート150は、所望の形状がインプリントされている1枚の石英から成るが、よく知られている方法に従って他のテンプレート物質を使用することができる。
図5に示すように、3個のアクチュエータ134a、134b、134cがハウジング120内に取り付けられており、ベース・プレート122およびたわみリング124に動作可能に結合されている。動作時に、アクチュエータ134a、134b、134cは、たわみリング124を動かすように制御される。これによって、精度の粗い事前較正が可能になる。アクチュエータ134a、134b、134cは、高分解能アクチュエータである。この高分解能アクチュエータは、ハウジング120の周りで等間隔で離れており、上下方向におけるリング124の非常に精密な平行移動の追加機能でギャップを正確に制御する。このようにして、システム100は、インプリントすべき基板に対するテンプレート150の精度の粗い方向付けアライメントおよび精密なギャップ制御を達成することができる。
本発明のシステム100は、精密な方向付けアライメントが達成され、基板表面に対して、テンプレートによるギャップが一様に維持されるように、テンプレート150の精密な制御を行うことができる機構を備えている。さらに、本システム100は、基板表面から形状を剥ぎ取ることなく、インプリントの後で基板の表面からテンプレート150を分離する方法を提供する。本発明の精密なアライメント、ギャップ制御および分離の特性は、主として、第1および第2のたわみ部材それぞれ126および128の構成によって容易になっている。
図6Aおよび6Bを参照して、第1および第2のたわみ部材、それぞれ126および128をより詳細に示す。特に、第1のたわみ部材126は、対応する剛性体164、166に結合された複数のたわみ継目160を含み、この剛生体164、166がフレーム170から延びる腕172、174の一部を形成するのが分る。たわみフレーム170は開口182を有し、この開口182によって、紫外線光のような硬化エージェントを透過させ、支持130で保持されているテンプレート150に到達させる。図示のように、4個のたわみ継目160により、第1の方向付け軸180の周りのたわみ部材126の動きが可能になる。第1のたわみ部材126のベース170は、図7に示すように、第2のたわみ部材128と接合するための結合機構となる。
同様に、第2のたわみ部材128は、フレーム206から延び、かつたわみ継目162および対応する剛性体208、210を含む一対の腕202、204を含む。この腕202、204は、第2の方向付け軸200の周りにたわみ部材128の動きを引き起こすように構成されている。テンプレート支持130は、第2のたわみ部材128のフレーム206と一体化されており、さらに、フレーム182のように、開口212を有し、支持130で保持されたテンプレート150に硬化エージェントが達することができるようにしている。
動作時に、第1のたわみ部材126および第2のたわみ部材128は図7に示すように接合されて、本発明の方向付けステージ250を形成する。第1の方向付け軸180および第2の方向付け軸200が互いに直交し、かつテンプレート−基板境界面254のピボット点252で交わるように、2つの部分の接合を容易にするために留め金220、222が設けられている。第1の方向付け軸180と第2の方向付け軸200が直交し境界面254にあることによって、本発明の高精度アライメントとギャップ制御の利点が実現される。特に、この配列で、層間重ね合せアライメントから方向付けアライメントをデカップリングすることが達成される。さらに、以下で説明するように、第1の方向付け軸180と第2の方向付け軸200の相対的な位置によって、テンプレート150から転写される形状が基板上に完全な状態のままで残るように所望の形状を剥ぎ取ることなく、基板からテンプレート150を分離するのに使用することができる方向付けステージ250が実現される。
図6A、6Bおよび7を参照すると、たわみ継目160および162は切欠きの入った形状に作られて、切欠きの最も薄い断面に沿って位置する回転軸の周りの剛性体164、166、208、210の動きを与えている。この構成で、デカップリングされた従順な運動軸180、200を有する高精度デカップリング方向付けステージ250に2つのたわみに基づいたサブ・システムが実現されている。2つのたわみ部材126、128は、テンプレート150の動きがピボット点252の周りで起こるように表面の接合によって組み立てて、インプリントされた形状を基板から破壊しまたは剥ぎ取るかもしれない「振動」および他の動きを無くする。このようにして、方向付けステージ250がピボット点252の周りでテンプレート150を精密に動かすことができることで、インプリント・リソグラフィの後で所望の形状を基板から剥ぎ取ることが無くなる。
図2Aから図2Eに関連して上で説明したインプリント・プロセスのために、たわみ構成要素の概念に基づいた、システム100と同様な、システムを開発した。多くの可能性のある応用分野の1つは、高分解能半導体製造で必要なギャップ制御および重ね合せアライメントである。他の用途は、次世代ハード・ディスク製造のための単一層インプリント・リソグラフィの分野であろう。いくつかの会社は、円形磁気媒体に100nm以下の点を生成するそのような方法を検討している。したがって、本発明は、半導体デバイス、およびデータ記憶用のパターン形成された磁気媒体、微小光デバイス、MEMS、生物学的および化学的デバイス、X線光デバイスなどを含んだ他の様々な種類のデバイスの経済的な商業用製造で場合によっては有用である。
図8を参照して、システム100の動作中に、Z平行移動ステージ(図示しない)で、方向付けアライメントを行うことなく、テンプレート150と基板の間の距離を制御する。事前較正ステージ260で、テンプレート150とウェハ表面の間の事前のアライメント動作を行って、相対的なアライメントを方向付けステージ250の移動範囲限界内まで持っていく。新しいテンプレートを機械に取り付けたときだけ、事前較正が必要である。
事前較正ステージ260は、ベース・プレート122、たわみリング124、および、ベース・プレート122とたわみリング124をロード・セル270を介して相互に接続するアクチュエータ134a、134b、134c(まとめて、134)で構成され、このロード・セル270はZ方向のインプリント力および分離力を測定する。アクチュエータ134a、134b、134cは、ベース・プレート122とたわみリング124の動きを引き起こすように伸長および短縮の可能な3個の異なるマイクロメータでよい。もしくは、アクチュエータ134は、マイクロメータと、ピエゾ・アクチュエータすなわちPhysikInstruments,Inc.で販売されているようなチップ型ピエゾ・アクチュエータとの組合せでもよい。
テンプレートの下面と基板の上面の境界面に現れる単色光による干渉パターンを目視で検査しながら、アクチュエータ134を調整して、基板に対するテンプレート150の事前較正を行うことができる。異なるマイクロメータを使用することで、緑色光で得られる干渉縞を使用して1インチにわたって200nm以下の誤差で、2つの平らな表面を平行に方向付けできることが実証された。
図9を参照して、方向付けステージ250のような高精度デカップリング方向付けステージの動作原理を理解する際に有用なたわみモデルを全体的に300として示す。たわみモデル300は、ノミナルおよび回転構成で4本バー・リンク機構システムを実現する4個の平行な継目(継目1、2、3および4)を含む。それぞれ継目1および2を通る線310と継目3および4を通る線312の間の角度(α1およびα2)は、順応アライメント軸が、高精度加工許容誤差内(数ミクロン)で正確にテンプレート−ウェハ境界面254にあるように選ぶ。高精度方向付けの変化のために、継目2と3の間の剛性体314が点Cで示す軸の周りで回転する。剛性体314は、たわみ部材126および128の剛性体170および206をそれぞれ表す。
図7に示すように、似たような第2のたわみ構成要素が第1のものに直交するように取り付けられるので、結果として得られる方向付けステージ250は、2つのデカップリングされた方向付け軸を有し、この軸は互いに直交し、かつテンプレート−基板境界面254上にある。リソグラフィの用途で要求されるように、硬化紫外光がテンプレート150を通過することができるように、たわみ構成要素は開口を持つように容易に構成することができる。
方向付けステージ250は、基板に対するテンプレート150の高精度アライメントおよび精密な動きができるので、本発明の重要な構成要素の1つである。方向付けステージ250が理想的に行う方向付け調整により、構造的な高い剛性が選択的に制限されているために、境界面での横方向の動きは無視可能になり、また、この境界面の垂線の周りのねじれの動きが無視可能になる。本発明の第2の重要な構成要素は、たわみ継目160、162を有するたわみに基づいた部材126、128であり、この部材は、微粒子を生成することがなく、インプリント・リソグラフィ・プロセスがうまくいくために決定的なものである。
テンプレート150と基板の間の200nm以下のオーダーの小さなギャップを数ナノメートルの分解能で測定することのできる絶対的なギャップ感知方法を使用できることを、本発明は想定している。ギャップ制御がアクチュエータの使用によって能動的である場合、そのようなギャップ感知はフィードバックとして必要である。
図10は、ピエゾ・アクチュエータを有する方向付けステージの構成を全体的に400として示す。構成400は、テンプレート−基板境界面で横方向の動きのない純粋な傾斜の動きを生成する。したがって、単一の重ね合せアライメント・ステップで、ウェハ全体の層をインプリントすることが可能になる。重ね合せアライメントに関して、方向付けの動きと横方向の動きの結合した動きは、X−Yアライメントの必然的な外乱となり、このことで、複雑なフィールド間の重ね合せ制御ループが必要になる。
方向付けステージ250は、横の動きまたは回転が望ましくない方向に高い剛性を持ち、必要な方向付けの動きが望ましい方向に小さな剛性を持つことが好ましい。これにより、選択的順応デバイスとなる。したがって、方向付けステージ250は、テンプレート150と基板の間の適切な方向付け運動を行ないながら、比較的大きな荷重を支えることができる。
インプリント・リソグラフィに関して、2つの非常に平らな表面の間のギャップを一様に保つという要件がある。一般に、テンプレート150の底面が実質的に平らであることを保証するために、テンプレート150は電子ビーム・リソグラフィを使用してオプティカル・フラット・ガラスから作られる。しかし、ウェハ基板は、その表面状態に小さな微小規模のばらつきをもたらす「ポテト・フライ」効果を示すことがある。本発明は、真空チャック478の形(図12に示すような)をしたデバイスを用いて、インプリント中に発生することがあるウェハ基板の表面全体にわたるばらつきを無くする。
真空チャック478は、2つの主要な目的を果たす。先ず、真空チャック478を利用して、インプリント中に基板を所定の位置に保持し、さらにインプリント・プロセス中、基板が確実に平らなままであるようにする。さらに、真空チャック478で、処理中に基板の裏面に微粒子が確実に存在しないようにする。微粒子は、デバイスをだめにし生産歩留りを下げる問題を引き起こすことがあるので、このことはインプリント・リソグラフィにとって重要である。図11Aおよび11Bは、2つの実施形態に従ったこの目的に適した真空チャックの変形物を示す。
図11Aにおいて、「ポテト・フライ」効果ならびに処理中の基板の他のたわみを無くするピン型真空チャック450を、多数のピン452を持つものとして示す。基板を所定の位置に保つように基板を引く手段として、真空導管454を設ける。真空導管454を通して加えられる力で基板が実質的に反らないように、ピン452間の間隔を保つ。同時に、ピン452の先端部は、その表面に微粒子が積る機会を少なくするように十分に小さくする。
このようにして、ピン型真空チャック450に関して、非常に多数のピン452を使用して、基板の局部的な反りが起こらないようにする。同時に、ピン・ヘッドは非常に小さくすべきである。その理由は、微粒子がピン452間のギャップの間に入る可能性が高くなって、基板自体の形状を望ましくなく変化させることが起こるのを防ぐからである。
図11Bは、表面全体にわたって溝462の付いた溝型真空チャック460を示す。多数の溝462は、ピン型真空チャック450のピン454に似た機能を行う。図示のように、溝462は、壁形464か、または滑らかな湾曲した断面466を持つことができる。溝型真空チャック460の溝462の断面は、エッチング・プロセスで調整することができる。また、各溝の間隔および大きさは、数百ミクロンほどに小さくすることができる。各溝462への真空流は、一般にチャック表面に対して平行に走る多数の溝を横切る細い真空導管を通して行われる。細い真空導管は、エッチング・プロセスで溝とともに作ることができる。
図12は、ピン型真空チャック450と溝型真空チャック460の両方の製造プロセスを示す。オプティカル・フラット470を使用すると、研削および研磨のステップを追加することは、このプロセスに必要でない。オプティカル・フラット470の指定された場所に穴開けして、真空流用の穴472を作る。この真空流用の穴472は、マスキングしパターン形成(474)した後でエッチングして(476)、オプティカル・フラットの上面に所望の形状(ピンまたは溝)を生成する。それから、よく知られている方法を使用して、この表面を処理することができる(479)。
上で述べたように、インプリント層からテンプレート150を分離することは、インプリント・リソグラフィの決定的な重要な最終ステップである。テンプレート150と基板はほとんど完全に方向付けされているので、テンプレート150、インプリント層、および基板を組み立てると、接近したオプティカル・フラットの間の一様な接触となり、これは通常大きな分離を必要とする。可撓性のテンプレートまたは基板の場合は、分離させることは単なる「剥離プロセス」であることがある。しかし、高分解能重ね合せアライメントの観点から、可撓性のテンプレートまたは基板は望ましくない。石英のテンプレートとシリコンの基板であれば、剥離プロセスを容易には実施することができない。インプリント層からテンプレートを分離することは、次の2つの方法の1つか、図13A,13Bおよび13Cで示すようなそれらの組合せかいずれかで、うまく行うことができる。
理解し易くするために、図1Aおよび1Bにしたがって、テンプレート、転写層、および基板をそれぞれ参照するのに、参照数字12、18、および20を使用する。基板20の紫外線硬化後、テンプレート12か基板20かいずれかを故意に傾けて、テンプレート12とインプリント層が載っている転写層18との間に楔500を生じさせることができる。本発明の方向付けステージ250は、真空チャック478で基板20を所定の位置に保持したままで、この目的のために使用することができる。傾斜軸がテンプレート−基板境界面近くにある場合、傾斜運動中のテンプレート12と基板20の間の相対的な動きは重要ではない。テンプレート12と基板20の間の楔500が十分に大きくなると、完全にZ方向の動きを使用して基板20からテンプレート12を分離することができる。この「剥しと引っ張り」の方法によって、望ましくない剥し取りなしに、所望の形状44は転写層18と基板20に完全な状態のままで残されることになる。
所望の形状を破壊することなく基板20からテンプレート12を分離する他の方法を図14A、14B、14Cで示す。1つまたは複数のピエゾ・アクチュエータ502をテンプレートに隣接して備え、テンプレート12と基板20の間に相対的な傾きを生じさせることができる(図14A)。アクチュエータ502を伸ばしたときに(図14B)、テンプレート12が基板20から押し離されるように、ピエゾ・アクチュエータ502の自由端は基板20と接触している。テンプレート12と基板20の間のZ方向の動きと組み合わさって(図14C)、そのような局部的な変形によって、テンプレート12と基板20の間に「剥離」と「引っ張り」の効果が生じる。インプリント層がピエゾ・アクチュエータ502の表面に貼り付かないようにするために、テンプレート12の下面の処理と同様に、ピエゾ・アクチュエータ502の自由端側を表面処理することができる。
以上をまとめると、本発明は、高温または高圧の使用を必要としないでインプリント・リソグラフィをうまく行うためのシステム、プロセスおよび関係デバイスを開示する。テンプレートとこのテンプレートから所望の形状を転写すべき基板との間のギャップを精密に制御することが、本発明を使用して達成される。さらに、所望の形状を破壊または剥ぎ取ることなく、基板(およびインプリント層)からテンプレートを分離することができる。また、本発明は、インプリント・リソグラフィ中に、基板を所定の位置に保持する方法を好適な真空チャックの形で開示する。
本発明は、例証の実施形態を参照して説明したが、この説明は、限定する意味で解釈するように意図されていない。例証の実施形態ならびに本発明の他の実施形態の様々な修正および組合せは、この説明を参照すると当業者には明らかになるであろう。したがって、添付の特許請求の範囲はそのような修正または実施形態を全て含むことが意図されている。
120 ハウジング
122 ベースプレート
126 第1たわみ部材
128 第2たわみ部材
130 テンプレート支持
150 テンプレート

Claims (4)

  1. インプリントおよび分離のプロセス中に、実質的にテンプレートを変形させることなく、テンプレートの側壁の領域およびテンプレートの裏面全ての領域と面接触して、テンプレートの保持を可能にすることができるフレームを備えるインプリント・リソグラフィ用のテンプレートホルダ。
  2. 機械的締付け方法、真空力方法、および静電気方法のうちの1つまたは組合せを使用してテンプレートを保持する機能をさらに備える請求項1に記載のテンプレートホルダ。
  3. 前記機械的締付けが、面接触を実現する順応要素とともに、留めねじ、ピエゾ・アクチュエータ、空気圧または油圧アクチュエータを使用して可能になる請求項2に記載のテンプレートホルダ。
  4. インプリント力および分離力の両方を支持する湾曲形状の側壁を形成するように、前記側面が楔でとめられる請求項1に記載のテンプレートホルダ。
JP2010161895A 1999-10-29 2010-07-16 インプリントリソグラフィ Expired - Lifetime JP5603689B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16239299P 1999-10-29 1999-10-29
US60/162,392 1999-10-29
US09/698,317 2000-10-27
US09/698,317 US6873087B1 (en) 1999-10-29 2000-10-27 High precision orientation alignment and gap control stages for imprint lithography processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001535125A Division JP2003517727A (ja) 1999-10-29 2000-10-30 インプリント・リソグラフィのための高精度方向付けアライメントデバイスおよびギャップ制御デバイス

Publications (2)

Publication Number Publication Date
JP2011029641A true JP2011029641A (ja) 2011-02-10
JP5603689B2 JP5603689B2 (ja) 2014-10-08

Family

ID=26858716

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2001535125A Pending JP2003517727A (ja) 1999-10-29 2000-10-30 インプリント・リソグラフィのための高精度方向付けアライメントデバイスおよびギャップ制御デバイス
JP2010161895A Expired - Lifetime JP5603689B2 (ja) 1999-10-29 2010-07-16 インプリントリソグラフィ
JP2010161891A Pending JP2011003910A (ja) 1999-10-29 2010-07-16 インプリントリソグラフィ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2001535125A Pending JP2003517727A (ja) 1999-10-29 2000-10-30 インプリント・リソグラフィのための高精度方向付けアライメントデバイスおよびギャップ制御デバイス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010161891A Pending JP2011003910A (ja) 1999-10-29 2010-07-16 インプリントリソグラフィ

Country Status (6)

Country Link
US (8) US6873087B1 (ja)
EP (3) EP1240550B1 (ja)
JP (3) JP2003517727A (ja)
AU (1) AU1448801A (ja)
HK (1) HK1049521A1 (ja)
WO (1) WO2001033300A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016127168A (ja) * 2015-01-05 2016-07-11 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US7432634B2 (en) * 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
AU2001286573A1 (en) * 2000-08-21 2002-03-04 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US7387508B2 (en) * 2004-06-01 2008-06-17 Molecular Imprints Inc. Compliant device for nano-scale manufacturing
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
WO2002067055A2 (en) * 2000-10-12 2002-08-29 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US7253091B2 (en) 2001-09-28 2007-08-07 Hrl Laboratories, Llc Process for assembling three-dimensional systems on a chip and structure thus obtained
WO2003030252A2 (en) * 2001-09-28 2003-04-10 Hrl Laboratories, Llc Process for producing interconnects
US7018575B2 (en) 2001-09-28 2006-03-28 Hrl Laboratories, Llc Method for assembly of complementary-shaped receptacle site and device microstructures
US6974604B2 (en) 2001-09-28 2005-12-13 Hrl Laboratories, Llc Method of self-latching for adhesion during self-assembly of electronic or optical components
AU2003217184A1 (en) * 2002-01-11 2003-09-02 Massachusetts Institute Of Technology Microcontact printing
EP1512049A1 (en) 2002-06-07 2005-03-09 Obducat AB Method for transferring a pattern
US8294025B2 (en) 2002-06-08 2012-10-23 Solarity, Llc Lateral collection photovoltaics
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
MY164487A (en) * 2002-07-11 2017-12-29 Molecular Imprints Inc Step and repeat imprint lithography processes
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
AU2003261317A1 (en) * 2002-08-01 2004-02-23 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6887792B2 (en) 2002-09-17 2005-05-03 Hewlett-Packard Development Company, L.P. Embossed mask lithography
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7223635B1 (en) 2003-07-25 2007-05-29 Hrl Laboratories, Llc Oriented self-location of microstructures with alignment structures
JP3889386B2 (ja) * 2003-09-30 2007-03-07 株式会社東芝 インプリント装置及びインプリント方法
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
JP2005153113A (ja) * 2003-11-28 2005-06-16 Ricoh Opt Ind Co Ltd ナノプリントを用いた微細3次元構造体の製造方法及び微細3次元構造体
EP1538482B1 (en) 2003-12-05 2016-02-17 Obducat AB Device and method for large area lithography
KR100585951B1 (ko) * 2004-02-18 2006-06-01 한국기계연구원 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
WO2005093737A1 (ja) * 2004-03-29 2005-10-06 Pioneer Corporation パターン転写装置及びパターン転写方法
DE102004028851B4 (de) * 2004-03-31 2006-04-13 Infineon Technologies Ag Verfahren und Vorrichtung zum Vermessen eines Oberflächenprofils einer Probe
EP1594001B1 (en) 2004-05-07 2015-12-30 Obducat AB Device and method for imprint lithography
JP4658040B2 (ja) * 2004-05-20 2011-03-23 独立行政法人科学技術振興機構 精密高耐荷重移動方法および装置
US7307697B2 (en) 2004-05-28 2007-12-11 Board Of Regents, The University Of Texas System Adaptive shape substrate support system
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
KR101193918B1 (ko) * 2004-06-03 2012-10-29 몰레큘러 임프린츠 인코퍼레이티드 나노-스케일 제조공정을 위한 유체 배분방법과 필요에 따른액적 배분방법
JP4573873B2 (ja) * 2004-06-03 2010-11-04 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム マイクロリソグラフィにおけるアラインメントとオーバーレイを改善するシステムおよび方法
JP4574240B2 (ja) * 2004-06-11 2010-11-04 キヤノン株式会社 加工装置、加工方法、デバイス製造方法
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
JP4458958B2 (ja) * 2004-07-01 2010-04-28 独立行政法人理化学研究所 微細パターン形成方法および微細パターン形成装置
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7492440B2 (en) * 2004-09-09 2009-02-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4904742B2 (ja) * 2004-09-16 2012-03-28 旭硝子株式会社 パターンの形成方法およびパターンを有する物品
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
JP4979918B2 (ja) * 2004-09-24 2012-07-18 ボンドテック株式会社 加圧方法及び加圧装置
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
CN100395121C (zh) * 2004-11-19 2008-06-18 鸿富锦精密工业(深圳)有限公司 热压印方法
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7295288B1 (en) * 2004-12-01 2007-11-13 Advanced Micro Devices, Inc. Systems and methods of imprint lithography with adjustable mask
WO2006060758A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
JP2006165371A (ja) * 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
US7409759B2 (en) * 2004-12-16 2008-08-12 Asml Holding N.V. Method for making a computer hard drive platen using a nano-plate
US7399422B2 (en) * 2005-11-29 2008-07-15 Asml Holding N.V. System and method for forming nanodisks used in imprint lithography and nanodisk and memory disk formed thereby
US7331283B2 (en) * 2004-12-16 2008-02-19 Asml Holding N.V. Method and apparatus for imprint pattern replication
US7410591B2 (en) * 2004-12-16 2008-08-12 Asml Holding N.V. Method and system for making a nano-plate for imprint lithography
US7363854B2 (en) * 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US20060177532A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
JP4500183B2 (ja) * 2005-02-25 2010-07-14 東芝機械株式会社 転写装置
US20060195765A1 (en) * 2005-02-28 2006-08-31 Texas Instruments Incorporated Accelerating convergence in an iterative decoder
KR100688866B1 (ko) 2005-04-07 2007-03-02 삼성전기주식회사 임프린트 장치, 시스템 및 방법
US9180102B2 (en) * 2005-05-06 2015-11-10 Board Of Regents, The University Of Texas System Methods for fabricating nano and microparticles for drug delivery
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
JP4595120B2 (ja) * 2005-05-27 2010-12-08 独立行政法人産業技術総合研究所 裏面加圧によるインプリント方法及び装置
GB2426486A (en) * 2005-05-27 2006-11-29 Microsaic Systems Ltd Self-aligning micro-contact print engine
JP3958344B2 (ja) 2005-06-07 2007-08-15 キヤノン株式会社 インプリント装置、インプリント方法及びチップの製造方法
JP4290177B2 (ja) 2005-06-08 2009-07-01 キヤノン株式会社 モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
KR100674440B1 (ko) * 2005-08-12 2007-01-25 주식회사 파이컴 프로브 카드 제조 방법 및 장치
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP5266059B2 (ja) * 2005-11-18 2013-08-21 ナノコンプ オイ リミテッド 回折格子の製造方法
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
JP5198282B2 (ja) * 2005-12-08 2013-05-15 モレキュラー・インプリンツ・インコーポレーテッド 基板とモールドとの間に位置するガスを排出するための方法
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
JP4987012B2 (ja) * 2005-12-08 2012-07-25 モレキュラー・インプリンツ・インコーポレーテッド 基板の両面パターニングする方法及びシステム
US7517211B2 (en) * 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
KR100898793B1 (ko) * 2005-12-29 2009-05-20 엘지디스플레이 주식회사 액정표시소자용 기판 합착 장치
TW200811684A (en) * 2006-02-17 2008-03-01 Mentor Graphics Corp Gate modeling for semiconductor fabrication process effects
JP4845564B2 (ja) * 2006-03-31 2011-12-28 株式会社東芝 パターン転写方法
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
EP2001602B1 (en) * 2006-04-03 2011-06-22 Molecular Imprints, Inc. Lithography imprinting system
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
JP2007329276A (ja) * 2006-06-07 2007-12-20 Tokyo Ohka Kogyo Co Ltd ナノインプリントリソグラフィによるレジストパターンの形成方法
JP4810319B2 (ja) * 2006-06-09 2011-11-09 キヤノン株式会社 加工装置及びデバイス製造方法
JP4939134B2 (ja) * 2006-07-07 2012-05-23 株式会社日立ハイテクノロジーズ インプリント装置およびインプリント方法
JP5027468B2 (ja) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 プローブクリーニング用又はプローブ加工用シート、及びプローブ加工方法
US20080090312A1 (en) * 2006-10-17 2008-04-17 Inkyu Park LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
GB2444322B (en) * 2006-11-30 2009-03-04 Cinetic Landis Grinding Ltd Apparatus for applying a controlled force to an article
JP4478164B2 (ja) * 2007-03-12 2010-06-09 株式会社日立ハイテクノロジーズ 微細構造転写装置、スタンパおよび微細構造の製造方法
KR20080096901A (ko) * 2007-04-30 2008-11-04 삼성전자주식회사 임프린트방법 및 상기 임프린트방법을 이용한 표시기판제조방법
JP2010537395A (ja) * 2007-05-30 2010-12-02 モレキュラー・インプリンツ・インコーポレーテッド 窒化ケイ素、炭化ケイ素、または酸窒化ケイ素膜を有するテンプレート
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US7490797B1 (en) * 2007-07-26 2009-02-17 Hung Hi Law Portable supporting apparatus
JP5173311B2 (ja) * 2007-08-09 2013-04-03 キヤノン株式会社 インプリント方法、インプリント装置および半導体製造方法
US20090053535A1 (en) * 2007-08-24 2009-02-26 Molecular Imprints, Inc. Reduced Residual Formation in Etched Multi-Layer Stacks
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
SG185929A1 (en) * 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
JP2011505078A (ja) * 2007-11-28 2011-02-17 モレキュラー・インプリンツ・インコーポレーテッド ナノ構造有機太陽電池
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
WO2009078881A1 (en) * 2007-12-19 2009-06-25 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method
US7815824B2 (en) * 2008-02-26 2010-10-19 Molecular Imprints, Inc. Real time imprint process diagnostics for defects
US8795572B2 (en) * 2008-04-17 2014-08-05 Massachusetts Institute Of Technology Symmetric thermocentric flexure with minimal yaw error motion
WO2009129443A2 (en) * 2008-04-17 2009-10-22 Massachusetts Institute Of Technology Diaphragm flexure with large range and high load capacity
WO2009137384A2 (en) * 2008-05-05 2009-11-12 Boston Scientific Scimed, Inc. Medical devices having a bioresorbable coating layer with a pre-determined pattern for fragmentation
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US20100090341A1 (en) * 2008-10-14 2010-04-15 Molecular Imprints, Inc. Nano-patterned active layers formed by nano-imprint lithography
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US20100109205A1 (en) * 2008-11-04 2010-05-06 Molecular Imprints, Inc. Photocatalytic reactions in nano-imprint lithography processes
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
JP4944158B2 (ja) * 2009-06-01 2012-05-30 株式会社日立製作所 ナノプリント用スタンパ、及び微細構造転写方法
JP5295870B2 (ja) * 2009-06-02 2013-09-18 株式会社東芝 インプリントパターン形成方法
US9164375B2 (en) 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
JP5284212B2 (ja) * 2009-07-29 2013-09-11 株式会社東芝 半導体装置の製造方法
US20110030770A1 (en) * 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
US20110031650A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Adjacent Field Alignment
US20110048518A1 (en) * 2009-08-26 2011-03-03 Molecular Imprints, Inc. Nanostructured thin film inorganic solar cells
EP3293573A1 (en) 2009-08-26 2018-03-14 Molecular Imprints, Inc. Functional nanoparticles
JP5296641B2 (ja) * 2009-09-02 2013-09-25 東京エレクトロン株式会社 インプリント方法、プログラム、コンピュータ記憶媒体及びインプリント装置
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
US8146262B1 (en) * 2009-10-21 2012-04-03 The Boeing Company Method and device for locating hole center
JP5669377B2 (ja) * 2009-11-09 2015-02-12 キヤノン株式会社 インプリント装置及び物品の製造方法
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US8980751B2 (en) * 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US8691134B2 (en) 2010-01-28 2014-04-08 Molecular Imprints, Inc. Roll-to-roll imprint lithography and purging system
WO2011094015A1 (en) 2010-01-28 2011-08-04 Molecular Imprints, Inc. Solar cell fabrication by nanoimprint lithography
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
WO2011094672A2 (en) 2010-01-29 2011-08-04 Molecular Imprints, Inc. Nanoimprint lithography processes for forming nanoparticles
KR101772993B1 (ko) * 2010-02-05 2017-08-31 캐논 나노테크놀로지즈 인코퍼레이티드 고 콘트라스트 정렬 마크를 갖는 주형
EP2534536A2 (en) * 2010-02-09 2012-12-19 Molecular Imprints, Inc. Process gas confinement for nanoimprint lithography
DE102010007970A1 (de) 2010-02-15 2011-08-18 Suss MicroTec Lithography GmbH, 85748 Verfahren und Vorrichtung zum aktiven Keilfehlerausgleich zwischen zwei im wesentlichen zueinander parallel positionierbaren Gegenständen
WO2011139782A1 (en) 2010-04-27 2011-11-10 Molecular Imprints, Inc. Separation control substrate/template for nanoimprint lithography
WO2011143327A2 (en) 2010-05-11 2011-11-17 Molecular Imprints, Inc. Nanostructured solar cell
US8891080B2 (en) 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
WO2012007522A2 (en) * 2010-07-15 2012-01-19 Replisaurus Group Sas Separation of master electrode and substrate in ecpr
KR101583894B1 (ko) * 2010-09-03 2016-01-08 에베 그룹 에. 탈너 게엠베하 웨지 에러를 줄이기 위한 장치 및 방법
WO2012040699A2 (en) 2010-09-24 2012-03-29 Molecular Imprints, Inc. High contrast alignment marks through multiple stage imprinting
CN103282303A (zh) 2010-11-05 2013-09-04 分子制模股份有限公司 使用双释放层的功能纳米颗粒的纳米压印光刻形成
WO2012061816A2 (en) 2010-11-05 2012-05-10 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8926888B2 (en) 2011-02-25 2015-01-06 Board Of Regents, The University Of Texas System Fluorinated silazane release agents in nanoimprint lithography
WO2012149029A2 (en) 2011-04-25 2012-11-01 Molecular Imprints, Inc. Optically absorptive material for alignment marks
HK1148904A2 (en) * 2011-05-17 2011-09-16 Liu Vai Nam An electromagnetic device
WO2013048577A1 (en) * 2011-09-26 2013-04-04 Solarity, Inc. Substrate and superstrate design and process for nano-imprinting lithography of light and carrier collection management devices
WO2013096459A1 (en) 2011-12-19 2013-06-27 Molecular Imprints, Inc. Fabrication of seamless large area master templates for imprint lithography
US9616614B2 (en) 2012-02-22 2017-04-11 Canon Nanotechnologies, Inc. Large area imprint lithography
JP5661666B2 (ja) 2012-02-29 2015-01-28 株式会社東芝 パターン形成装置及び半導体装置の製造方法
US9370865B1 (en) * 2012-05-23 2016-06-21 Western Digital Technologies, Inc. Flexure based compliance device for use with an assembly device
EP2679551A1 (en) 2012-06-28 2014-01-01 Corning Incorporated Process and system for fine tuning precision glass sheet bending
JP6019953B2 (ja) * 2012-09-04 2016-11-02 大日本印刷株式会社 凸状構造体の製造方法及び製造システム
US8813382B1 (en) * 2012-10-22 2014-08-26 The Boeing Company Shim measurement system and method of operating the same
KR102170524B1 (ko) 2013-03-15 2020-10-27 캐논 나노테크놀로지즈 인코퍼레이티드 금속 또는 산화물 코팅을 가진 재사용가능한 중합체 주형을 사용한 나노 임프린팅
WO2014145826A2 (en) * 2013-03-15 2014-09-18 Nanonex Corporation System and methods of mold/substrate separation for imprint lithography
WO2014145360A1 (en) * 2013-03-15 2014-09-18 Nanonex Corporation Imprint lithography system and method for manufacturing
US9651862B2 (en) 2013-07-12 2017-05-16 Canon Nanotechnologies, Inc. Drop pattern generation for imprint lithography with directionally-patterned templates
RU2546989C2 (ru) * 2013-08-01 2015-04-10 Федеральное государственное бюджетное учреждение науки Институт ядерной физики им. Г.И. Будкера Сибирского отделения РАН (ИЯФ СО РАН) Рентгеношаблон и способ его изготовления
JP6526653B2 (ja) 2013-11-08 2019-06-05 キャノン・ナノテクノロジーズ・インコーポレーテッド 改善されたオーバレイ補正のための低接触インプリントリソグラフィテンプレート用チャックシステム
JP6571656B2 (ja) 2013-12-10 2019-09-04 キャノン・ナノテクノロジーズ・インコーポレーテッド ゼロ・ギャップ・インプリンティングのためのインプリント・リソグラフィ・テンプレート及び方法
US9514950B2 (en) 2013-12-30 2016-12-06 Canon Nanotechnologies, Inc. Methods for uniform imprint pattern transfer of sub-20 nm features
SG11201604407WA (en) 2013-12-31 2016-07-28 Canon Nanotechnologies Inc Asymmetric template shape modulation for partial field imprinting
AU2015323940B2 (en) 2014-09-29 2021-05-20 Magic Leap, Inc. Architectures and methods for outputting different wavelength light out of waveguides
US9341290B2 (en) * 2014-09-29 2016-05-17 Dieterich Standard, Inc. Lugged wafer alignment ring
JP6525567B2 (ja) * 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
JP6887953B2 (ja) 2015-03-16 2021-06-16 マジック リープ,インコーポレイティド 健康を損う疾病を診断して治療する方法及びシステム
KR102359038B1 (ko) 2015-06-15 2022-02-04 매직 립, 인코포레이티드 멀티플렉싱된 광 스트림들을 인-커플링하기 위한 광학 엘리먼트들을 가진 디스플레이 시스템
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
WO2017066546A1 (en) 2015-10-15 2017-04-20 Board Of Regents, The University Of Texas System Versatile process for precision nanoscale manufacturing
US10131134B2 (en) 2015-10-30 2018-11-20 Canon Kabushiki Kaisha System and method for discharging electrostatic charge in nanoimprint lithography processes
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US11104057B2 (en) 2015-12-11 2021-08-31 Canon Kabushiki Kaisha Imprint apparatus and method of imprinting a partial field
US10654216B2 (en) 2016-03-30 2020-05-19 Canon Kabushiki Kaisha System and methods for nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
AU2017246901B2 (en) 2016-04-08 2022-06-02 Magic Leap, Inc. Augmented reality systems and methods with variable focus lens elements
AU2017264780B2 (en) 2016-05-12 2022-05-12 Magic Leap, Inc. Distributed light manipulation over imaging waveguide
US10189188B2 (en) 2016-05-20 2019-01-29 Canon Kabushiki Kaisha Nanoimprint lithography adhesion layer
US9993962B2 (en) 2016-05-23 2018-06-12 Canon Kabushiki Kaisha Method of imprinting to correct for a distortion within an imprint system
US11131922B2 (en) 2016-06-06 2021-09-28 Canon Kabushiki Kaisha Imprint lithography template, system, and method of imprinting
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
US10035296B2 (en) 2016-10-13 2018-07-31 Canon Kabushiki Kaisha Methods for controlling spread of imprint material
US10627715B2 (en) 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
AU2017363078B2 (en) 2016-11-18 2022-09-29 Magic Leap, Inc. Waveguide light multiplexer using crossed gratings
KR102506485B1 (ko) 2016-11-18 2023-03-03 매직 립, 인코포레이티드 넓은 입사 각도 범위들의 광을 방향전환시키기 위한 다중층 액정 회절 격자들
US11067860B2 (en) 2016-11-18 2021-07-20 Magic Leap, Inc. Liquid crystal diffractive devices with nano-scale pattern and methods of manufacturing the same
JP7116058B2 (ja) 2016-11-18 2022-08-09 マジック リープ, インコーポレイテッド 空間可変液晶回折格子
US10969680B2 (en) 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
AU2017371047B2 (en) 2016-12-08 2021-10-14 Magic Leap, Inc. Diffractive devices based on cholesteric liquid crystal
KR102550742B1 (ko) 2016-12-14 2023-06-30 매직 립, 인코포레이티드 표면 정렬 패턴들의 소프트-임프린트 복제를 이용한 액정들의 패터닝
US10578984B2 (en) 2016-12-20 2020-03-03 Canon Kabushiki Kaisha Adaptive chucking system
US10991582B2 (en) 2016-12-21 2021-04-27 Canon Kabushiki Kaisha Template for imprint lithography including a recession, an apparatus of using the template, and a method of fabricating an article
US10712660B2 (en) 2016-12-21 2020-07-14 Canon Kabushiki Kaisha Template for imprint lithography including a recession and an apparatus and method of using the template
US10371896B2 (en) 2016-12-22 2019-08-06 Magic Leap, Inc. Color separation in planar waveguides using dichroic filters
CN110462460B (zh) 2017-01-23 2022-10-14 奇跃公司 用于虚拟、增强或混合现实系统的目镜
WO2018156784A1 (en) 2017-02-23 2018-08-30 Magic Leap, Inc. Variable-focus virtual image devices based on polarization conversion
US10079152B1 (en) 2017-02-24 2018-09-18 Canon Kabushiki Kaisha Method for forming planarized etch mask structures over existing topography
US9971249B1 (en) 2017-02-27 2018-05-15 Canon Kabushiki Kaisha Method and system for controlled ultraviolet light exposure
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
JP7425602B2 (ja) 2017-03-08 2024-01-31 キヤノン株式会社 パターン形成方法、ならびに加工基板、光学部品及び石英モールドレプリカの製造方法、ならびにインプリント前処理コーティング材料及びそれとインプリントレジストとのセット
JP7328888B2 (ja) 2017-03-08 2023-08-17 キヤノン株式会社 硬化物パターンの製造方法、光学部品、回路基板および石英モールドレプリカの製造方法、ならびにインプリント前処理コート用材料およびその硬化物
WO2018175343A1 (en) 2017-03-21 2018-09-27 Magic Leap, Inc. Eye-imaging apparatus using diffractive optical elements
US10303049B2 (en) 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US10534259B2 (en) 2017-03-28 2020-01-14 Canon Kabushiki Kaisha Method and system for imprint force control
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
US10866510B2 (en) * 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
CA3075096A1 (en) 2017-09-21 2019-03-28 Magic Leap, Inc. Augmented reality display with waveguide configured to capture images of eye and/or environment
US10935883B2 (en) 2017-09-29 2021-03-02 Canon Kabushiki Kaisha Nanoimprint template with light blocking material and method of fabrication
KR102542739B1 (ko) 2017-09-29 2023-06-13 나이키 이노베이트 씨.브이. 구조색을 갖는 물품 및 구조색을 갖는 물품을 제조하고 사용하기 위한 방법
IL274977B2 (en) 2017-12-15 2023-10-01 Magic Leap Inc Eyepieces for an augmented reality display system
US10996561B2 (en) * 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
CN108198752A (zh) * 2017-12-29 2018-06-22 长沙新材料产业研究院有限公司 一种在衬底上制备图案的方法
JP7100485B2 (ja) * 2018-04-26 2022-07-13 キヤノン株式会社 インプリント装置およびデバイス製造方法
US11249405B2 (en) * 2018-04-30 2022-02-15 Canon Kabushiki Kaisha System and method for improving the performance of a nanoimprint system
US10513135B1 (en) 2018-06-15 2019-12-24 Elizabeth A. Gessner Stamping tool accessory and stamping tool assembly including the same
JP2020035924A (ja) * 2018-08-30 2020-03-05 キオクシア株式会社 原版
EP3884337A4 (en) 2018-11-20 2022-08-17 Magic Leap, Inc. EYEPIECES FOR AN AUGMENTED REALITY DISPLAY SYSTEM
NL2023051B1 (en) * 2019-05-02 2020-11-23 Suss Microtec Lithography Gmbh Framework for a replication device, replication device as well as method for producing nanostructured and/or microstructured components by means of a 5 replication device
CN114286962A (zh) 2019-06-20 2022-04-05 奇跃公司 用于增强现实显示系统的目镜
CN114008493A (zh) 2019-06-26 2022-02-01 耐克创新有限合伙公司 结构着色的物品以及用于制造和使用结构着色的物品的方法
CN114206149A (zh) 2019-07-26 2022-03-18 耐克创新有限合伙公司 结构着色的物品以及用于制造和使用结构着色的物品的方法
US11776840B2 (en) * 2019-10-29 2023-10-03 Canon Kabushiki Kaisha Superstrate chuck, method of use, and method of manufacturing an article
US11889894B2 (en) 2020-08-07 2024-02-06 Nike, Inc. Footwear article having concealing layer
US11129444B1 (en) * 2020-08-07 2021-09-28 Nike, Inc. Footwear article having repurposed material with concealing layer
TW202211363A (zh) * 2020-09-01 2022-03-16 美商伊路米納有限公司 夾具及相關系統及方法
KR102442459B1 (ko) * 2020-10-07 2022-09-14 주식회사 오럼머티리얼 마스크 지지 템플릿의 제조 방법, 마스크 지지 템플릿 및 프레임 일체형 마스크의 제조 방법
US11562984B1 (en) 2020-10-14 2023-01-24 Hrl Laboratories, Llc Integrated mechanical aids for high accuracy alignable-electrical contacts
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage
US11635684B1 (en) 2022-03-30 2023-04-25 Canon Kabushiki Kaisha Apparatus for imprint lithography configured to generate a fluid droplet pattern and a method of using such apparatus

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5226171A (en) * 1975-08-22 1977-02-26 Nippon Telegr & Teleph Corp <Ntt> Mask creation method
JPH0423243A (ja) * 1990-05-18 1992-01-27 Ricoh Co Ltd 光学的情報記録媒体の製造方法
JPH0580530A (ja) * 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
JPH08180457A (ja) * 1994-12-27 1996-07-12 Nec Corp 光ディスク及びその製造方法
JPH09511710A (ja) * 1995-08-04 1997-11-25 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン リソグラフィ・プロセス用のスタンプ
JPH10106048A (ja) * 1996-09-20 1998-04-24 Sony Disc Technol:Kk 情報記録ディスク用のスタンパの作成処理方法及びその装置並びにスタンパ及び情報記録ディスク
JPH1143633A (ja) * 1997-07-28 1999-02-16 Brother Ind Ltd スタンプインク

Family Cites Families (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US169441A (en) * 1875-11-02 Improvement in devices for facilitating the filing of postal-cards
US251775A (en) * 1882-01-03 Faucet
US168588A (en) * 1875-10-11 Improvement in machines for rounding and sealing the ends of wrought-iron tubes
US3527062A (en) * 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
JPS58129074A (ja) * 1982-01-27 1983-08-01 Dainippon Printing Co Ltd 感熱転写層形成用インキ組成物
DE3208081A1 (de) * 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
EP0091651B1 (en) * 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4551192A (en) * 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) * 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) * 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
JPS6319956A (ja) * 1986-07-14 1988-01-27 Hitachi Ltd 描画像通信用端末装置の制御方法
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) * 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) * 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JPS63131352A (ja) * 1986-11-21 1988-06-03 Canon Inc 光学的記録媒体用基板の製造方法
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4848179A (en) * 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (de) * 1988-02-24 1989-09-07 Teldix Gmbh Drehschwingungsantrieb
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
DE68906723T2 (de) * 1988-06-10 1994-01-20 Asahi Chemical Ind Thermoplastisches Elastomer und darauf basierende lichtempfindliche Harzzusammensetzung und Druckplattenvorläufer, der die Zusammensetzung enthält.
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) * 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) * 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
DE59010728D1 (de) * 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
JP3001607B2 (ja) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) * 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP3197010B2 (ja) 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP3039788B2 (ja) * 1990-05-18 2000-05-08 ティーディーケイ株式会社 光ディスク用基板の製造方法および光ディスク
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JPH0470379A (ja) * 1990-07-03 1992-03-05 Oki Electric Ind Co Ltd 印刷用マスタ製版方法
US5074607A (en) 1990-09-05 1991-12-24 Lin Jui C Lock set with spindle lock
JP2524436B2 (ja) * 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5240878A (en) * 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (ja) * 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) * 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
JPH0555654A (ja) * 1991-08-26 1993-03-05 Nec Corp 圧電素子変位拡大機構
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) * 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (ja) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ja) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
JPH0864503A (ja) * 1994-08-26 1996-03-08 Ushio Inc 膜質改質方法および装置
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
DE19509452A1 (de) * 1995-03-22 1996-09-26 Inst Mikrotechnik Mainz Gmbh Werkzeug mit Entformvorrichtung zur Abformung mikrostrukturierter Bauteile
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
JP3624476B2 (ja) 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
SE508373C2 (sv) 1995-10-30 1998-09-28 Obducat Ab Kruptosystem för optiskt lagringsmedia
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
DE19648844C1 (de) * 1996-11-26 1997-09-18 Jenoptik Jena Gmbh Einrichtung und Verfahren zur Abformung mikrosystemtechnischer Strukturen
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
DE19710420C2 (de) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5840955A (en) * 1997-11-25 1998-11-24 Sockell; Edward J. Waste minimization and product recovery process
JPH11163103A (ja) * 1997-11-25 1999-06-18 Hitachi Ltd 半導体装置の製造方法および製造装置
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
DE19819761C2 (de) 1998-05-04 2000-05-31 Jenoptik Jena Gmbh Einrichtung zur Trennung eines geformten Substrates von einem Prägewerkzeug
US5869730A (en) * 1998-05-13 1999-02-09 The Standard Oil Company Oxidant reduction by manipulation and/or treatment of aqueous acrylonitrile process streams
JP3780700B2 (ja) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6860971B2 (en) * 1998-06-15 2005-03-01 Gregory J. Ward Process for recovery of olefinically unsaturated nitriles
US6150231A (en) * 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US5947027A (en) * 1998-09-08 1999-09-07 Motorola, Inc. Printing apparatus with inflatable means for advancing a substrate towards the stamping surface
JP3478141B2 (ja) * 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6296739B1 (en) * 1999-01-08 2001-10-02 The Standard Oil Company Operation of heads column
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) * 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
JP3618057B2 (ja) * 1999-03-03 2005-02-09 シャープ株式会社 光学素子の製造装置
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3939048B2 (ja) * 1999-05-17 2007-06-27 セイコーインスツル株式会社 圧電アクチュエータ
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6467761B1 (en) * 1999-06-21 2002-10-22 The United States Of America As Represented By The Secretary Of Commerce Positioning stage
JP2001143982A (ja) * 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
JP3847512B2 (ja) * 2000-02-07 2006-11-22 株式会社日立メディコ 磁気共鳴イメージング装置
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
EP1172842B1 (de) * 2000-06-22 2004-10-20 Unaxis Balzers Aktiengesellschaft Beschichtungsanlage für scheibenförmige Werkstücke
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
KR20030040378A (ko) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
WO2002067055A2 (en) * 2000-10-12 2002-08-29 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6632742B2 (en) * 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6489068B1 (en) * 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6791669B2 (en) * 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
WO2002086602A1 (en) * 2001-04-17 2002-10-31 M2N, Inc. Micro-actuator and micro-device using the same
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) * 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
AU2003271463A1 (en) * 2002-09-27 2004-04-19 University Of Waterloo Micro-positioning device
CN1732075A (zh) * 2003-01-15 2006-02-08 天成科威有限公司 图案形成装置、图案形成方法、图案形成系统
KR100497729B1 (ko) * 2003-02-21 2005-06-28 한국과학기술원 유연기구 메커니즘을 이용한 3축 직선운동 스테이지
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
JP2007518736A (ja) * 2004-01-09 2007-07-12 ザ・スタンダード・オイル・カンパニー オレフィン性不飽和ニトリル類の精製方法
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
KR100586885B1 (ko) * 2004-08-06 2006-06-08 삼성전자주식회사 초정밀 위치제어 시스템
EP2070394B8 (en) * 2006-09-27 2019-04-10 Signify Holding B.V. Color selection input device and method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5226171A (en) * 1975-08-22 1977-02-26 Nippon Telegr & Teleph Corp <Ntt> Mask creation method
JPH0423243A (ja) * 1990-05-18 1992-01-27 Ricoh Co Ltd 光学的情報記録媒体の製造方法
JPH0580530A (ja) * 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
JPH08180457A (ja) * 1994-12-27 1996-07-12 Nec Corp 光ディスク及びその製造方法
JPH09511710A (ja) * 1995-08-04 1997-11-25 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン リソグラフィ・プロセス用のスタンプ
JPH10106048A (ja) * 1996-09-20 1998-04-24 Sony Disc Technol:Kk 情報記録ディスク用のスタンパの作成処理方法及びその装置並びにスタンパ及び情報記録ディスク
JPH1143633A (ja) * 1997-07-28 1999-02-16 Brother Ind Ltd スタンプインク

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JPN7014002274; M.Colburn et.al.: '"Step and Flash Imprint Lithography: A New Approach to High-Resolution Patterning"' Part of the SPIE Conference on Emerging Lithographic Technologies III Proc. SPIE 3676, 199903, pp.379-389 *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016127168A (ja) * 2015-01-05 2016-07-11 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法
CN105759566A (zh) * 2015-01-05 2016-07-13 佳能株式会社 压印装置、压印方法以及物品的制造方法
KR20160084295A (ko) * 2015-01-05 2016-07-13 캐논 가부시끼가이샤 임프린트 장치, 임프린트 방법 및 물품의 제조 방법
US10315344B2 (en) 2015-01-05 2019-06-11 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and method of manufacturing article
KR102026503B1 (ko) 2015-01-05 2019-09-27 캐논 가부시끼가이샤 임프린트 장치, 임프린트 방법 및 물품의 제조 방법
CN105759566B (zh) * 2015-01-05 2020-01-10 佳能株式会社 压印装置、压印方法以及物品的制造方法

Also Published As

Publication number Publication date
EP2315076A1 (en) 2011-04-27
JP2003517727A (ja) 2003-05-27
US6955868B2 (en) 2005-10-18
AU1448801A (en) 2001-05-14
EP1240550B1 (en) 2013-05-08
JP2011003910A (ja) 2011-01-06
US6870301B2 (en) 2005-03-22
US20050264132A1 (en) 2005-12-01
HK1049521A1 (zh) 2003-05-16
US20050089774A1 (en) 2005-04-28
US6922906B2 (en) 2005-08-02
US7060402B2 (en) 2006-06-13
EP1240550A2 (en) 2002-09-18
US6873087B1 (en) 2005-03-29
WO2001033300A2 (en) 2001-05-10
WO2001033300A3 (en) 2002-01-24
US20040168588A1 (en) 2004-09-02
US20040149687A1 (en) 2004-08-05
JP5603689B2 (ja) 2014-10-08
US7098572B2 (en) 2006-08-29
US20040251775A1 (en) 2004-12-16
US20040104641A1 (en) 2004-06-03
US7374415B2 (en) 2008-05-20
EP2315077A1 (en) 2011-04-27
US20040169441A1 (en) 2004-09-02

Similar Documents

Publication Publication Date Title
JP5603689B2 (ja) インプリントリソグラフィ
US7701112B2 (en) Remote center compliant flexure device
JP4688872B2 (ja) ナノスケール加工中に基板の寸法を変更する装置、システムおよび方法
KR100621957B1 (ko) 미세 구조물용 제조 시스템
US20050263077A1 (en) Adaptive shape substrate support method
JP2006326832A (ja) マイクロ・コンタクト・プリンティング・エンジン
Sreenivasan et al. Apparatus to orientate a body with respect to a surface
Sreenivasan et al. High precision orientation alignment and gap control stages for imprint lithography processes
Sreenivasan et al. Method of orientating a template with respect to a substrate in response to a force exerted on the template
Sreenivasan et al. Remote center compliant flexure device
Sreenivasan et al. Method to control the relative position between a body and a surface
Sreenivasan et al. Apparatus to control displacement of a body spaced-apart from a surface
Meissl et al. Precision Flexure Mechanisms in High Speed Nanopatterning Systems

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121121

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121127

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130709

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131009

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131015

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140729

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140822

R150 Certificate of patent or registration of utility model

Ref document number: 5603689

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term